diff --git a/cpld/CNT.v b/cpld/CNT.v index 71abb9b..d880e3d 100644 --- a/cpld/CNT.v +++ b/cpld/CNT.v @@ -1,23 +1,25 @@ module CNT( - /* FSB clock, C8M clock, E clock inputs */ + /* FSB clock and E clock inputs */ input CLK, input C8M, input E, /* Refresh request */ - output RefClk, + output reg RefReq, output reg RefUrg, /* Reset, button */ output reg nRESout, input nIPL2, /* Mac PDS bus master control outputs */ output reg AoutOE, output reg nBR_IOB, /* Sound QoS */ - input BACT, input SndRAMCSWR, output reg QoSReady); + input BACT, input WS, input nWE, + input SndROMCS, input SndRAMCSWR, input RAMCS, + output reg QoSReady); /* E clock synchronization */ reg [1:0] Er; always @(posedge CLK) Er[1:0] <= { Er[0], E }; wire EFall = Er[1] && !Er[0]; - + /* C8M clock synchronization */ reg [1:0] C8Mr; always @(posedge CLK) C8Mr[1:0] <= { C8Mr[0], C8M }; wire C8MFall = C8Mr[1] && !C8Mr[0]; - + /* NMI button synchronization */ reg nIPL2r; always @(posedge CLK) nIPL2r <= nIPL2; @@ -47,50 +49,42 @@ module CNT( if (EFall) begin if (TimerTC) Timer <= 0; else Timer <= Timer+1; + RefUrg <= Timer==8 || Timer==9; + RefReq <= Timer!=10; TimerTC <= Timer==9; end end - assign RefClk = Timer[3]; - - /* Long timer counts from 0 to 4095. - * 4096 states == 57.516 ms */ + + /* During init (IS!=3) long timer counts from 0 to 4095. + * 4096 states == 57.516 ms + * During operation (IS==3) long timer counts from 0 to 3 + * starting at first sound RAM access. + * Period is 28.124 us - 42.240 us */ reg [11:0] LTimer; reg LTimerTC; always @(posedge CLK) begin - if (EFall && TimerTC) LTimer <= LTimer+1; - LTimerTC <= LTimer[11:0]==12'hFFE; - end - - /* Sound QoS trigger - * Sound timer counts from 1 to 3 - * starting at first sound RAM access. - * Period is 28.124 us - 42.240 us */ - reg [1:0] STimer; - reg SndSlowEN; - always @(posedge CLK) begin - if (BACT && SndRAMCSWR) begin - STimer <= 1; - SndSlowEN <= 1; - end else if (STimer==0) begin - STimer <= 0; - SndSlowEN <= 0; - end else if (EFall && TimerTC) begin + if (EFall && TimerTC) begin LTimer <= LTimer+1; - SndSlowEN <= STimer!=3; + LTimerTC <= LTimer[11:0]==12'hFFE; end end - - /* Sound QoS */ - wire SndSlowEN = LTimer[1:0]!=0; - reg [6:0] Credits; + + /* Sound QoS trigger */ + reg [1:0] QS; + wire QoSEN = QS!=0; always @(posedge CLK) begin - if (!SndSlowEN) Credits <= 8; - else if (!C8MFall && !FSBW) Credits <= Credits+1; - else if ( C8MFall && !FSBW) Credits <= Credits; - else if (!C8MFall && FSBW) Credits <= Credits; - else if ( C8MFall && FSBW) Credits <= Credits-1; + if (BACT && SndRAMCSWR) QS[1:0] <= 1; + else if (QS==0) QS[1:0] <= 0; + else if (EFall && TimerTC) QS[1:0] <= QS+1; + end + + /* Sound QoS */ + reg [4:0] Wait = 0; + always @(posedge CLK) begin + if (!BACT) Wait <= 0; + else Wait <= Wait+1; + if (!BACT || !QoSReady) QoSReady <= !QoSEN || (Wait==16); end - always @(posedge CLK) if (!BACT || !QoSReady) QoSReady <= Credits[6:3]==0; /* Startup sequence state control */ wire ISTC = EFall && TimerTC && LTimerTC; @@ -116,4 +110,5 @@ module CNT( end endcase end + endmodule diff --git a/cpld/CS.v b/cpld/CS.v index 2b0af23..38bb1a1 100644 --- a/cpld/CS.v +++ b/cpld/CS.v @@ -56,6 +56,6 @@ module CS( A[23:20]==4'h6 || // empty A[23:20]==4'h5 || // SCSI (A[23:20]==4'h4 && Overlay) || // ROM once - VidRAMCSWR64k; // Write to video RAM - assign IOPWCS = VidRAMCSWR64k; + VidRAMCSWR; // Write to video RAM + assign IOPWCS = VidRAMCSWR; endmodule diff --git a/cpld/FSB.v b/cpld/FSB.v index 939bcbf..ea1020d 100644 --- a/cpld/FSB.v +++ b/cpld/FSB.v @@ -2,26 +2,29 @@ module FSB( /* MC68HC000 interface */ input FCLK, input nAS, output reg nDTACK, output reg nVPA, /* AS cycle detection */ - output BACT, output reg [3:1] BACTr, output reg WS, + output BACT, output BACTr_out, output reg WS, /* Ready inputs */ input ROMCS, input RAMCS, input RAMReady, - input IOPWCS, input IOPWReady, input IOReady, + input IOPWCS, input IOPWReady, input IONPReady, input QoSReady, /* Interrupt acknowledge select */ input IACS); /* AS cycle detection */ reg ASrf = 0; + reg [3:1] BACTr; always @(negedge FCLK) begin ASrf <= !nAS; end assign BACT = !nAS || ASrf; // BACT - bus active + assign BACTr_out = BACTr[1]; always @(posedge FCLK) BACTr[3:1] <= { BACTr[2:1], BACT }; always @(posedge FCLK) WS <= BACTr[3:1]==3'b111 && BACT; /* DTACK/VPA control */ - wire Ready = (QoSReady && RAMCS && RAMReady && !IOPWCS) || - ( RAMCS && RAMReady && IOPWCS && IOPWReady) || - (QoSReady && ROMCS) || (IOReady); + wire Ready = QoSReady && ( + (RAMCS && RAMReady && !IOPWCS) || + (RAMCS && RAMReady && IOPWCS && IOPWReady) || + (ROMCS) || (IONPReady)); always @(posedge FCLK) nDTACK <= !(Ready && BACT && !IACS); always @(posedge FCLK, posedge nAS) begin if (nAS) nVPA <= 1; diff --git a/cpld/IOBS.v b/cpld/IOBS.v index 4c47504..1ae63af 100644 --- a/cpld/IOBS.v +++ b/cpld/IOBS.v @@ -6,7 +6,7 @@ module IOBS( /* Select signals */ input IOCS, input IOPWCS, input Overlay, /* FSB cycle termination outputs */ - output reg IOReady, output IOPWReady, output reg nBERR_FSB, + output reg IONPReady, output IOPWReady, output reg nBERR_FSB, /* Read data OE control */ output nDinOE, /* IOB master controller interface */ @@ -47,7 +47,7 @@ module IOBS( always @(posedge CLK) begin // ALE and R/W load control // If write currently posting (TS!=0), // I/O selected, and FIFO secondary level empty - if (BACT && !ALE1 && !Sent && IOPWCS && TS!=0) begin + if (BACT && IOPWCS && !ALE1 && !Sent && TS!=0) begin // Latch R/W now but latch address and LDS/UDS next cycle IORW1 <= nWE; Load1 <= 1; @@ -122,17 +122,14 @@ module IOBS( /* Sent control */ always @(posedge CLK) begin if (!BACT) Sent <= 0; - else if (IOCS && !ALE1 && (IOPWCS || TS==0)) Sent <= 1; + else if (BACT && IOCS && !ALE1 && (IOPWCS || TS==0)) Sent <= 1; end - /* Posted write ready */ - assign IOPWReady = !ALE1; // Posted write reaedy - - /* Nonposted ready / posted write submitted ready continuation */ + /* Nonposted and posted ready */ + assign IOPWReady = !ALE1 || Sent; // Posted write reaedy always @(posedge CLK) begin // Nonposted read/write ready - if (!BACT) IOReady <= 0; - else if (Sent && IODONE) IOReady <= 1; - else if (IOPWCS && !ALE1) IOReady <= 1; + if (!BACT) IONPReady <= 0; + else if (Sent && !IOPWCS && IODONE) IONPReady <= 1; end /* BERR control */ diff --git a/cpld/RAM.v b/cpld/RAM.v index db8eee5..16b3245 100644 --- a/cpld/RAM.v +++ b/cpld/RAM.v @@ -3,11 +3,11 @@ module RAM( input CLK, input [21:1] A, input nWE, input nAS, input nLDS, input nUDS, input nDTACK, /* AS cycle detection */ - input BACT, input [3:1] BACTr, + input BACT, input BACTr, /* Select and ready signals */ input RAMCS, input RAMCS0X, input ROMCS, output reg RAMReady, /* Refresh Counter Interface */ - input RefClk, + input RefReqIn, input RefUrgIn, /* DRAM and NOR flash interface */ output [11:0] RA, output nRAS, output reg nCAS, output nLWE, output nUWE, output reg nOE, output nROMCS, output nROMWE); @@ -23,19 +23,13 @@ module RAM( reg RASrf = 0; /* Refresh command generation */ - reg nRefClkR; always @(posedge CLK) nRefClkR <= !RefClk; - reg RefReq; - reg RefUrg; + reg RefDone; // Refresh done "remember" always @(posedge CLK) begin - if (!nRefClkR && !RefClk) begin - RefReq <= 1; - else if (nRefClkR && RefClk) begin - RefUrg <= RefReq; - else if (RS[2]) beign - RefReq <= 0; - RefUrg <= 0; - end + if (!RefReqIn && !RefUrgIn) RefDone <= 0; + else if (RS[2]) RefDone <= 1; end + wire RefReq = RefReqIn && !RefDone; + wire RefUrg = RefUrgIn && !RefDone; /* RAM control signals */ assign nRAS = !((!nAS && RAMCS && RASEN) || RASrr || RASrf); @@ -47,7 +41,7 @@ module RAM( assign nROMWE = !(!nAS && !nWE); /* Shared ROM and RAM /OE control */ - always @(posedge CLK) nOE <= !(BACT && nWE && !(BACTr[1] && DTACKr)); + always @(posedge CLK) nOE <= !(BACT && nWE && !(BACTr && DTACKr)); /* RAM address mux (and ROM address on RA8) */ // RA11 doesn't do anything so both should be identical. @@ -67,7 +61,7 @@ module RAM( assign RA[00] = !RASEL ? A[09] : A[01]; wire RS0toRef = // Refresh during first clock of non-RAM access - (RefReq && BACT && !BACTr[1] && !RAMCS0X) || + (RefReq && BACT && !BACTr && !RAMCS0X) || // Urgent refresh while bus inactive (RefUrg && !BACT) || // Urgent refresh during non-RAM access diff --git a/cpld/WarpSE.v b/cpld/WarpSE.v index 6f6d919..2306d4a 100644 --- a/cpld/WarpSE.v +++ b/cpld/WarpSE.v @@ -50,11 +50,11 @@ module WarpSE( /* AS cycle detection */ wire BACT; - wire [3:1] BACTr; + wire BACTr; wire WS; - /* Refresh request clock */ - wire RefClk; + /* Refresh request/ack signals */ + wire RefReq, RefUrg; /* FSB chip select signals */ wire Overlay; @@ -83,12 +83,12 @@ module WarpSE( /* Select and ready signals */ RAMCS, RAMCS0X, ROMCS, RAMReady, /* Refresh Counter Interface */ - RefClk, + RefReq, RefUrg, /* DRAM and NOR flash interface */ RA[11:0], nRAS, nCAS, nRAMLWE, nRAMUWE, nOE, nROMCS, nROMWE); - wire IOReady, IOPWReady; + wire IONPReady, IOPWReady; wire IORDREQ, IOWRREQ; wire IOL0, IOU0; wire ALE0S, ALE0M, ALE1; @@ -103,7 +103,7 @@ module WarpSE( /* Select signals */ IOCS, IOPWCS, Overlay, /* FSB cycle termination outputs */ - IOReady, IOPWReady, nBERR_FSB, + IONPReady, IOPWReady, nBERR_FSB, /* Read data OE control */ nDinOE, /* IOB Master Controller Interface */ @@ -134,16 +134,18 @@ module WarpSE( wire QoSReady; CNT cnt( - /* FSB clock, C8M clock, E clock inputs */ + /* FSB clock and E clock inputs */ FCLK, C8M, E, /* Refresh request */ - RefClk, + RefReq, RefUrg, /* Reset, button */ nRESout, nIPL2, /* Mac PDS bus master control outputs */ AoutOE, nBR_IOB, /* Sound QoS */ - BACT, SndRAMCSWR, QoSReady); + BACT, WS, nWE_FSB, + SndROMCS, SndRAMCSWR, RAMCS0X, + QoSReady); FSB fsb( /* MC68HC000 interface */ @@ -153,7 +155,7 @@ module WarpSE( /* Ready inputs */ ROMCS4X, RAMCS0X, RAMReady, - IOPWCS, IOPWReady, IOReady, + IOPWCS, IOPWReady, IONPReady, QoSReady, /* Interrupt acknowledge select */ IACS); diff --git a/cpld/XC95144XL/WarpSE.bld b/cpld/XC95144XL/WarpSE.bld index 294b11d..1fd58db 100644 --- a/cpld/XC95144XL/WarpSE.bld +++ b/cpld/XC95144XL/WarpSE.bld @@ -3,21 +3,22 @@ Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. Command Line: C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64\unwrapped\ngdbuild.exe -intstyle ise -dd _ngo -uc -C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p +C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd Reading NGO file -"C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.ngc" ... +"C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.ngc" ... Gathering constraint information from source properties... Done. Annotating constraints to design from ucf file -"C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf" ... +"C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf" ... Resolving constraint associations... Checking Constraint Associations... Done... Checking expanded design ... +WARNING:NgdBuild:452 - logical net 'WS' has no driver Partition Implementation Status ------------------------------- @@ -28,9 +29,9 @@ Partition Implementation Status NGDBUILD Design Results Summary: Number of errors: 0 - Number of warnings: 0 + Number of warnings: 1 -Total memory usage is 155300 kilobytes +Total memory usage is 153988 kilobytes Writing NGD file "WarpSE.ngd" ... Total REAL time to NGDBUILD completion: 3 sec diff --git a/cpld/XC95144XL/WarpSE.cmd_log b/cpld/XC95144XL/WarpSE.cmd_log index 7da0efd..3525dd1 100644 --- a/cpld/XC95144XL/WarpSE.cmd_log +++ b/cpld/XC95144XL/WarpSE.cmd_log @@ -918,3 +918,448 @@ ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/ cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd tsim -intstyle ise WarpSE WarpSE.nga hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +taengine -intstyle ise -f WarpSE -w --format html1 -l WarpSE_html/tim/timing_report.htm +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +taengine -intstyle ise -f WarpSE -w --format html1 -l WarpSE_html/tim/timing_report.htm +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +taengine -intstyle ise -f WarpSE -w --format html1 -l WarpSE_html/tim/timing_report.htm +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +taengine -intstyle ise -f WarpSE -w --format html1 -l WarpSE_html/tim/timing_report.htm +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE-work/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +taengine -intstyle ise -f WarpSE -w --format html1 -l WarpSE_html/tim/timing_report.htm +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE diff --git a/cpld/XC95144XL/WarpSE.gise b/cpld/XC95144XL/WarpSE.gise index 61a38b2..77e1455 100644 --- a/cpld/XC95144XL/WarpSE.gise +++ b/cpld/XC95144XL/WarpSE.gise @@ -59,15 +59,15 @@ - + - + - + @@ -83,12 +83,13 @@ - + - + + @@ -96,7 +97,7 @@ - + @@ -114,12 +115,12 @@ - + - + diff --git a/cpld/XC95144XL/WarpSE.gyd b/cpld/XC95144XL/WarpSE.gyd index f6057fd..095f192 100644 --- a/cpld/XC95144XL/WarpSE.gyd +++ b/cpld/XC95144XL/WarpSE.gyd @@ -76,27 +76,26 @@ nVPA_FSB S:PIN93 ;The remaining section of the .gyd file is for documentation purposes only. ;It shows where your internal equations were placed in the last successful fit. -PARTITION FB1_1 ram/RS_FSM_FFd3 ram/RS_FSM_FFd2 ram/RS_FSM_FFd1 cnt/Er<1> - cnt/Er<0> ram/RefDone iobs/IOU1 iobs/IOL1 - cnt/TimerTC cnt/Timer<0> cnt/IS_FSM_FFd2 RefUrg - RefReq iobm/ES<2> cnt/Timer<1> cnt/Timer<3> - cnt/Timer<2> ram/RS_FSM_FFd7 -PARTITION FB2_4 ram/RASrf ram/DTACKr iobs/IODONEr iobs/IOACTr - iobm/VPAr iobm/IOWRREQr iobm/IOS_FSM_FFd5 iobm/IOS_FSM_FFd4 - iobm/IOS_FSM_FFd1 iobm/IORDREQr iobm/Er iobm/C8Mr - cnt/nIPL2r iobm/IOS_FSM_FFd2 IOBERR -PARTITION FB3_1 EXP10_ QoSReady cnt/WS<2> ram/RS_FSM_FFd6 - ram/RS_FSM_FFd5 iobs/TS_FSM_FFd1 ram/RASEL cs/nOverlay - nDTACK_FSB_OBUF cnt/WS<3> cnt/WS<1> iobs/Load1 - iobs/Clear1 EXP11_ IORDREQ iobs/Sent - nROMWE_OBUF ram/RS_FSM_FFd4 -PARTITION FB4_1 EXP12_ nAoutOE_OBUF EXP13_ -PARTITION FB4_5 nDoutOE_OBUF nDinOE_OBUF ram/BACTr N0 - nRESout fsb/ASrf nVPA_FSB_OBUF cnt/LTimerTC - ALE0S $OpTx$$OpTx$FX_DC$350_INV$537 EXP14_ cnt/LTimer<1> - EXP15_ cnt/LTimer<0> +PARTITION FB1_1 ram/RS_FSM_FFd4 ram/RS_FSM_FFd3 ram/RS_FSM_FFd2 ram/RS_FSM_FFd1 + ram/RASrf iobm/Er cnt/nIPL2r cnt/IS_FSM_FFd1 + cnt/Er<0> ram/RefDone ram/RS_FSM_FFd5 iobs/IOU1 + iobs/IOL1 cnt/Timer<0> cnt/IS_FSM_FFd2 RefUrg + IOBERR iobm/ES<2> +PARTITION FB2_8 ram/DTACKr iobs/IODONEr iobs/IOACTr iobm/VPAr + iobm/IOWRREQr iobm/IOS_FSM_FFd5 iobm/IOS_FSM_FFd4 iobm/IOS_FSM_FFd1 + iobm/IORDREQr iobm/C8Mr iobm/IOS_FSM_FFd2 +PARTITION FB3_1 cnt/QS<1> cnt/LTimer<0> cnt/Er<1> cnt/LTimer<6> + cnt/LTimer<5> cnt/LTimer<4> cnt/LTimer<3> cnt/LTimer<2> + nDTACK_FSB_OBUF cnt/LTimer<1> cnt/Wait<4> cnt/Wait<3> + cnt/Wait<2> cnt/Wait<1> QoSReady cnt/QS<0> + nROMWE_OBUF fsb/ASrf +PARTITION FB4_1 cs/nOverlay nAoutOE_OBUF EXP10_ IOU0 + nDoutOE_OBUF nDinOE_OBUF +PARTITION FB4_8 N0 IOL0 EXP11_ nVPA_FSB_OBUF + EXP12_ IOWRREQ EXP13_ EXP14_ + iobs/TS_FSM_FFd2 iobs/IORW1 EXP15_ PARTITION FB5_1 ram/RS_FSM_FFd8 nROMCS_OBUF EXP16_ EXP17_ - nCAS_OBUF nOE_OBUF EXP18_ cnt/WS<0> + nCAS_OBUF nOE_OBUF EXP18_ cnt/Wait<0> RA_4_OBUF ram/RASEN RA_11_OBUF RA_5_OBUF RAMReady RA_2_OBUF RA_6_OBUF IONPReady EXP19_ ram/RASrr @@ -105,14 +104,14 @@ PARTITION FB6_1 iobm/IOS_FSM_FFd6 nVMA_IOBout iobm/IOS_FSM_FFd7 iobm/IOS_FSM_FFd nLDS_IOBout IODONE nUDS_IOBout nAS_IOBout iobm/IOS0 nADoutLE1_OBUF nADoutLE0_OBUF ALE0M nDinLE_OBUF IOACT -PARTITION FB7_1 cnt/LTimer<9> RA_1_OBUF cnt/LTimer<8> cnt/LTimer<7> - RA_7_OBUF RA_0_OBUF cnt/LTimer<6> RA_8_OBUF - RA_10_OBUF cnt/LTimer<5> RA_9_OBUF C25MEN_OBUF - cnt/LTimer<4> cnt/LTimer<3> cnt/LTimer<2> cnt/LTimer<11> - cnt/LTimer<10> cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 -PARTITION FB8_1 IOL0 RA_11_OBUF$BUF0 iobs/TS_FSM_FFd2 EXP20_ - nRAS_OBUF nRAMLWE_OBUF EXP21_ nRAMUWE_OBUF - IOWRREQ EXP22_ EXP23_ nBERR_FSB_OBUF - EXP24_ IOU0 nBR_IOB_OBUF cnt/IS_FSM_FFd1 - iobs/IORW1 EXP25_ +PARTITION FB7_1 cnt/TimerTC RA_1_OBUF cnt/LTimerTC cnt/LTimer<9> + RA_7_OBUF RA_0_OBUF cnt/LTimer<8> RA_8_OBUF + RA_10_OBUF cnt/LTimer<7> RA_9_OBUF C25MEN_OBUF + cnt/LTimer<11> cnt/LTimer<10> RefReq cnt/Timer<1> + cnt/Timer<3> cnt/Timer<2> +PARTITION FB8_1 EXP20_ RA_11_OBUF$BUF0 IORDREQ ram/RS_FSM_FFd7 + nRAS_OBUF nRAMLWE_OBUF iobs/Load1 nRAMUWE_OBUF + ram/RASEL iobs/TS_FSM_FFd1 ram/RS_FSM_FFd6 nBERR_FSB_OBUF + ALE0S BACTr nBR_IOB_OBUF iobs/Clear1 + nRESout iobs/Sent diff --git a/cpld/XC95144XL/WarpSE.jed b/cpld/XC95144XL/WarpSE.jed index 4c5d467..3946f5f 100644 --- a/cpld/XC95144XL/WarpSE.jed +++ b/cpld/XC95144XL/WarpSE.jed @@ -1,5 +1,5 @@ Programmer Jedec Bit Map -Date Extracted: Sat Apr 15 05:22:03 2023 +Date Extracted: Sat Sep 09 08:19:31 2023 QF93312* QP100* @@ -90,554 +90,554 @@ N PPMAP 153 95* N PPMAP 155 96* N PPMAP 157 97* N PPMAP 160 99* -L0000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0000064 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0000128 00000000 00000000 00000000 00000000 00000000 00000100 00000000 01000000* -L0000192 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00001000* +L0000000 00000000 00000000 10000000 00000000 10011000 00000100 00000000 00000000* +L0000064 00000000 00000000 10000000 10000000 00000000 00000100 00000000 00000000* +L0000128 00000000 00000000 10000000 10000000 00000000 00000100 00000000 00000000* +L0000192 00000000 00000000 10000000 00000000 00011000 00000100 00000000 00000000* L0000256 00000000 00000000 00000000 00000000 00000000 00001100 00000000 00000000* -L0000320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0000320 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* L0000384 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* L0000448 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* L0000512 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0000576 000000 000000 000000 000000 000000 000000 000000 110000* +L0000576 000000 000000 000000 000000 000000 000000 000001 000000* L0000624 000000 000000 000000 000000 000000 000100 000000 000000* -L0000672 000000 000000 000000 000000 000000 000000 000001 000000* -L0000720 000000 000000 000000 000000 000000 000100 000000 000000* -L0000768 000000 000000 000000 000000 000000 000100 000000 000001* -L0000816 000000 000000 000000 000000 000000 000000 000000 000100* -L0000864 00000000 00000000 01000000 00000000 00000000 00000000 10000000 00000000* -L0000928 10000000 00000000 01100000 00000000 00000000 00000000 00000100 00010100* -L0000992 10000000 00000000 00100000 10000000 00000000 00000000 00000100 00010100* -L0001056 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00010100* -L0001120 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00010100* -L0001184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0001248 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000100* -L0001312 00000000 00000000 00000000 00000000 01000000 00000000 00000100 00100100* -L0001376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* -L0001440 000000 000000 000000 000000 000000 000100 000000 000000* -L0001488 000000 000000 000000 000100 000000 000000 000000 000000* -L0001536 000001 000000 000000 000000 000000 000000 000000 000000* -L0001584 000001 000000 000000 000000 000000 000001 000000 000000* -L0001632 000001 000000 000000 000000 000000 000000 000000 100000* -L0001680 000001 000000 000000 000000 000000 001000 000000 000000* +L0000672 000000 000000 000010 000000 000000 000000 000000 000000* +L0000720 000000 000000 000000 000000 000001 000100 000000 000000* +L0000768 000000 000000 000000 000000 000000 000100 000000 000000* +L0000816 000000 000000 000000 000100 000000 000000 000000 000000* +L0000864 00000000 00000000 00000000 10000000 00000100 00000000 00000000 01000000* +L0000928 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0000992 00000000 00000000 00000000 00000000 01000100 00000000 00000100 00000000* +L0001056 00000000 00000000 00000100 00000000 00000100 00000000 01111000 00000000* +L0001120 00000000 00000000 10000000 00000000 01000100 00000000 00000000 00000000* +L0001184 00101000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0001248 00000000 00000000 00000000 00000000 00000100 00000000 00000100 00000000* +L0001312 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0001376 00000000 00000000 00000000 00000000 00000100 00000000 00001000 00000000* +L0001440 000000 000000 000000 010101 000001 000100 000000 000000* +L0001488 000010 000000 000000 010000 010000 000000 000000 000000* +L0001536 000010 000000 000000 010000 010000 000000 000000 000000* +L0001584 000000 000000 000000 010000 010000 000001 000001 000000* +L0001632 000000 000000 000000 000000 010000 000000 100000 000000* +L0001680 000000 000000 000000 000000 010000 001000 000000 000000* L0001728 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0001792 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0001856 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001920 00000010 00000000 00000000 00000000 00000000 00010000 10000000 00000000* -L0001984 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* -L0002048 00000000 00000000 00000000 01000000 00000000 10000000 00000000 00000000* -L0002112 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* +L0001920 00000010 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0001984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0002048 00000000 00000000 00000000 10000000 00000000 10000000 00000000 00000000* +L0002112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0002176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0002240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002304 000000 000000 000000 000000 000000 000000 000001 000000* -L0002352 000000 000000 000000 100000 000000 000000 000000 000000* +L0002304 000000 000000 000000 000000 000000 000000 000000 000000* +L0002352 000000 000000 000000 000000 000000 000000 000000 000000* L0002400 000000 000000 000000 000000 000000 000000 000000 000000* -L0002448 000000 000000 001000 000000 000000 000000 000000 000000* -L0002496 000000 000000 000000 000000 000000 000000 000000 000000* -L0002544 000000 000000 000000 000000 000000 000000 000001 000000* -L0002592 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0002448 000000 000000 000000 000000 000000 000000 000000 000000* +L0002496 000000 000000 000000 010000 000000 000000 000000 000000* +L0002544 000000 000000 000000 010000 000000 000000 000000 000000* +L0002592 00000000 00000000 01110000 00000000 00000000 00000000 00000000 00000000* L0002656 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002720 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* -L0002784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002912 00000000 00000000 00000000 00000000 00000000 00000000 01000000 00000000* -L0002976 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* -L0003040 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* -L0003104 00000000 00000000 10000000 00000000 00000000 00000000 00000100 00000000* +L0002720 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0002784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* +L0002848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* +L0002912 00000000 00000000 01100000 00000000 00000000 00000000 00000000 00000000* +L0002976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003040 00000000 00000000 00000000 00000000 01000000 10000000 00000000 00000000* +L0003104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* L0003168 000000 000000 000000 000000 000000 000000 000000 000000* -L0003216 000000 000000 000000 000000 000000 000000 000000 000000* +L0003216 000000 000000 010000 000000 000000 000000 000000 000000* L0003264 000000 000000 000000 000000 000000 000000 000000 000000* -L0003312 000000 000000 000000 000000 000000 000000 000001 000000* -L0003360 000000 000000 000000 000000 000000 000000 000001 000000* +L0003312 000000 000000 000000 000000 000000 000000 000000 000000* +L0003360 000000 000000 000000 000000 000000 000000 000000 000000* L0003408 000000 000000 000000 000000 000000 000000 000000 000000* -L0003456 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01010000* -L0003520 00000000 00000000 00000000 00000000 00000000 00000100 00000000 01011000* -L0003584 00000000 00000000 00000000 00000000 00000000 00000100 00000000 01011000* -L0003648 00000000 00000000 00000000 00000000 00000000 00000100 00000000 01011000* -L0003712 00000000 00000000 00000000 00000000 00000000 00001000 00000000 11011000* -L0003776 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11100000* -L0003840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11100000* -L0003904 00000000 00000000 00000000 00000000 00000000 00100000 00000000 11100000* -L0003968 00000000 00000000 00000000 00000000 00000000 00000100 00000000 11100000* -L0004032 000000 000000 000000 000000 000000 000000 000000 111011* -L0004080 000000 000000 000000 000000 100000 100000 000000 100000* -L0004128 010000 000000 000000 000000 100000 000000 000000 000001* -L0004176 000000 000000 000000 000000 000000 000100 000000 101111* -L0004224 000000 000000 000000 000000 000000 000000 000000 001111* -L0004272 000000 000000 000000 000000 000000 000000 000000 001111* +L0003456 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0003520 00000000 00000000 00000100 10000000 00000000 00000100 00000000 00000000* +L0003584 00000000 00000000 10000000 10000000 00000000 00000100 00000000 00000000* +L0003648 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0003712 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* +L0003776 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003904 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0003968 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0004032 000000 000000 000000 010101 000000 000000 000000 000000* +L0004080 000000 000000 000000 000010 000000 100000 000000 000000* +L0004128 000000 000000 000000 000000 000000 000000 000000 000000* +L0004176 000000 000000 000000 100000 000000 000100 000000 100000* +L0004224 000000 000000 000000 000000 000000 000000 000000 000000* +L0004272 000000 000000 000000 000000 000000 000000 000000 000000* L0004320 00000000 00000000 00000000 00000000 00000000 10000100 00000000 00000000* -L0004384 00000000 00000000 00010000 10000000 00000000 10001000 00000000 00000000* -L0004448 00000000 00000000 01010000 00000000 00000000 00001000 00000000 00000100* -L0004512 00000000 00000000 00000000 00000000 00000000 00001000 10000000 00000000* -L0004576 00000000 00000000 00000000 00000000 00000000 00010100 00000000 00000100* -L0004640 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0004704 00000000 00000000 00000000 00000000 00000000 00000100 00010000 00000100* -L0004768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0004832 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000100* -L0004896 000000 000000 000000 000000 000000 001000 000000 000000* -L0004944 000000 000000 000000 000100 000000 000000 000000 001000* -L0004992 000000 000000 000000 000000 000000 000000 000000 001000* -L0005040 000000 000000 000000 000000 000000 000000 000001 000000* -L0005088 000000 000000 000000 000000 000010 000000 000000 000000* -L0005136 000000 000000 000001 000000 000010 000001 000001 000000* -L0005184 00000010 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0005248 00000010 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0005312 00000110 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0005376 00000011 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0005440 00000010 00000000 00000000 00000000 00000000 00001100 00000000 00000000* -L0005504 00000001 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0005568 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0005632 00000010 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0005696 00000000 00000000 00000000 00000000 00000000 00100100 00000000 00000000* -L0005760 000000 000000 000000 000000 000000 000000 000000 000000* -L0005808 000000 000000 000000 000000 000000 000100 000000 000000* -L0005856 000000 000000 000000 000000 000000 000000 000000 000000* -L0005904 000000 000000 000000 000000 000000 000100 000000 000000* -L0005952 000000 000000 000000 000000 000000 000100 000000 000000* -L0006000 000000 000000 000000 000000 000000 000000 000000 000000* -L0006048 00010010 01000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0006112 00000011 00000000 00000000 00000000 00000000 01000000 11111100 00000000* -L0006176 00000000 00000000 00000000 00000000 00000000 01000000 01111100 00000000* -L0006240 00011101 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0006304 00000110 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0006368 00010011 00000000 01000000 00000000 00000000 00010000 00000000 00000000* -L0006432 00010000 00000000 00000000 00000000 00000000 00000000 00001100 00000000* -L0006496 00000000 00000000 00000000 00000000 00000000 00000100 10001100 00000000* -L0006560 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0006624 000001 000000 000000 000001 000000 000000 000000 000000* -L0006672 000000 000000 000000 000100 000000 001000 000001 000000* -L0006720 000000 000000 000000 000000 000000 000000 100010 010000* -L0006768 000010 000000 000000 000000 000000 000000 100010 000000* -L0006816 001110 000000 000000 000000 000000 000001 000000 000000* -L0006864 000000 000000 000000 000000 000000 000000 000000 000000* -L0006912 00010000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* -L0006976 00000000 00000000 00000000 00000000 00001000 00100000 11111100 01000100* -L0007040 00000000 00000000 00000000 00000000 00001000 00000000 01111100 01001100* -L0007104 00011100 00000000 00000000 00000000 00001000 00010000 00000000 01001100* -L0007168 00000100 00000000 00000000 00000000 00001000 00000000 00000000 01001100* -L0007232 00010000 00000000 00000000 00000000 00000000 10000000 00000000 11000100* -L0007296 00010000 00000000 01000000 00000000 00000000 01000000 00001100 11000100* -L0007360 00000000 00000000 00000000 00000000 00000000 01000000 10001100 11000100* -L0007424 00000100 00000000 00000000 00000000 00000000 00000000 00000000 11000100* -L0007488 000001 000000 000000 000000 000000 000000 000000 000001* -L0007536 000000 000000 000000 000000 000100 000000 000001 000000* -L0007584 000000 000000 000000 000000 000100 000000 100010 000000* -L0007632 000010 000000 000000 000000 000100 000000 100010 000111* -L0007680 001110 000000 000000 000000 000100 000000 000000 010111* -L0007728 000000 000000 000000 000000 000100 000000 000000 000011* -L0007776 01000000 00000000 00000000 00000000 00000000 00100000 00000000 00010000* -L0007840 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0007904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0007968 00000000 00000000 00000000 00000100 00000000 00100000 00000000 00000000* -L0008032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0008096 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00100000* -L0008160 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00100000* -L0008224 00000000 00000000 00000000 10000000 00000000 10000000 00000000 00100000* -L0008288 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00100000* -L0008352 000000 000000 000000 100000 010000 000000 000000 001000* -L0008400 000000 000000 000001 000000 000000 000000 000000 000000* -L0008448 000000 000000 000000 000010 000000 010000 000000 000000* +L0004384 00000000 00000000 00000000 00000000 00000000 10001000 00000000 00000000* +L0004448 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* +L0004512 00000100 00000000 01110100 10000000 00000000 00001000 00000000 00000000* +L0004576 00000000 00000000 10000000 00000000 00000000 00010100 00000000 00000000* +L0004640 00101000 00000000 00000000 00010100 00000000 00100000 00000000 00000000* +L0004704 00000000 00000000 00000000 00011100 01000000 00000100 00010000 00000000* +L0004768 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0004832 00001000 00000000 11100000 00001000 00000000 00000000 00000000 00000000* +L0004896 000000 000000 000000 000010 000000 001000 000000 000000* +L0004944 000010 000000 000000 000101 000000 000000 000000 000000* +L0004992 000010 000000 000000 010101 000000 000000 000000 000000* +L0005040 000000 000000 000000 000001 000000 000000 000000 000000* +L0005088 000000 000000 010000 000001 000000 000000 000000 000000* +L0005136 000000 000000 000000 000001 000000 000001 000000 000000* +L0005184 00000010 00000000 00000000 00000000 10011000 00000100 00000000 00000000* +L0005248 00010010 00000000 00000000 00000000 10011000 00000100 00000000 00000000* +L0005312 00000010 00000000 00000000 00000000 10011000 00000100 00000000 00000000* +L0005376 00000011 00000000 00000000 01000000 10011000 00000100 00000000 00000000* +L0005440 00000010 00000000 00000000 00000000 10011000 00001100 00000000 00000000* +L0005504 00000001 00000000 00000000 00000000 10000000 00000100 00000000 00000000* +L0005568 00000000 00000000 00000000 00000000 10000000 00100000 00000000 00000000* +L0005632 00000010 00000000 00000000 00000000 10000000 00100000 00000000 00000000* +L0005696 00000000 00000000 00000000 00000000 10000000 00100100 00000000 00000000* +L0005760 000000 000000 000000 000000 100000 000000 000000 000000* +L0005808 000000 000000 000000 100000 001100 000100 000000 000000* +L0005856 000000 000000 000000 000000 001100 000000 000000 000000* +L0005904 000000 000000 000000 000000 001101 000100 000000 000000* +L0005952 000000 000000 000000 000000 001101 000100 000000 000000* +L0006000 000000 000000 000000 000000 001101 000000 000000 000000* +L0006048 00000010 00000000 00000000 00000000 00000000 00000000 00001000 00000000* +L0006112 00000011 00000000 00000000 01000000 00000000 01000000 00000000 00000000* +L0006176 00000000 00000000 00000000 01000000 00000000 01000000 00000000 00000000* +L0006240 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0006304 00000010 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0006368 10000011 00000000 10000000 00000000 01000000 00010000 00001000 00000000* +L0006432 00000000 00000000 10000100 00000000 00000000 00000000 00000000 00000000* +L0006496 00000000 00000000 10000100 00000000 00000000 00000100 00000000 00000000* +L0006560 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0006624 000000 000000 100001 000000 000000 000000 000000 000000* +L0006672 000000 000000 000000 000000 000000 001000 100000 000000* +L0006720 000000 000000 000001 000000 000000 000000 000000 000000* +L0006768 000000 000000 000011 000000 000000 000000 000000 000000* +L0006816 000000 000000 000011 000000 000000 000001 000000 000000* +L0006864 000000 000000 000011 000000 000000 000000 000000 000000* +L0006912 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* +L0006976 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0007040 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0007104 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0007168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0007232 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0007296 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* +L0007360 00000000 00000000 00000000 00000000 10000000 01000000 00000000 00000000* +L0007424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0007488 000000 000000 000000 000000 000001 000000 000000 000000* +L0007536 000000 000000 000000 000000 000000 000000 000000 000000* +L0007584 000000 000000 000000 000000 010000 000000 000000 000000* +L0007632 000000 000000 000000 000000 000000 000000 000000 000000* +L0007680 000000 000000 000000 000000 010010 000000 000000 000000* +L0007728 000000 000000 000000 000000 010011 000000 000000 000000* +L0007776 00000000 00000000 01000000 00000000 00000000 00100000 00000000 00000000* +L0007840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0007904 00000000 00000000 00000000 00000000 10011000 00000000 00000000 00000000* +L0007968 00000000 00000000 00000000 00000000 00000100 00100000 00000000 00000000* +L0008032 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0008096 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* +L0008160 00000000 00000000 00000000 00000000 00000100 00000000 10000000 00000000* +L0008224 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0008288 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0008352 000000 000000 000000 000000 100000 000000 000000 000000* +L0008400 000000 000000 000000 000000 000000 000000 000000 000000* +L0008448 000000 000000 000000 000000 100000 010000 000000 010000* L0008496 000000 000000 000000 000000 000000 010000 000000 000000* -L0008544 000000 000000 000000 000000 000000 010000 000000 000000* -L0008592 000000 000000 000000 000010 000000 000000 000000 000000* -L0008640 00000000 00000000 00001000 00000000 00000100 00000000 00000000 00000000* -L0008704 00000000 00000000 00000100 00000000 10011100 00000000 00000000 00000000* -L0008768 00000000 00000000 00000100 00000000 10011000 00000000 00000000 00000000* -L0008832 00000000 00000000 00000100 00000000 10011100 00000000 00000000 01000000* -L0008896 00000000 00000000 00000100 00000000 10011100 00000000 00000000 00000000* -L0008960 00000000 00000000 00000000 00000000 10000100 10000000 00000000 00000000* -L0009024 00000000 00000000 00000000 00000000 10000100 01000000 00000000 00000000* -L0009088 00000000 00000000 00000000 00000000 00000100 11000000 00000000 00000000* -L0009152 00000000 00000000 00000000 00000000 10000100 00000000 00000000 10000000* -L0009216 000000 000000 000000 000000 100000 000000 000000 000000* -L0009264 000000 000000 000000 000000 001100 000000 000000 000000* -L0009312 000000 000000 000010 000000 001101 000000 000000 000000* -L0009360 000000 000000 000010 000000 001101 000000 000000 000000* -L0009408 000000 000000 000010 000000 001101 000000 000000 000000* -L0009456 000000 000000 000010 000000 001100 000000 000000 000010* -L0009504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0009568 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00010100* -L0009632 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00010100* -L0009696 00000000 00000000 00000000 00000100 01000000 00110000 00000000 00010100* -L0009760 00000000 00000000 00000000 10000100 00000000 00000000 00000000 00010100* -L0009824 00000000 00000000 00001000 10010000 00000000 00000000 00000000 00000100* -L0009888 00000000 00000000 00000000 10011000 00000000 00000000 00000000 00000100* -L0009952 00000000 00000000 00010000 10001000 00000000 00000000 00000000 00000100* -L0010016 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000100* -L0010080 000000 000000 000100 100000 000000 000000 000000 000000* -L0010128 000000 000000 000110 000010 000000 010000 000000 000000* -L0010176 000000 000000 010000 000010 000000 000000 000000 000000* -L0010224 000000 000000 000100 000010 000000 000000 000000 000000* -L0010272 000000 000000 000000 000010 000000 010000 000000 000000* -L0010320 000000 000000 000000 000010 000000 000000 000000 000000* -L0010368 00000011 00000001 00000001 00000001 00000111 00000011 00000011 00001010* -L0010432 00000011 00000001 00000011 00000001 00000101 00100011 00000011 00000000* -L0010496 00000011 00000001 00000011 00000001 00000000 00000011 00000010 00000011* -L0010560 00000011 00000011 00000011 00000001 00000101 00010011 00000011 00000000* -L0010624 00000011 00000011 00000010 00000011 00000111 00000011 00000011 00000001* -L0010688 00000011 00000011 00000011 00000010 10000000 10000011 11010011 00000011* -L0010752 00000011 00000011 00000011 00000011 10000000 01000011 00100011 00000001* -L0010816 00000011 00000011 00000011 00000000 00000010 11000011 00000011 00000001* -L0010880 00000011 00000011 00000011 00000011 10000001 00000011 00000011 00000010* -L0010944 000000 000000 000000 000000 100000 000000 000000 000000* -L0010992 000000 000000 000000 000000 000000 000000 011000 000000* -L0011040 000000 000000 000000 000000 001100 000000 000000 000000* -L0011088 000000 000000 000000 000000 001100 000000 000000 000000* -L0011136 000000 000000 000000 000000 001100 010000 000000 000000* -L0011184 000000 000000 000000 000000 001100 000000 000000 000000* -L0011232 00000000 00000100 00000010 00000010 00000000 00100000 00000000 00000001* -L0011296 00000000 00000000 00000000 00000010 00000010 00000000 00000000 00000011* -L0011360 00000000 00000000 00000000 00000010 00100011 00000000 00000000 00000000* -L0011424 00000000 00000000 00000000 00000000 00000010 00000000 00000000 00000011* -L0011488 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00000010* -L0011552 00000000 00000000 00001000 00000001 00000011 00000000 00100000 00000000* -L0011616 00000000 00000000 00000000 00000000 00000011 00000000 11010000 00000010* -L0011680 00000000 00000000 00000000 00000001 00000001 00000000 00000000 00001010* -L0011744 00000000 00000000 00000000 00000100 00000010 00000000 00000000 00000001* +L0008544 000000 000000 000000 000000 001100 010000 000000 000000* +L0008592 000000 000000 000000 000000 001100 000000 000000 000000* +L0008640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0008704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0008768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0008832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0008896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0008960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0009024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0009088 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* +L0009152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0009216 000000 000000 000000 000000 000000 000000 000000 000000* +L0009264 000000 000000 000000 000000 000000 000000 000000 000000* +L0009312 000000 000000 000000 000000 000000 000000 000000 000000* +L0009360 000000 000000 000000 000000 000000 010000 000000 000000* +L0009408 000000 000000 000000 000000 000000 000000 000000 000000* +L0009456 000000 000000 000000 000000 000000 000000 000000 000000* +L0009504 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00100000* +L0009568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* +L0009632 00000000 00000000 00000000 00000000 00000000 00100000 00000000 10100000* +L0009696 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* +L0009760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* +L0009824 00000000 00000000 01000000 00000000 00001000 01000000 00000000 00000000* +L0009888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0009952 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0010016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0010080 000000 000000 000000 000000 000000 000000 000000 000000* +L0010128 000000 000000 000000 000000 000000 010000 000000 000000* +L0010176 000000 000000 000000 000000 000000 000000 000000 000000* +L0010224 000000 000000 000000 000000 000000 000000 000000 000000* +L0010272 000000 000000 000000 000000 000010 000000 000000 000000* +L0010320 000000 000000 000000 000000 000010 000000 000000 000000* +L0010368 00000011 00000001 00000011 00000010 00000011 00000011 00000011 00000001* +L0010432 00000011 00000001 00000001 00000011 00000001 00100011 00000011 00000011* +L0010496 00000011 00000001 00000011 00000000 00000000 00000011 00000010 00000011* +L0010560 00000011 00000001 00000011 00000011 00000001 00010011 00000011 00000011* +L0010624 00000011 00000001 00000011 00000000 00000011 00000011 00000011 00000001* +L0010688 00000011 00000001 00000011 00000010 00000000 10000011 00000011 00000011* +L0010752 00000011 00000001 00000011 00000001 00000000 01000011 00000011 00000011* +L0010816 00000011 00000011 00000011 00000001 00000010 11000011 00000011 00000011* +L0010880 00000011 00000011 00000011 00000010 00000001 00000011 00000011 00000011* +L0010944 000000 000000 000000 000000 000000 000000 000000 000000* +L0010992 000000 000000 000000 000000 000000 000000 000000 000000* +L0011040 000000 000000 000000 000000 000000 000000 000000 000010* +L0011088 000000 000000 000000 000000 000000 000000 000000 000000* +L0011136 000000 000000 000000 000000 000000 010000 000000 000000* +L0011184 000000 000000 000000 000000 000000 000000 000000 000000* +L0011232 00000000 00000000 01000000 00000001 00000000 00100000 01001000 00000010* +L0011296 00000000 00000000 00000010 00000000 00000010 00000000 00000000 00000000* +L0011360 00000000 00000000 00000000 00000011 00000011 00000000 00000000 00000000* +L0011424 00001000 00000000 00000000 00000000 00000010 00000000 00000000 00000000* +L0011488 00000000 00000000 00000000 00000011 00000000 00000000 00000000 00000010* +L0011552 00000000 00000000 01000000 00000001 00000011 00000000 00001000 00000000* +L0011616 00000000 00000000 00000000 00000000 00000011 00000000 00000000 00000000* +L0011680 00000000 00000000 00000000 00000010 00000001 00000000 00000000 00000000* +L0011744 00000000 00000000 00000000 00000001 00001010 00000000 00000000 00000000* L0011808 000000 000000 000000 000000 000000 000000 000000 000000* -L0011856 000000 000000 000000 000100 010000 000000 000000 000000* -L0011904 000000 000000 010000 000000 000000 010000 011000 000000* +L0011856 000000 000000 010000 000000 000000 000000 100000 000000* +L0011904 000000 000000 000000 000000 000000 010000 000000 000000* L0011952 000000 000000 000000 000000 000000 010000 000000 000000* -L0012000 000000 000000 000000 000000 000000 000000 000000 000000* +L0012000 000100 000000 000000 000000 000000 000000 000000 000000* L0012048 000000 000000 000000 000000 000000 000000 000000 000000* -L0012096 00000000 00000000 00000001 00000000 00000011 00000011 00000011 00000010* -L0012160 00000001 00000000 00000011 00000001 00000001 00010011 00000011 00000000* -L0012224 00000000 00000000 00000001 00000000 00000000 00000011 00000010 00000011* -L0012288 00000000 00000000 00100010 00000000 00000001 00000011 00000011 00000000* -L0012352 00000001 00000000 00100010 00000010 00000011 00000011 00000011 00000001* -L0012416 00000011 00000000 00000010 00000010 00000000 00000010 00000011 00000001* -L0012480 00000001 00000000 00000011 00000001 00000000 00000011 00000011 00000000* -L0012544 00000001 00000001 00000000 00000010 00000000 00000010 00000011 00000001* -L0012608 00000001 00000000 00000010 00000001 00000001 00000011 00000011 00000010* +L0012096 00000001 00000000 00000010 00000010 00000011 00000011 00000000 00000001* +L0012160 00000001 00000000 00000001 00000011 00000001 00000011 00000011 00000011* +L0012224 00000000 00000000 00000001 00000000 00000000 00000011 00000000 00000011* +L0012288 00000000 00000000 00000001 00000011 00000001 00000011 00000000 00000010* +L0012352 00000000 00000000 00000001 00000000 00000011 00000011 00000010 00000000* +L0012416 00100001 00000000 00000001 00000010 00000000 00100010 00000010 00000001* +L0012480 00000000 00000000 00000001 00000001 00000000 00000011 00000001 00000010* +L0012544 00000000 00000000 00000000 00000011 00000000 00000010 00000011 00000000* +L0012608 00000001 00000001 00000010 00000010 00000001 00000011 00000011 00000011* L0012672 000000 000000 000000 000000 000000 000000 000000 000000* -L0012720 000000 000000 000000 000000 000000 000000 000000 000001* -L0012768 000000 000000 000000 000000 100000 000000 000000 000000* +L0012720 000000 000000 000000 000000 000000 000000 000000 000010* +L0012768 000010 000000 000000 000000 000000 000000 000000 000010* L0012816 000000 000000 000000 000000 000000 000000 000000 000000* -L0012864 000000 000000 000010 000000 000000 000000 000000 000000* -L0012912 000000 000000 000010 000000 000000 000000 000000 000000* -L0012960 00000010 00000000 01000010 00000010 00000000 00000000 00000000 00000001* -L0013024 00000000 00000000 01100000 00000010 00000010 00000011 00000000 00000010* -L0013088 00000000 00000000 00100010 00000010 00000111 00000001 10000000 10000000* -L0013152 00000000 00000000 00001001 00000000 10000010 00000000 10000000 00000011* -L0013216 00000000 00000000 00000001 00000001 00000000 00000000 10000000 00000010* -L0013280 00000000 00000000 00100001 01000001 00000011 00000000 00000000 00000010* -L0013344 00000000 00000000 00000000 00000000 00000011 00000000 00000000 00000001* -L0013408 00000000 00000000 00000011 00000011 10000011 00000001 00000000 00000010* -L0013472 00000000 00000000 00000001 00000000 00000010 00000010 00000100 00000001* -L0013536 000000 000000 000000 000000 000001 000000 000001 000000* -L0013584 000000 000000 000000 000000 000000 000000 001000 000000* +L0012864 000000 000000 000000 000000 000000 000000 000000 000000* +L0012912 000000 000000 000000 000000 000000 000000 000000 000000* +L0012960 00000000 00000000 01100001 00000001 00000000 00000000 00000000 00000010* +L0013024 00000000 00000000 00000010 00000000 00000010 00000011 00000000 00000000* +L0013088 00000000 00000000 00000000 00000011 00100011 00000001 00000000 00000000* +L0013152 00000000 00000000 00000000 00000000 00000010 00000000 00000000 00000000* +L0013216 00000000 00000000 00000000 00000011 00000000 00000000 00000000 00000010* +L0013280 00000000 00000000 01000000 00000001 00000011 00000000 00000000 00000110* +L0013344 00000000 00000000 00000000 00000000 00000011 00100000 00000000 00000000* +L0013408 00000000 00000000 00000011 00000010 00000011 00100001 00000000 00000011* +L0013472 00000000 00000000 00000001 00000001 00000010 00100010 00000000 00000000* +L0013536 000000 000000 000000 000000 010000 000000 000000 000000* +L0013584 000010 000000 010000 000000 000000 000000 001000 000000* L0013632 000000 000000 000000 000000 000000 000000 000000 000000* -L0013680 000000 000000 000000 000000 000000 000000 000001 000000* -L0013728 000000 000000 000000 000000 000000 000000 000001 000000* -L0013776 000000 000000 000000 000000 000001 000000 000001 000000* -L0013824 00000000 00000000 01000001 00000000 00000111 00000001 00000001 00000010* -L0013888 10000000 00000000 00110110 00000000 00000000 00000011 00000000 00010000* -L0013952 00000000 00000000 00000001 00000000 00000000 00000011 00000010 00000010* -L0014016 10000000 00000000 00100100 00000000 00000101 00000011 00000011 00010000* -L0014080 00000001 00000000 00000100 00000000 00000010 00000010 00000001 00000001* -L0014144 00000001 01000000 00000100 00000010 10000000 00000010 00000001 00100100* -L0014208 00000001 00000000 00000111 01000001 00000000 00000011 00000011 00000100* -L0014272 00000001 00000000 00000000 00000000 00000000 00000010 00000001 00100101* -L0014336 00000001 00000001 00000110 00000001 10000000 00000011 00000001 00000110* -L0014400 000000 000000 000000 000000 000001 000000 000000 001000* -L0014448 000000 000000 100100 000000 000000 000000 000000 000000* -L0014496 000000 000000 000100 000000 001100 000000 000000 000000* -L0014544 000000 000000 000100 000000 000000 000000 000000 000000* -L0014592 000000 000000 000110 000000 011100 000000 000000 011000* -L0014640 000000 000000 000000 000000 000001 001000 000000 000000* -L0014688 00000010 00000000 00010110 00000010 00000000 00000001 00000010 01000001* -L0014752 00000000 00000000 00000001 00000010 00100011 00000000 11111110 00001010* -L0014816 00000000 00000000 01001010 00000000 00000001 00000000 01111100 00000001* -L0014880 00000000 00000000 00010011 00000000 00000010 00000000 00000000 00000011* -L0014944 00000000 00000000 00000001 00000001 00000001 00000000 00000000 00000010* -L0015008 00000000 00000000 00100001 00000001 00100011 00000000 00000000 00000011* -L0015072 00000000 00000000 00000000 00000000 00000011 00000000 00001100 00000001* -L0015136 00000000 00000000 00000011 00000001 00000011 00000001 10001100 00000010* -L0015200 00000000 00000001 00000001 00000000 00000111 00000000 00000000 00000001* +L0013680 000000 000000 000000 000000 000000 000000 000000 000000* +L0013728 000000 000000 000000 010000 000000 000000 000000 000000* +L0013776 000000 000000 000000 000000 000000 000000 000000 000000* +L0013824 00000000 00000000 00000010 00000010 00000011 00000001 00000000 00000000* +L0013888 00000000 00000000 00000001 00000000 00000000 00000011 00000000 00000000* +L0013952 00000000 00000000 00000001 00000000 00000000 00000011 00000100 00000011* +L0014016 00000000 00000000 01110101 00000011 00000001 00000011 11111100 00000010* +L0014080 00000000 00000000 10000001 00000000 00000010 00000010 00000000 00000000* +L0014144 00000000 00100000 00000000 00100010 00000000 00000010 00000000 00000000* +L0014208 00000000 00000000 00000001 00000001 00000000 00000011 00000101 10000010* +L0014272 00000001 00000000 00000000 00000000 00000000 00000010 00000001 00000000* +L0014336 00000001 00000000 11100010 00000010 00000000 00000011 00001101 00000011* +L0014400 000000 000000 000000 000000 000000 000000 000000 000000* +L0014448 000000 000000 000000 000000 000000 000000 000000 000000* +L0014496 000000 000000 000000 000000 000000 000000 000000 000000* +L0014544 000000 000000 000000 000000 000010 000000 000001 000000* +L0014592 000000 000000 010000 001000 000000 000000 100011 000000* +L0014640 000000 000000 000000 000000 000000 001000 000000 000000* +L0014688 00000000 00000000 00000101 00000001 00000000 00000001 00000000 00000010* +L0014752 00000000 00000000 10000010 00000011 00000011 00000000 00000000 00000010* +L0014816 00000000 00000000 00000000 00000011 00000001 00000000 00000000 00000000* +L0014880 00000000 00000000 00000000 00000000 00000010 00000000 00000000 00000000* +L0014944 00000000 00000000 00000000 00000011 00000001 00000000 00000000 00000010* +L0015008 00000000 00000000 00000001 00000001 00000011 00000000 00000100 10100010* +L0015072 00000000 00000000 00000000 00000000 00000011 00000000 00000000 00000000* +L0015136 00000001 00000000 00000011 00000011 00000011 00000001 00000000 00000011* +L0015200 00000000 00000000 00000001 00000001 00000011 00000000 00000000 00000000* L0015264 000000 000000 000000 000000 000000 000000 000000 000000* -L0015312 000000 000000 000000 000000 001110 000000 000001 000100* -L0015360 000000 000000 001000 000000 000000 000000 100010 000001* -L0015408 000000 000000 000000 000000 010000 000000 100010 000000* -L0015456 000000 000000 000000 000000 000001 000000 000000 000000* -L0015504 000001 000000 000000 000000 000000 000000 000000 000000* -L0015552 00011001 00000000 00000000 00100000 00000011 00000001 00000000 01000010* -L0015616 00000000 00000000 00000010 00000001 00000000 00000001 00000000 00001000* -L0015680 00000001 00000000 00000001 00000000 00000000 01000001 00000000 00000000* -L0015744 00000001 00000000 00000000 00000000 00000001 00000001 00000000 00000000* -L0015808 00000000 00000000 00000000 00000000 10011010 00000000 00000000 00000001* -L0015872 00000101 00000000 00000000 00001000 00000000 00000010 00000000 00000000* -L0015936 00000011 00000000 00000001 00000000 00000000 00000011 00000000 00000000* -L0016000 00000011 00000000 00000000 00000000 00000100 00000110 00000000 00000001* -L0016064 00000011 00000000 00000010 00000001 00000000 00000011 00000001 00000010* +L0015312 000000 000000 100000 000000 000000 000000 000000 010000* +L0015360 000000 000000 000000 001000 000000 000000 000000 000000* +L0015408 000000 000000 000000 001000 000000 000000 000000 000000* +L0015456 000000 000000 000000 000000 000000 000000 000000 000000* +L0015504 000000 000000 000000 000000 000000 000000 000000 000000* +L0015552 00000000 00000000 00000011 00000000 00000011 00000001 00000011 00000000* +L0015616 00010000 00000000 00000010 00001001 00000000 00000001 00000000 00000000* +L0015680 00000001 00000000 00000000 00000000 00000000 01000001 00000010 00000010* +L0015744 00000001 00000000 00000010 00000011 00000001 00000001 00000011 00000010* +L0015808 00000001 00000000 00000010 00000000 00000010 00000000 00000001 00000000* +L0015872 00000000 00000000 00000010 00000000 00000000 00000010 00000001 00000000* +L0015936 00000001 00000000 00000011 00000001 00000000 00000011 00000011 00000010* +L0016000 00000000 00000000 00000010 00000000 00000000 00000110 00000001 00000000* +L0016064 00000000 00000000 00000010 00000010 00000000 00000011 00000001 00000001* L0016128 000000 000000 000000 000000 000000 000000 000000 000000* -L0016176 000000 000000 000000 000000 000000 000000 000000 000100* -L0016224 000010 000000 000000 000000 000000 000000 000000 000001* +L0016176 000000 000000 000000 000000 000000 000000 000000 000000* +L0016224 000000 000000 000000 000000 000000 000000 000000 000000* L0016272 000000 000000 000000 000000 000000 000000 000000 000000* L0016320 000000 000000 000000 000000 000000 000000 000000 000000* L0016368 000000 000000 000000 000000 000000 000000 000000 000000* -L0016416 00000111 00000000 00010011 00000010 00000000 00000000 00000010 00010001* -L0016480 00000100 00000000 00000001 00000011 00000011 00000000 00000000 00000110* -L0016544 00000101 00000000 00000010 00000000 00000101 00000000 10000000 00010111* -L0016608 00000001 00000000 00000011 00000000 00000010 00000000 10000000 00000111* -L0016672 00000000 00000000 00000001 00000001 00000001 00000000 10000000 00010110* -L0016736 00000001 00010000 00000001 10000001 00000011 00000000 00000000 00000011* -L0016800 00000011 00000000 00000010 10011001 00000001 00000000 00100000 00100001* -L0016864 00000111 00000000 00000011 00001001 10000001 00000001 00000001 00000010* -L0016928 00000010 00000000 00000001 10001000 00000011 00000000 00000100 00100001* -L0016992 000000 000000 000000 100000 000001 000000 000001 000000* -L0017040 001010 000000 000000 000000 000000 000000 000000 000000* -L0017088 000000 000000 000000 000000 000000 000000 000000 000000* -L0017136 000000 000000 000000 000010 000000 000000 000001 000000* -L0017184 000000 000000 000000 000000 010000 000000 000001 000000* -L0017232 000000 000000 000001 000000 010001 000000 000001 001000* -L0017280 00000000 00000000 00000000 00000000 00000011 00000100 00000000 00000010* -L0017344 00000000 00000000 00000010 00000000 00000000 00000101 00000000 00000000* -L0017408 00000000 00000000 00000001 00000000 00000000 00000100 00000000 00000000* -L0017472 00000000 00000000 00000000 00000100 00000001 00000101 00000000 00000000* -L0017536 00000000 00000000 00000000 10000100 00000010 00001100 00000000 00000001* -L0017600 00000000 00000000 00000000 10000000 00000000 00000100 00000000 00000000* -L0017664 00000001 00000000 00010001 10001000 00000000 00100001 00000000 00000000* -L0017728 00000001 00000000 00000000 10001000 00000000 00100000 00000000 00000000* -L0017792 00000001 00000000 00000010 10001001 00000000 00100011 00000001 00000010* -L0017856 000000 000000 000000 100000 000000 000000 000000 000000* -L0017904 000000 000000 000000 101010 000000 000100 000000 000010* -L0017952 000000 000000 000000 000010 000000 000000 000000 000000* -L0018000 000000 000000 000000 000010 000000 000100 000000 000000* -L0018048 000000 000000 000000 000010 000000 000100 000000 000000* -L0018096 000000 000000 000000 000010 000000 000000 000000 000000* -L0018144 00000010 00000000 00000010 00000010 00000000 01000001 00000010 00000101* -L0018208 00000000 00000000 00010001 00000010 00000010 00000000 00000000 00000010* -L0018272 00000000 00000000 00010010 00000000 00000001 00000000 00000000 00000011* -L0018336 00000000 00000000 01000000 00000000 00000010 00000000 00000000 00000011* -L0018400 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00000010* -L0018464 00000000 00000000 00010001 00000001 00000011 00000000 00000000 00000001* -L0018528 00000000 00000000 00000010 00000001 00100001 00000000 01000000 00000001* -L0018592 00000000 00000000 00000011 00000001 00000001 00010010 00000001 00000011* -L0018656 00000000 00000000 00000001 00000000 00000011 00000000 00000000 00000001* -L0018720 000000 000000 000000 000000 000000 000000 000000 000000* -L0018768 000000 000000 100000 000000 000000 000001 000000 000000* -L0018816 000000 000000 000000 100000 000000 000000 000000 000010* -L0018864 000000 000000 000000 000001 000000 001000 000000 000000* +L0016416 00000000 00000000 01100001 00000011 00000000 00000000 01101011 00100010* +L0016480 00000000 00000000 00000010 00000101 00000011 00000000 00000000 10100010* +L0016544 00000001 00000000 00000000 00000011 00000001 00000000 00000010 10100000* +L0016608 00000001 00000000 00000010 00000000 00000010 00000000 00000011 10100000* +L0016672 00000001 00000000 00000011 00000011 00000001 00000000 00000001 10100010* +L0016736 00000000 00000000 01100011 00000011 00000011 00000000 00001001 00000010* +L0016800 00000001 00000000 00000010 00000000 00000001 00000000 00000011 00100000* +L0016864 00000000 00000000 00000011 00000011 00000001 00000001 00000001 00100011* +L0016928 00000000 00000000 00000001 00000001 00000011 00000000 00000001 00100000* +L0016992 000000 000000 000000 000000 000000 000000 000000 000000* +L0017040 000000 001000 010000 000000 000000 000000 100000 000000* +L0017088 000000 000001 000000 000000 000000 000000 000000 000000* +L0017136 000000 000000 000000 000000 000000 000000 000000 000000* +L0017184 000000 000000 000000 000000 000010 000000 000000 000000* +L0017232 000000 000000 000000 000000 000010 000000 000000 000000* +L0017280 00000000 00000000 00000010 00000000 00001011 00000000 00000000 00000000* +L0017344 00000000 00000000 00000000 00000000 00001000 00000001 00000000 00000000* +L0017408 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000010* +L0017472 00000000 00000000 00000000 00000011 00001001 00000001 00000000 00000000* +L0017536 00000000 00000000 00000000 00000000 00001010 00000000 00000000 00000000* +L0017600 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0017664 00000000 00000000 00000001 00000001 00000000 00000001 00000000 00000010* +L0017728 00000000 00000000 00000000 00000000 00000000 00000000 00000001 00000000* +L0017792 00000000 00000000 00000010 00000010 00000000 00000011 00000001 00000001* +L0017856 000000 000000 000000 000000 000000 000000 000000 000000* +L0017904 000000 000000 000000 000000 000100 000000 000000 000000* +L0017952 000000 000000 000000 000000 000100 000000 000000 000000* +L0018000 000000 000000 000000 000000 000100 000000 000000 000000* +L0018048 000000 000000 000000 000000 000100 000000 000000 000000* +L0018096 000000 000000 000000 000000 000100 000000 000000 000000* +L0018144 00000000 00000000 00000000 00000001 00000000 00000001 00000000 00000010* +L0018208 00000000 00000000 00000010 00000001 00000010 00000000 00000000 00000010* +L0018272 00000000 00000000 00000000 00000011 00000001 00000000 00000000 00000000* +L0018336 00000000 00000000 00000000 00000000 00000010 00000000 00000000 00000010* +L0018400 00000000 00000000 00000001 00000011 00000000 00000000 00000000 00000010* +L0018464 00000000 00000000 00010001 00000011 00000011 00000000 00000000 00000000* +L0018528 00000000 00000000 00000000 00000000 00000001 00000000 01000000 00000000* +L0018592 00000000 00000000 00000001 00000011 00000001 10000010 00000000 00000000* +L0018656 00000000 00000000 00000001 00000001 00000011 00000000 00000000 00000000* +L0018720 000000 000000 000010 000000 010000 000000 000000 000000* +L0018768 000000 000000 000000 000000 000000 000000 000000 001000* +L0018816 000000 000000 000000 000000 000000 000000 000000 000000* +L0018864 000000 000000 000000 000000 000000 000000 000000 000000* L0018912 000000 000000 000000 000000 000000 000000 000000 000000* -L0018960 000000 000000 000001 000000 000000 000000 000000 000000* -L0019008 00000001 00000000 00000000 00000000 01000011 00000000 00000000 00000010* -L0019072 00000000 00000000 00000001 00000011 00000010 00000001 00000000 00000000* +L0018960 000000 000000 000000 000000 000000 000000 000000 000000* +L0019008 00000001 00000000 00000010 00000000 00000011 00000000 00000000 00000000* +L0019072 00000000 00000000 00000010 00000011 00000010 00000001 00000000 00000000* L0019136 00000000 00000000 00000000 00000000 00000000 00000010 00000001 00000010* -L0019200 00000001 00000000 00000000 00000000 00000001 00000000 00000000 00000000* -L0019264 00000000 00000000 00000000 00000010 01000000 00000011 00000000 00000011* -L0019328 00000011 00000000 00000001 00000010 00000000 00000000 00000000 00000010* -L0019392 00000000 00000000 00000000 00000011 00000001 00000011 00000000 00000000* -L0019456 00000001 00000000 00000001 00000000 00000010 00000001 00000000 00000010* -L0019520 00000000 00000000 00000000 00000000 01000000 00000110 00000000 00000000* -L0019584 000000 000000 000000 000000 000000 000000 000000 000000* -L0019632 000000 000000 000000 000000 010000 100000 000000 000000* -L0019680 000000 000000 000000 000000 010000 100000 000000 000000* -L0019728 000000 000000 000000 000000 010000 100000 000000 000000* -L0019776 000000 000000 000000 000000 000000 000000 000000 000000* +L0019200 00000000 00000000 00000000 00000010 00000001 00001000 00000000 00000000* +L0019264 00000001 00000000 00000001 00000010 00000000 00000111 00000000 00000011* +L0019328 00000000 00010000 00000000 00000010 00000000 00000000 00000001 00000010* +L0019392 00000000 00000000 00000000 00000001 00000001 00000011 00000001 00000000* +L0019456 00000000 00000000 00000001 00000000 00000010 00000001 00000000 00000010* +L0019520 00000000 00000000 00000000 00000010 00000000 00100010 00000001 00000000* +L0019584 000000 000000 000010 000000 000000 000000 000000 000000* +L0019632 000000 000000 000000 100000 000000 000000 000000 000000* +L0019680 000000 000000 000000 000000 000000 000000 000000 000000* +L0019728 000000 000000 000000 000000 000000 000000 000000 000000* +L0019776 000000 000000 000000 000000 000000 000100 000000 000000* L0019824 000000 000000 000000 000000 000000 000000 000000 000000* -L0019872 00000000 00000000 00000000 00000000 00000011 00001000 00000000 00000010* -L0019936 00000000 00000000 00000011 00000000 10011000 00000101 00000000 00000000* -L0020000 00000000 00000000 00000001 00000000 10011001 00000000 00000000 00000001* -L0020064 00100000 00000000 00000000 00000000 10011001 00000000 00000000 00000000* -L0020128 00000000 00000000 00000000 00000000 10011010 00000000 00000000 00000001* -L0020192 00000000 00000000 00000001 00000000 00000101 00000000 00000000 00010000* -L0020256 00000000 00000000 00000001 00000001 00000101 00000000 00000000 00000000* -L0020320 00000000 00000000 00000010 00000000 00000100 00000000 00000000 00000011* -L0020384 00100000 00000000 00000010 00000001 00000111 00000011 00000001 00000010* +L0019872 00000000 00000000 00000010 00000000 00000011 00000000 00000000 00000000* +L0019936 00000000 00000000 00000000 00000000 00000000 10000001 00000000 00000000* +L0020000 00000000 00000000 00000000 01000000 00000001 00000000 00000000 00000010* +L0020064 00000000 00000000 00000000 00000011 00000001 00000000 00000000 00000000* +L0020128 00000000 00000000 00000000 00000000 00000010 00000000 00000000 00000000* +L0020192 00000000 00000000 00000001 00000000 00000001 00100000 00000000 00000000* +L0020256 00000000 00000000 00010001 00000001 00000001 00000100 00000000 00000000* +L0020320 00000100 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0020384 00000000 00000000 00000010 00000010 00000011 00000011 00000000 00000001* L0020448 000000 000000 000000 000000 000000 000000 000000 000000* -L0020496 000000 000000 000000 000000 001100 000000 000000 000000* -L0020544 000000 000000 000000 000000 000001 000000 000000 000100* -L0020592 000000 000001 000000 000000 000001 000000 000000 000000* -L0020640 000000 000000 000000 000000 000001 000000 000000 000000* +L0020496 000000 000000 000100 000000 000000 000000 000000 000000* +L0020544 000000 000000 000000 000000 000000 000000 000000 000100* +L0020592 000000 000000 000000 000000 000000 100000 000000 000100* +L0020640 000000 000000 000000 000000 000000 000000 000000 000000* L0020688 000000 000000 000000 000000 000000 000000 000000 000000* -L0020736 00000000 00000000 00000000 00000000 00000010 00000000 00000010 00100010* -L0020800 00000000 00000000 00000010 00000010 00000010 00000000 00000000 00000010* -L0020864 00000000 00000000 00000010 00000000 00000000 00000000 00000000 00000010* -L0020928 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0020992 00000000 00000000 00000000 00000000 01000010 00000000 00000000 00000011* -L0021056 00000000 00000000 00000000 00000000 00000010 00000000 00000000 00000000* -L0021120 00000000 00000000 00100001 01000000 00000000 00001000 00000000 00001000* -L0021184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0021248 00000001 00000000 00000000 00000001 00000000 00000000 00000001 00000010* -L0021312 000000 000000 000000 000000 000000 000000 000000 000000* -L0021360 000000 000000 000000 000000 000000 000000 000000 000000* -L0021408 000000 000000 000000 000000 000000 000000 000001 000000* -L0021456 000000 000000 000000 000000 000000 000000 000000 000000* -L0021504 000000 000000 000000 000000 000000 000000 000000 000000* +L0020736 00000000 00000000 00000010 00000000 00000010 00000100 00000000 00000000* +L0020800 00000000 00000000 00000000 00000000 00000010 00000100 00000000 00000000* +L0020864 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000010* +L0020928 00000000 00000000 00000000 00000011 00000000 00000100 00000000 00000010* +L0020992 00000000 00000000 00000000 00000010 00000010 00001100 00000000 00000010* +L0021056 00000000 00000000 00000000 00100000 00000010 00000000 00000000 00100000* +L0021120 00000000 00000000 00000001 00000001 00000000 00100000 00000000 00000010* +L0021184 00000000 00000000 00000000 00000001 00000000 00100000 00000000 00000000* +L0021248 00000000 00000000 00000010 00000010 00000000 00100000 00000000 00000001* +L0021312 000000 000000 000010 000000 000000 000000 000000 000000* +L0021360 000100 000000 000000 000000 000000 000100 000000 000000* +L0021408 000000 000000 000000 000000 000000 000000 000000 000000* +L0021456 000000 000000 000000 000000 000000 000100 000000 000000* +L0021504 000000 000000 000000 000000 000000 000100 000000 000000* L0021552 000000 000000 000000 000000 000000 000000 000000 000000* -L0021600 00000010 00000000 00000000 00000010 00000100 00000000 00001010 00000001* -L0021664 00000000 00000000 00000000 00000010 00000110 00000000 11110110 00000010* -L0021728 00000000 00000000 00000010 00000010 00000010 00000000 01110100 00000010* -L0021792 00000000 00000000 00000010 00000000 00000100 00000000 00000000 00000010* -L0021856 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000010* -L0021920 00000000 00000000 00000000 00000000 10000010 00000000 00000000 00000011* -L0021984 00000000 00000000 00000000 00000000 10000010 00000000 00000100 00000000* -L0022048 00000000 00000000 00000001 00000000 00000000 00000000 10000100 00000000* -L0022112 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00001000* -L0022176 000000 000000 000000 000000 100000 000000 000000 000000* -L0022224 000000 000010 000000 000000 000000 000000 000000 000000* -L0022272 000000 000000 000000 000000 001100 000000 100000 000000* -L0022320 000000 000000 000000 000000 001100 000000 100000 000000* -L0022368 000000 000000 000000 000000 001100 000000 000000 000000* -L0022416 000000 000000 000000 000000 001100 000000 000000 000000* -L0022464 00000011 00000001 10000001 00000001 00000011 00000011 00000011 00001010* -L0022528 00000011 00000001 10000011 00000011 00000011 00000011 00000011 00000010* -L0022592 00000011 00000001 10000011 00000001 00000001 00000011 00000011 10000011* -L0022656 00000011 00000011 10000011 00000001 00000001 00110011 00000011 00000000* -L0022720 00000011 00000011 10000010 00000011 00000011 00000011 00000011 00000011* -L0022784 00000011 00000011 10000011 00000010 00000011 10000011 00000011 00000011* -L0022848 00001011 00000011 10000011 00000011 00000001 01000011 00000011 00000001* -L0022912 00000011 00000011 00000011 00000010 00000010 01000011 00000011 00001011* -L0022976 00000011 00000011 10000011 00000011 00000011 00000011 00000011 00000010* -L0023040 000000 000000 100000 000000 000000 000000 000000 000000* -L0023088 000000 000000 000000 000000 000000 000000 000000 000001* -L0023136 000000 000000 100001 000000 000000 000000 000000 000000* -L0023184 000000 000000 100001 000000 000000 000000 000000 001000* -L0023232 000000 000000 100001 000000 000000 010000 000000 000000* -L0023280 000000 000000 100001 000000 000000 000000 000000 000000* -L0023328 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01010000* -L0023392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11011100* -L0023456 00000000 00000000 00000000 00000000 00000000 00000000 10000000 01011100* -L0023520 00000000 00000000 00000000 00000000 00000000 00000000 10000000 11011100* -L0023584 00000000 00000000 00000000 00000000 00000000 00000000 10000000 11011100* -L0023648 00000000 00000000 00000000 00000100 00000000 00000000 00000000 11100100* -L0023712 00000000 00000000 00000000 00000000 00010000 00000000 00000000 11101100* -L0023776 00001000 00000000 00000000 00000000 00000000 10000000 00000000 11100100* -L0023840 00000000 00000000 00000000 00000000 00000000 00000000 00000100 11101100* -L0023904 000000 000000 000000 000000 000000 000000 000001 111011* -L0023952 000000 000000 000000 000100 000000 000000 000000 000000* -L0024000 000000 000000 000000 000000 000000 010000 000000 000001* -L0024048 000000 000000 000000 000000 000000 010000 000001 100111* -L0024096 000000 000000 000000 000000 000010 000000 000001 001111* -L0024144 000000 000000 000000 000000 000010 000000 000001 001111* -L0024192 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* -L0024256 00000000 00000000 00000000 00000000 00000000 10000000 00000000 01000100* -L0024320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01001100* -L0024384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01001100* -L0024448 00000000 00000000 00000000 00000000 00000000 00010000 00000000 01001100* -L0024512 00000000 00000000 00000000 00000000 00000000 00100000 00000000 11000100* -L0024576 00000100 00000000 00001000 00000000 00000000 00000000 00000000 11000100* -L0024640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11000100* -L0024704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11000100* -L0024768 000000 000000 000000 000000 000000 001000 000000 110001* -L0024816 001100 000000 000000 000000 000000 000000 000000 000000* -L0024864 000010 000000 000000 000000 000000 100000 000001 000000* -L0024912 000000 000000 000000 000000 000000 100000 000000 000111* -L0024960 000000 000000 000000 000000 000000 000000 000000 010111* -L0025008 000000 000000 000000 000000 000000 000000 000000 000111* -L0025056 00001100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025120 00000100 00000000 00000000 00000000 00000000 01000000 11111100 00000000* -L0025184 00000100 00000000 00000000 00000000 00000000 00001000 01111100 00000000* -L0025248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025440 00000000 00000000 00000000 00000000 00001000 00100000 00001100 00000000* -L0025504 00000100 00000000 00000000 00000000 00000000 00000000 10001100 00000000* -L0025568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025632 000000 000000 000000 000000 000000 000000 000000 000000* -L0025680 000010 000000 000000 000100 000000 000100 000010 000000* -L0025728 000000 000000 000000 000000 000000 000000 100000 000000* -L0025776 000000 000000 000000 000000 000000 000000 100000 000000* -L0025824 000000 000000 000000 000000 000000 000001 000000 001000* -L0025872 000000 000000 000000 000001 000000 000000 000000 001000* -L0025920 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0025984 00000000 00000000 00000100 00000111 00000011 00000000 00000011 00000010* -L0026048 00000000 00000000 00000100 00000000 00000001 00000000 00000001 00000001* +L0021600 00000000 00000000 00001001 00000011 00000000 00000000 00000000 00000010* +L0021664 00000000 00000000 00000010 00000001 00000010 00000000 00000000 00000000* +L0021728 00000000 00000000 00000000 00000000 00000010 00000000 00000000 00000000* +L0021792 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000010* +L0021856 00000000 00000000 00000000 00000011 00000000 00000000 00000000 00000010* +L0021920 00000000 00000000 00000000 00000010 00000010 00000000 00000000 00000010* +L0021984 00000000 00000000 00010000 00000000 00000010 00000000 00000000 00000000* +L0022048 00000000 00000000 00010001 00000001 00000000 00000000 00000000 00000010* +L0022112 00000000 00000000 00000000 00000001 00010000 00010000 00000000 00000000* +L0022176 000000 000000 000000 000000 000000 000000 000000 000000* +L0022224 000000 000100 000000 000000 000000 000000 100000 000000* +L0022272 000000 000000 000100 000000 000000 000001 000000 000000* +L0022320 000000 000000 000100 001000 000000 000000 000000 000000* +L0022368 000000 000000 000000 000000 000000 001000 000000 000000* +L0022416 000000 000000 000000 000000 000000 000000 000000 000000* +L0022464 00000011 00000001 00000011 00000010 00000111 00000111 00000011 00000001* +L0022528 00000011 00000001 00000011 00000011 00000111 00000111 00000011 00000011* +L0022592 00000011 00000001 00000011 01001000 00000001 00000111 00000011 00000011* +L0022656 00000011 00000001 00000011 00000011 00000101 00000111 00000011 00000011* +L0022720 00000011 00000001 00000011 00000010 00000111 00001111 00000011 00000011* +L0022784 00001011 00000001 00000011 00100010 10000011 00000111 00000011 00000011* +L0022848 00000011 00000001 00000011 00000001 10000001 00100011 00000011 00000011* +L0022912 00000011 00000011 00001011 00000011 00000010 00100011 00000011 10000011* +L0022976 00000011 00000011 00000011 00000010 10000011 00100011 00000011 00000011* +L0023040 000000 000000 000010 000000 100000 000000 000000 000000* +L0023088 000000 000000 000000 100000 000000 000100 000000 000000* +L0023136 000000 000000 000000 000000 001100 000000 000000 000000* +L0023184 000000 000000 000000 001000 001100 000100 000000 000000* +L0023232 000000 000000 000000 000000 001100 000100 000000 000000* +L0023280 000000 000000 000000 000000 001100 000000 000000 000000* +L0023328 00000000 00000000 00000000 00010000 00000000 01000000 00001000 10100000* +L0023392 00000000 00000000 00001000 01010000 00000000 00000000 00000000 10100000* +L0023456 00000000 00000000 00001000 00010100 00100000 00000000 00000000 10100000* +L0023520 00000000 00000000 00000000 11011100 00000000 00000000 00000000 10100000* +L0023584 00000000 00000000 00000000 01011100 00000000 00000000 00000000 11100000* +L0023648 00000000 00000000 00000000 01001100 00000000 00000000 00000000 00000000* +L0023712 00000000 00000000 00011000 01001100 00000000 00000000 00000000 00100100* +L0023776 00000000 00000000 00010000 11111100 00000000 00010000 00000000 00100100* +L0023840 00000000 00000000 00000000 01101100 00000000 00000000 00000000 10100100* +L0023904 000000 000000 000000 011111 000000 000000 000000 100000* +L0023952 000000 000000 000000 000111 010000 000001 100000 000000* +L0024000 000000 000000 000100 101111 000000 000000 000000 000001* +L0024048 000000 000000 000100 100111 000000 001000 000000 000001* +L0024096 000000 000000 000000 101111 000000 000000 000000 100001* +L0024144 000000 000000 000000 101111 000000 000000 000000 100001* +L0024192 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0024256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0024320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0024384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0024448 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* +L0024512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0024576 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* +L0024640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0024704 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0024768 000000 000000 000000 000000 000000 000000 000000 000000* +L0024816 000000 000000 001000 000000 000000 100000 000000 000010* +L0024864 000010 000000 000000 000000 000000 100000 000000 000000* +L0024912 000000 000000 000000 000000 000000 100000 000000 000000* +L0024960 000000 000000 000000 000000 000000 000000 000000 000000* +L0025008 000000 000000 000000 000000 000000 000000 000000 000000* +L0025056 00000000 00000000 00000000 00000000 00000100 00001000 00000000 00000000* +L0025120 00000000 00000000 00000000 00000000 00000100 00000100 00000000 00000000* +L0025184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025248 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0025312 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0025376 00100000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0025440 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0025504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025568 00000000 00000000 00001000 00000000 10000000 00000000 00000000 00000000* +L0025632 000000 000000 000000 000000 100000 000000 000000 000000* +L0025680 000010 000000 000010 000000 000000 000000 000000 000000* +L0025728 000000 000000 000000 000000 001100 000000 001000 000010* +L0025776 000000 000000 000000 000000 001100 000000 000000 000000* +L0025824 000000 000000 000000 000000 001100 000000 000000 000000* +L0025872 000000 000000 000000 000000 001100 000000 000000 000000* +L0025920 00010000 00000000 10000000 00000000 00000000 00000000 10000000 00000000* +L0025984 00000000 00000000 00000000 00000011 00000011 00000000 00000111 00000010* +L0026048 00000000 00000000 00000000 00000000 00000001 00000000 00000001 00000001* L0026112 00000000 00000000 00000100 00000000 00000000 00000100 00000000 00000000* -L0026176 00000000 00000000 00000100 00000010 00000011 00000001 00000010 00000010* +L0026176 00000000 00000000 00000000 00000010 00000011 00000001 00000010 00000010* L0026240 00000000 00000000 00000000 00000010 00000011 00000001 00000010 00000011* -L0026304 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0026368 00000000 00000000 00010101 00000000 00000000 00000001 00000010 00000010* -L0026432 00000000 00000000 00010110 00000000 00000010 00000000 00000010 00000000* -L0026496 000000 000000 000101 000000 000000 000000 000000 000000* -L0026544 000000 000001 000100 000000 000000 000010 000000 000000* -L0026592 000000 000000 000110 000000 000010 000000 000001 000010* -L0026640 000000 000000 000110 000000 000000 000000 000000 000000* -L0026688 000000 000000 000110 000000 000000 000000 000000 000000* -L0026736 000000 000000 000110 000000 000000 000000 000000 000000* -L0026784 00001000 00000000 00000100 00000000 00000000 00000000 00000100 00000100* -L0026848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0026912 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0026976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0027040 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0027104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0026304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0026368 00000000 00000000 00000001 00000000 00000000 00000001 00000010 00000010* +L0026432 00000000 00000000 00000010 00000000 00000010 00000000 00000010 00000000* +L0026496 000000 000000 000000 000000 000000 000000 000000 000000* +L0026544 000000 000000 000000 000000 000000 000010 000000 000000* +L0026592 000000 000000 000010 000000 000010 000000 000001 000000* +L0026640 000000 000000 000000 000000 000010 000000 000000 000000* +L0026688 000000 000000 000000 000000 000010 000000 000000 000000* +L0026736 000000 000000 000000 010000 000010 000000 000000 000000* +L0026784 00000000 00000000 00000100 00000000 00000000 00000000 00000100 01000000* +L0026848 00000000 00000000 00000100 00000000 00000000 00000000 00000000 01000000* +L0026912 00000000 00000000 00000100 00000000 00000000 00000000 00000000 01000000* +L0026976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* +L0027040 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0027104 00000000 00000000 00000000 00000000 00000000 00000000 00000100 01000000* L0027168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0027232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0027296 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0027360 000000 000000 000000 000000 000000 000000 000000 000000* -L0027408 001100 000000 000000 000100 000000 000000 000000 000000* +L0027232 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0027296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0027360 000000 000000 000000 000000 000000 000000 000001 000000* +L0027408 000000 000000 000000 000000 000000 000000 000010 000000* L0027456 000000 000000 000000 000000 000000 000000 000000 000000* L0027504 000000 000000 000000 000000 000000 000000 000000 000000* -L0027552 000000 000000 000000 000001 000000 000000 000000 000000* -L0027600 000000 000000 000000 000000 000000 000000 000000 000000* -L0027648 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0027712 00000000 00000000 00000000 00000000 00000011 00000000 00000011 00000010* -L0027776 00000000 00000000 00000000 00000000 00000001 00000000 00000001 00000000* +L0027552 000000 000000 000000 000000 000000 000000 000000 000000* +L0027600 000000 000000 000000 000000 000000 000000 000001 000000* +L0027648 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0027712 00000000 00000000 00000000 00000000 00000011 10000000 00000011 00000010* +L0027776 00000000 00000000 00000000 00001000 00000001 00000000 00000001 00000000* L0027840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0027904 00000000 00000000 00000000 00000011 00000001 00001000 00000010 00000010* -L0027968 00000100 00000000 00000000 00000010 00000001 00000001 00000010 00000010* -L0028032 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0027904 00000000 00000000 00000000 00000010 00000001 00010000 00000010 00000010* +L0027968 00000000 00000000 00000000 00000010 00000001 00100001 00000010 00000010* +L0028032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0028096 00000000 00000000 00000001 00000010 00000000 00000000 00000010 00000010* -L0028160 00000000 00000000 00000000 00000000 00000010 00000100 00000011 00000000* -L0028224 000000 000000 000010 000000 000000 000000 000000 000000* -L0028272 001100 000000 000000 000000 000000 000000 000000 000000* -L0028320 000000 000000 000000 000000 000000 000000 000000 000000* -L0028368 000000 000000 000000 000000 000000 000000 000000 001000* +L0028160 00000000 00000000 00000000 00000000 00000010 00000000 00000010 00000000* +L0028224 000000 000000 000000 000000 000000 001000 000000 000000* +L0028272 000000 000000 000000 000000 000000 000000 000000 000000* +L0028320 000000 000000 000000 000000 000000 100000 000000 000000* +L0028368 000000 000000 000000 000000 000000 100000 000000 000100* L0028416 000000 000000 000000 000000 000000 000000 000000 000000* L0028464 000000 000000 000000 000000 000000 000000 000000 000000* -L0028512 00000111 00000000 00000001 10000001 00000011 00000000 00000011 00000010* -L0028576 00000111 00000000 00000011 00000011 00000000 00000000 00000000 00000100* -L0028640 00000111 00000000 00000011 00000001 00000000 00000100 10000010 00000111* -L0028704 00000011 00000010 00000011 00000001 00000001 00000000 10000011 00000100* -L0028768 00000010 00000010 00000010 00000000 00000010 00000001 10000001 00000101* -L0028832 00000011 00001010 00000011 00000000 00000010 00000000 00000001 00000101* -L0028896 00000011 00000011 00000011 00000011 00000001 00000000 00000011 00000101* -L0028960 00000111 00000000 00000010 00000000 00001010 00000000 00000001 00000101* -L0029024 00000011 00000000 00000011 00000011 00000001 00000000 00000100 00000110* -L0029088 000000 000000 000000 000000 000000 000000 000001 000000* -L0029136 000000 000000 000000 000100 000000 000000 000000 000000* -L0029184 000000 000000 000000 000000 000000 000000 000000 000000* -L0029232 000000 000000 000000 000000 000000 000000 000001 000000* -L0029280 000000 000000 000000 000000 000010 000000 000001 000000* -L0029328 000000 000000 000000 000000 000000 000000 000001 000000* -L0029376 00000000 00000001 00000000 00000000 00000000 00000010 00000000 00000000* -L0029440 00000000 00000001 00000000 00000100 00000000 00000001 00000000 00000000* -L0029504 00000000 00000001 00000000 00000000 00000000 00000011 10000000 00000000* -L0029568 00000000 00000001 00000000 00000000 00000000 00000011 10000000 00000000* -L0029632 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* -L0029696 00000000 00000001 00000000 00000000 00000000 00000000 00000000 00010000* -L0029760 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* -L0029824 00000000 00000001 10000000 00000000 00000000 00000011 00000000 00000000* -L0029888 00000000 00000010 00000000 00000000 00000000 00000011 00000100 00000000* -L0029952 000000 000000 000000 000000 000000 000000 000001 000000* -L0030000 000000 000000 000000 000001 000000 000000 000000 000000* -L0030048 000000 000000 000000 000000 000000 000000 000000 000000* -L0030096 000000 000000 000000 000000 000000 000000 000001 000000* -L0030144 000000 000000 000000 000000 000000 000000 000001 000000* -L0030192 000000 000000 000000 000000 000000 000000 000001 000000* -L0030240 00000000 00000000 00000000 10000001 00000000 00000001 00000000 00000000* -L0030304 00000000 00000000 00000000 10000000 01000000 00000001 00000000 00000000* -L0030368 00000000 00000000 00000000 10000100 00000000 00000001 00000000 00000000* -L0030432 00000000 00000010 00000000 10000100 00000000 00000000 00000000 00000000* -L0030496 00000001 00000001 00000000 10000100 00000010 00000010 00000000 00000000* -L0030560 00000000 00000100 00000000 10000100 00000000 00000010 00000000 00000000* -L0030624 00000000 00000000 00000000 10001100 00000000 00000010 00000000 00000000* -L0030688 00000000 00000010 00000000 10001100 00000000 10000001 00000000 00000000* -L0030752 00000000 00000001 00000000 10001100 01000000 00000110 00000000 00000000* -L0030816 000000 000000 000000 100001 000000 000000 000000 000000* -L0030864 000001 000000 000000 000010 000001 000000 000000 000000* -L0030912 000000 000000 000000 000011 000000 000000 000000 000000* -L0030960 000000 000000 000000 000011 000000 000000 000000 000000* -L0031008 000000 000000 000000 000011 000000 000000 000000 000000* -L0031056 000000 000000 000000 000011 000000 000000 000000 000000* +L0028512 00000111 00000001 00000011 00000010 00000011 00000000 00000011 00000001* +L0028576 00000011 00000000 00010011 00000011 00000000 01000000 00000000 00000001* +L0028640 00000011 00000000 00000011 00000000 00000000 00001000 00000010 00000011* +L0028704 00000011 00000000 00000011 10000011 00000001 00000000 00000011 00000011* +L0028768 00000011 00000000 00000111 00000000 00000010 00000001 00000001 00000001* +L0028832 00000001 00000000 10000011 00000100 00000010 00000000 00000001 00000001* +L0028896 00000011 00000000 10000111 00000101 00000001 00100000 00000011 00000011* +L0028960 00000010 00000010 10000110 00000001 00001010 00000000 00000001 00000001* +L0029024 00000010 00000010 00000111 00000010 00000001 00000000 00000001 00000011* +L0029088 000000 000000 100001 000000 000000 000000 000000 000000* +L0029136 000000 000001 000000 000001 000000 000100 000000 000000* +L0029184 000000 000000 000001 000001 000000 000000 000000 000000* +L0029232 000000 000000 000011 000001 000000 000000 000000 000000* +L0029280 000000 000000 000011 000001 000010 000001 000000 000000* +L0029328 000000 000000 000011 000001 000000 000000 000000 000000* +L0029376 00000100 00000000 00001000 10000000 00000000 00000010 00000000 00000000* +L0029440 00000000 00000000 00000000 00000000 00100000 00000001 00000000 00000000* +L0029504 00000000 00000001 00000000 00000000 00000000 00000011 00000000 00000000* +L0029568 00000000 00000001 00000000 00000100 00000000 00110011 00000000 00000000* +L0029632 00000000 00000001 00000000 00000000 00000000 00000000 00000000 00000000* +L0029696 00000000 00000001 00011000 00000000 00100000 10000000 00000000 00000000* +L0029760 00000000 00000001 00000000 00000000 00000000 01000001 00000000 00000000* +L0029824 00000000 00000001 00000000 00100000 00000000 01000011 00000000 00000000* +L0029888 00000000 00000001 00000000 00000000 00000100 00000011 00000000 00000000* +L0029952 000000 000000 000000 000000 000000 000000 000000 000000* +L0030000 000000 000000 000100 000000 001110 000000 000000 000000* +L0030048 000000 000000 000000 100000 000000 000000 000000 000000* +L0030096 000000 000000 001000 000000 010000 000000 000000 000000* +L0030144 000000 000000 000000 000000 000001 010000 000000 000000* +L0030192 000000 000000 000000 000000 000000 000000 000000 000000* +L0030240 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* +L0030304 00000000 00000001 00000000 00000000 00000100 00000001 00000000 00000000* +L0030368 00000000 00000000 00001000 10000000 00000100 00000001 00000000 00000000* +L0030432 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* +L0030496 00000010 00000000 00000000 00001000 00000110 00000010 00000000 00000000* +L0030560 00000010 00000000 10000000 00001000 00000000 00000010 00000000 00000000* +L0030624 00000000 00000000 10000100 00010000 10000000 00000010 00000000 00000000* +L0030688 00000001 00000000 10010100 00001000 10000000 10000001 00000000 00000000* +L0030752 00000001 00000000 00001101 00000000 00000000 00000010 00000000 00000000* +L0030816 000000 000000 100001 000010 100000 000000 000000 000000* +L0030864 000000 000010 000000 000000 000000 000000 000000 000000* +L0030912 000000 000000 000000 000101 000000 010000 000000 000000* +L0030960 000000 000000 000100 000000 001100 010000 000000 010000* +L0031008 000000 000000 000000 000101 000000 000000 000000 000000* +L0031056 000000 000000 000000 000001 011100 000000 000000 000000* L0031104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0031168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0031168 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* L0031232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0031296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0031360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0031424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0031424 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* L0031488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0031552 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0031552 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* L0031616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0031680 000000 000000 000000 000000 000000 000000 000000 000000* L0031728 000000 000000 000000 000000 000000 000000 000000 000000* @@ -645,282 +645,282 @@ L0031776 000000 000000 000000 000000 000000 000000 000000 000000* L0031824 000000 000000 000000 000000 000000 000000 000000 000000* L0031872 000000 000000 000000 000000 000000 000000 000000 000000* L0031920 000000 000000 000000 000000 000000 000000 000000 000000* -L0031968 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032096 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032160 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032224 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032288 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032352 00000011 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032416 00000011 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032480 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032544 000000 000000 000000 000000 000000 000000 000000 000000* -L0032592 000000 000000 000000 000000 000000 000000 000000 000000* -L0032640 000000 000000 000000 000000 000000 000000 000000 000000* -L0032688 000000 000000 000000 000000 000000 000000 000000 000000* -L0032736 000000 000000 000000 000000 000000 000000 000000 000000* -L0032784 000000 000000 000000 000000 000000 000000 000000 000000* -L0032832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0032896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0033024 00000000 00000000 00101000 00000000 00000000 00000000 00000000 00000000* -L0033088 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0031968 00000000 00000000 00000001 00000000 00000000 00000000 01111011 00100000* +L0032032 00000000 00000000 00010010 00000000 00000000 00000000 00000000 10100000* +L0032096 00000001 00000000 00010000 00000000 00000000 00000000 00000010 10100000* +L0032160 00000001 00000000 00000010 10000000 00000000 00000000 00000011 10100000* +L0032224 00000001 00000000 00000110 00000000 00000000 00000000 00000001 10100000* +L0032288 00000000 00000000 10000010 00010100 00000000 00001000 00001001 00000000* +L0032352 00000001 00000000 10000110 00011100 00010000 00000000 00000011 10100000* +L0032416 00000000 00000000 10100110 00001000 00000000 00000000 00000001 00100000* +L0032480 00000000 00000000 00000100 00001000 00000000 00000000 00000001 00100000* +L0032544 000000 000000 100001 000010 000000 000000 000000 000000* +L0032592 000000 000000 000000 000001 000000 000000 100000 000000* +L0032640 000000 000000 000001 000001 000000 000000 000000 000000* +L0032688 000000 000000 000011 000001 000000 000000 000000 000000* +L0032736 000000 000000 000011 000001 000010 000000 000000 000000* +L0032784 000000 000000 000011 000001 000010 000000 000000 000000* +L0032832 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0032896 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* +L0032960 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* +L0033024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0033088 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* L0033152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0033216 00010000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0033216 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0033280 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0033344 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0033408 000000 000000 000000 000000 000000 000000 000000 000000* -L0033456 000000 000000 000000 000000 100000 000000 000000 000010* -L0033504 000000 000000 000000 000000 100000 000000 000000 000010* +L0033344 00000000 00000000 00000000 00100000 00000000 00000000 00000000 00000000* +L0033408 000000 000000 000000 010101 000000 000000 000000 000000* +L0033456 000000 000000 000000 000000 000000 000000 000000 000000* +L0033504 000000 000000 000000 000010 100000 000000 000000 000000* L0033552 000000 000000 000000 000000 000000 000000 000000 000000* -L0033600 000000 000000 000000 000000 000000 000000 000000 000000* +L0033600 000000 000000 000000 100000 000000 000000 000000 000000* L0033648 000000 000000 000000 000000 000000 000000 000000 000000* -L0033696 00000001 00000000 00000001 00000000 00000000 00000000 00000011 00000010* -L0033760 00000001 00000000 00000000 00000000 00000000 00000010 00000000 00000000* -L0033824 00000000 00000000 00000010 00000000 00000000 00000000 10000010 00000000* -L0033888 00000000 00000000 00000000 00000100 00000000 00000000 10000011 00000000* -L0033952 00000001 00000000 00000100 10000100 00000000 00000010 10000001 00000001* -L0034016 00010000 00000000 00000000 10010000 00010000 00000010 00000001 00000001* -L0034080 00000001 00000000 00000101 10011000 00000000 00000000 00000011 00000001* -L0034144 00000000 00000000 00110110 10001000 00000010 00000010 00000001 00000001* -L0034208 00000000 00000000 00110100 10001000 00000000 00000000 00000100 00000000* -L0034272 000000 000000 101101 100000 000000 000000 000001 000000* -L0034320 000000 000000 000100 000010 000000 000000 000000 000000* -L0034368 000000 000000 000100 000010 000000 000000 000000 000000* -L0034416 000000 000000 000110 010010 000000 000000 000001 000000* -L0034464 000000 000000 000100 000010 000010 000000 000001 000000* -L0034512 000000 000000 001100 000010 000010 000000 000001 000000* +L0033696 00000000 00000000 00000001 00000010 00000000 00000000 00000001 00100000* +L0033760 00000000 00000000 00000011 00000000 00000000 00000010 00000000 10100000* +L0033824 00000000 00000000 00000001 00000000 00000000 00000000 00000000 10100000* +L0033888 00000000 00000000 00000011 10000010 00000000 00000000 00000011 10100000* +L0033952 00000001 00000000 00000010 00000000 00000000 00000010 00000001 10100000* +L0034016 00000001 00000000 00000010 00010100 00010000 00000010 00000000 10000001* +L0034080 00000000 00000000 00000010 00011100 00000000 00000000 00000010 00100000* +L0034144 00000010 00000000 00000010 00001001 00000010 00000010 00000001 00100100* +L0034208 00000001 00000000 00000000 00001010 00000000 00000000 00000000 00100001* +L0034272 000000 000000 000000 000010 000000 000000 000000 000000* +L0034320 000000 000000 000000 000001 000000 000000 000000 000000* +L0034368 000000 000000 000000 000001 000000 000000 000000 000000* +L0034416 000000 000000 000000 010101 000000 000000 000000 000000* +L0034464 000000 000000 000000 000101 000010 000000 000000 000000* +L0034512 000000 000000 000000 000001 000010 000000 000000 000001* L0034560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0034624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0034688 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0034752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0034816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0034816 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* L0034880 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0034944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0035008 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035072 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035072 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* L0035136 000000 000000 000000 000000 000000 000000 000000 000000* L0035184 000000 000000 000000 000000 000000 000000 000000 000000* L0035232 000000 000000 000000 000000 000000 000000 000000 000000* -L0035280 000000 000000 100000 000000 000000 000000 000000 000000* +L0035280 000000 000000 000000 000000 000000 000000 000000 000000* L0035328 000000 000000 000000 000000 000000 000000 000000 000000* -L0035376 000000 000000 000000 000000 000000 000000 000000 000000* +L0035376 000000 000000 000000 000010 000000 000000 000000 000000* L0035424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035552 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* -L0035616 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* -L0035680 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* -L0035744 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* -L0035808 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0035872 00000000 00000000 00110100 00000000 00000000 00000000 00000000 00000000* -L0035936 00000000 00000000 00110100 00000000 00000000 00000000 00000100 00000000* -L0036000 000000 000000 001101 000000 000000 001000 000001 000000* -L0036048 000000 000000 000100 000000 000000 001000 000000 000000* -L0036096 000000 000000 000100 000000 000000 000000 000000 000000* -L0036144 000000 000000 000100 000000 000000 001000 000001 000000* -L0036192 000000 000000 000100 000000 000010 001000 000001 000000* -L0036240 000000 000000 000100 000000 000010 001000 000001 000000* -L0036288 00000000 00000000 00000000 00000000 00000010 00000000 00000000 00000000* -L0036352 00000000 00000000 00000000 00000010 00000000 00000000 00000000 00000000* -L0036416 00000000 00000000 00000000 00000000 00000000 00000010 00000000 00000000* -L0036480 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* -L0036544 00000000 00000000 00000000 00000000 00000000 00000101 00000000 00000000* -L0036608 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11000000* -L0036672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0036736 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0036800 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0036864 000000 000000 000000 000000 000000 000000 000000 000000* -L0036912 000000 000000 000000 000000 000000 000000 000000 000000* -L0036960 000000 000000 000000 000000 000000 000000 000000 000000* -L0037008 000000 000000 000000 000000 000000 000000 000000 000100* -L0037056 000000 000000 000000 000000 000000 000100 000000 000000* -L0037104 000000 000000 000000 000000 000000 000000 000000 000000* +L0035488 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0035552 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0035616 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* +L0035680 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0035744 00000000 00000000 10000000 00010100 00000000 00000000 00000000 00000000* +L0035808 00000000 00000000 10000100 00011100 00000000 00000000 00000000 00000000* +L0035872 00000000 00000000 10100100 00001000 00000000 00000000 00000000 00000000* +L0035936 00000000 00000000 00000100 00001000 00000000 00000000 00000000 00000000* +L0036000 000000 000000 100001 000010 000000 000000 000000 000000* +L0036048 000000 000000 000000 000001 000000 000000 000000 000000* +L0036096 000000 000000 000001 000001 000000 000000 000000 000000* +L0036144 000000 000000 000011 000001 000000 000000 000000 000000* +L0036192 000000 000000 000011 000001 000000 000000 000000 000000* +L0036240 000000 000000 000011 000001 000000 000000 000000 000000* +L0036288 00000000 00000000 00000000 00000000 00000010 00000000 00000000 10100000* +L0036352 00000000 00000000 00010000 00000010 00000000 00000000 00000000 10100000* +L0036416 00000000 00000000 00010000 00000000 00000000 00000010 00000000 10100000* +L0036480 00000000 00000000 00000000 10001000 00000000 00000000 00000000 10100000* +L0036544 00000000 00000000 00000000 00001000 00000000 00000001 00000000 10100000* +L0036608 00000000 00000000 00000000 00001100 00000000 00000000 00000000 00000000* +L0036672 00000000 00000000 00000000 00001100 00000000 00000000 00000000 00100100* +L0036736 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00100100* +L0036800 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00100100* +L0036864 000000 000000 000000 000010 000000 000000 000000 000000* +L0036912 000000 000000 000000 000101 000000 000000 000000 000000* +L0036960 000000 000000 000000 000101 000000 000000 000000 000101* +L0037008 000000 000000 000000 000101 000000 000000 000000 000001* +L0037056 000000 000000 000000 000101 000000 000000 000000 000001* +L0037104 000000 000000 000000 000101 000000 000000 000000 000001* L0037152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0037216 00000000 00000000 10000000 00000000 00000000 10000000 00000000 00010100* -L0037280 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010100* -L0037344 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00010100* -L0037408 00000000 00000000 00000000 10000100 00000000 00000000 00000000 00010100* -L0037472 00000000 00000000 00000000 10010000 00000000 00100000 00000000 00000100* -L0037536 00000000 00000000 00000100 10011000 00000000 00000100 00000000 00000100* -L0037600 00000000 00000000 00110100 10001000 00000000 00000000 00000000 00000100* -L0037664 00000000 00000000 00110100 10001000 00000000 00000000 00000000 00000100* -L0037728 000000 000000 001101 100000 000000 000000 000000 000000* -L0037776 000000 000000 000100 000010 000000 000000 000000 000000* -L0037824 000000 000000 000100 000010 000000 000000 000000 000000* -L0037872 000000 000000 000100 000010 000000 100000 000000 000000* -L0037920 000000 000000 000100 000010 000000 000000 000000 000000* -L0037968 000000 000000 000100 000010 000000 000000 000000 100000* +L0037216 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0037280 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0037344 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0037408 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* +L0037472 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0037536 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0037600 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* +L0037664 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0037728 000000 000000 000000 000000 000000 000000 000000 000000* +L0037776 000000 000000 000000 000000 000000 000000 000000 000001* +L0037824 000000 000000 000000 000000 000000 000000 000000 000000* +L0037872 000000 000000 000000 000000 000000 000000 000000 000000* +L0037920 000000 000000 000000 000000 000000 000000 000000 000000* +L0037968 000000 000000 000000 001000 000000 000000 000000 100000* L0038016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0038080 00000000 00000000 00000000 00000011 00000011 00000011 00000011 00000010* +L0038080 00010000 00000000 00000000 00000011 00000011 00000011 00000011 00000010* L0038144 00000000 00000000 00000000 00000000 00000001 00000001 00000001 00000001* -L0038208 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* -L0038272 00000000 00000000 00000000 00000110 00000011 00000001 10000010 00000010* +L0038208 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0038272 00000000 00000000 00000000 00000010 00000011 00000001 00000010 00000010* L0038336 00000000 00000000 00000000 00000010 00000011 00000001 00000010 00000011* L0038400 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0038464 00000000 00000000 00000001 10001010 00000000 00000001 00000010 00000010* -L0038528 00000000 00000000 00000010 10001000 00000010 00000010 00000010 00000000* -L0038592 000000 000000 000000 100000 000000 000000 000001 000000* +L0038464 00000000 00000000 00000001 00000010 00000000 00000001 00000010 00000010* +L0038528 00000000 00000000 00000010 00000000 00000010 00000010 00000010 00000000* +L0038592 000000 000000 000000 000000 000000 000000 000000 000000* L0038640 000000 000000 000000 000000 000000 000000 000000 000000* -L0038688 010000 000000 000000 000000 000000 000000 000000 000000* +L0038688 000000 000000 000000 000000 000000 000000 000000 000000* L0038736 000000 000000 000000 000000 000000 000000 000000 000000* -L0038784 000000 000000 000000 000010 000000 000000 000000 000000* -L0038832 000000 000000 000000 000010 000000 000000 000001 000000* +L0038784 000000 000000 000000 000000 000000 000000 000000 000000* +L0038832 000000 000000 000000 000000 000000 000000 000000 000000* L0038880 00000011 00000001 00000011 00000011 00000011 00000011 00000011 00000011* L0038944 00000011 00000001 00000011 00000011 00000011 00000011 00000011 00000011* -L0039008 00000011 00000001 00000011 00000011 00000011 00000011 10000010 00000011* -L0039072 00000011 00000011 00000011 00000101 00000011 00000011 00000011 00000011* -L0039136 00000011 00000011 00000011 10000011 00000011 00000011 00000011 00000011* -L0039200 00000011 00000011 00000011 10000011 00000011 00000011 00000011 00000011* -L0039264 00000011 00000011 00000011 10001011 00000011 00000011 00000011 00000011* -L0039328 00000011 00000011 00000011 00000001 00010011 00000011 00000011 00000011* -L0039392 00000011 00000011 00000011 00000011 00000011 00000011 00000111 00000011* +L0039008 00000011 00000001 00000011 00000011 00000011 00000011 00000010 00000011* +L0039072 00000011 00000001 00000011 00000011 00000011 00000011 00000011 00000011* +L0039136 00000011 00000001 00000011 00000011 00000011 00000011 00000011 00000011* +L0039200 00000011 00000001 00000011 00000011 00000011 00000011 00000011 00000011* +L0039264 00000011 00000001 00000011 00000001 00000011 00000011 00000011 00000011* +L0039328 00000011 00000011 00000011 00000011 00010011 00000011 00000011 00000011* +L0039392 00000011 00000011 00000011 00000011 00000011 00000011 00000011 00000011* L0039456 000000 000000 000000 000000 000000 000000 000000 000000* -L0039504 100000 000000 000000 000010 000000 000000 000000 000000* -L0039552 000000 000000 000000 000010 000000 000000 000000 000000* -L0039600 000000 000000 000000 000010 000000 000000 000001 000000* -L0039648 000000 000000 000000 000000 000000 000000 000001 000000* +L0039504 100000 000000 000000 000000 000000 000000 000000 000000* +L0039552 000000 000000 000000 000000 000000 000000 000000 000000* +L0039600 000000 000000 000000 000000 000000 000000 000000 000000* +L0039648 000000 000000 000000 000000 000000 000000 000000 000000* L0039696 000000 000000 000000 000000 000000 000000 000000 000000* -L0039744 00000010 00000000 01000011 00000010 00000011 00000011 00000011 00000011* -L0039808 00000001 00000000 01101011 00000011 00000011 00000011 00000011 00000010* -L0039872 00000000 00000000 00100011 00000010 00000111 00000011 10000010 00000011* -L0039936 00000000 00000000 00101011 00000100 00000011 00000011 10000011 00000011* -L0040000 00000001 00000000 00100011 10000111 00000011 00000011 10000011 00000011* -L0040064 00000011 00000000 00000011 10000011 00000011 00000010 00000011 00000011* -L0040128 00000001 00000000 00000111 10001001 00000011 00000011 00000011 00000001* -L0040192 00000001 00000001 00010111 10001011 10000011 00000011 00000011 00000011* -L0040256 00000001 00000000 00010111 10001001 00000011 00000011 00000111 00000011* -L0040320 000000 000000 000101 100000 000001 000000 000001 000000* -L0040368 000000 000000 000100 000010 100000 000000 000000 000000* -L0040416 000000 000000 000100 000010 110000 000000 000000 000000* -L0040464 000000 000000 000100 000010 000000 000000 000001 000000* -L0040512 000000 000000 000100 000010 010010 000000 000001 000000* -L0040560 000000 000000 000100 000010 010011 000000 000001 100000* -L0040608 00000010 00000000 00000011 00000010 00000111 00000001 00000011 00100011* -L0040672 10000000 00000000 00000111 00000010 10011111 00000011 00000010 00000010* -L0040736 10000000 00000000 00000111 00000000 00000001 00000011 00000010 00000011* -L0040800 00000000 00000000 00000011 00000000 00000011 00000011 00000011 00000011* -L0040864 00000001 00000000 00000001 00000001 00000011 00000010 00000001 00000011* -L0040928 00000001 00000000 10000001 00010011 10000111 00000010 00000001 00000011* -L0040992 00000001 00000000 00000011 00010001 10000011 00000011 00000011 00000001* -L0041056 00000001 00000000 00100011 00000001 00000011 00000011 00000001 00000011* -L0041120 00000001 00000001 00000011 00000001 00000011 00000011 00000001 00000011* +L0039744 00000001 00000000 00000011 00000011 00000011 00000011 00000000 00000011* +L0039808 00000001 00000000 00000011 00000011 00000011 00000011 00000011 00000011* +L0039872 00000000 00000000 00000001 00000011 00000111 00000011 00000000 00000011* +L0039936 00000000 00000000 00000001 00000011 00000011 00000011 00000000 00000010* +L0040000 00000000 00000000 00000001 00000011 00000011 00000011 00000010 00000010* +L0040064 00000001 00000000 00000001 00000011 00000011 00000010 00000010 00000011* +L0040128 00010000 00000000 00000001 00000001 00000011 00000011 00000001 00000010* +L0040192 00000000 00000000 00000011 00000011 10000011 00000011 00000011 00000011* +L0040256 00000001 00000001 00000011 00000011 00000011 00000011 00000011 00000011* +L0040320 000000 000000 000000 000000 000001 000000 000000 000000* +L0040368 000000 000000 000000 000000 000000 000000 000000 000000* +L0040416 000000 000000 000000 000000 110000 000000 000000 000000* +L0040464 000000 000000 000000 000000 000000 000000 000000 000000* +L0040512 000000 000000 000000 000000 010010 000000 000000 000000* +L0040560 000000 000000 000000 000000 010011 000000 000000 000000* +L0040608 10000000 00000000 00000011 00000011 00000111 00000001 00000000 00000010* +L0040672 00000000 00000000 00000011 00000011 10011111 00000011 00000000 00000010* +L0040736 00000000 00000000 00000001 00000011 00000001 00000011 00000000 00000011* +L0040800 00000000 00000000 00000001 00000011 00000011 00000011 00000000 00000010* +L0040864 00000000 00000000 00000001 00000011 00000011 00000010 00000000 00000010* +L0040928 00000000 00000000 00000001 00000011 10000111 00000010 00000000 00000010* +L0040992 00000000 00000000 00000001 00000001 10000011 00000011 00000001 00000010* +L0041056 00000001 00000000 00000011 00000011 00000011 00000011 00000001 00000011* +L0041120 00000001 00000000 00000011 00000011 00000011 00000011 00000001 00000011* L0041184 000000 000000 000000 000000 000000 000000 000000 000000* -L0041232 000000 000000 001000 010000 000000 000000 000000 010000* -L0041280 000001 000000 000000 000000 001100 000000 000000 000000* +L0041232 000000 000000 000000 000000 000000 000000 000000 000000* +L0041280 000000 000000 000000 000000 001100 000000 000000 000000* L0041328 000000 000000 000000 000000 001100 000000 000000 000000* -L0041376 000000 000000 000000 000000 000000 000000 000000 100000* +L0041376 000000 000000 000000 000000 000000 000000 000000 000000* L0041424 000000 000000 000000 000000 000000 000000 000000 000000* -L0041472 00000011 00000000 00000011 00000010 00000011 00000001 00000010 00000011* -L0041536 00000000 00000000 00000011 00000011 00000011 00000001 00000000 00000010* -L0041600 00000001 00000000 00000011 00000000 00000101 00000001 00000000 00000011* -L0041664 00000001 00000000 00000011 00000000 00000011 00000001 00000000 00000011* -L0041728 00000000 00000000 00000001 00000001 00000011 00000000 00000000 00000011* -L0041792 00000001 00000000 00000001 00000001 00000011 00000010 00000000 00000011* -L0041856 00000011 00000000 00000011 00000001 00000001 00000011 00000000 00000001* -L0041920 00000011 00000000 00000011 00000001 10000001 00000011 00000001 00000011* -L0041984 00000011 00000000 00000011 00000001 00000011 00000011 00000001 00000011* -L0042048 000000 000000 000000 000000 000001 000000 000000 000000* -L0042096 000000 000000 000000 000000 000000 000000 000000 000000* -L0042144 000000 000000 000000 000000 010000 000000 000000 000000* -L0042192 000000 000000 000000 000000 000000 000000 000000 000000* -L0042240 000000 000000 000000 000000 010010 000000 000000 000000* -L0042288 000000 000000 000000 000000 010011 000000 000000 000000* -L0042336 00000010 00010000 00000010 00000010 00000011 00000001 00000010 00000011* -L0042400 00000000 00000000 00000011 00000010 00000010 00000001 00000000 00000010* -L0042464 00000000 00000000 00000011 00000000 10011001 00000000 10000000 00000011* -L0042528 10000000 00000000 00000000 00000000 00000111 00000001 10000000 00000011* -L0042592 10000000 00000000 00000001 00000000 00000110 00000000 10000000 00000011* -L0042656 00000000 00000000 00000001 00000001 00000011 00000000 00010000 00000001* -L0042720 00000001 00000000 00000011 00000001 00000101 00000001 00000000 00000001* -L0042784 00000001 00000000 00000011 00000001 00000001 00000010 00000001 00000011* -L0042848 00000001 00000000 00000011 00000001 10000011 00000011 00000101 00000011* -L0042912 000000 000000 000000 000000 100000 000000 000001 000000* -L0042960 000000 000000 000000 000000 100000 000000 000000 000000* -L0043008 000000 000000 000000 000000 000000 000000 000000 000000* -L0043056 000001 000000 000000 000000 000000 000000 000001 000000* -L0043104 000000 000000 000000 000000 001100 000000 000001 000000* -L0043152 000000 000000 000000 000000 001100 000000 000001 000000* -L0043200 00000000 00000000 00000000 00000010 00000000 00000000 00000010 00000001* -L0043264 00000010 00000000 00000010 00000010 00000000 00000011 00000010 00000011* -L0043328 00000000 00000000 00000000 00000000 00000000 00000011 00000000 00000011* +L0041472 00000000 00000000 00000011 00010011 00000011 00000001 00000011 00100010* +L0041536 00000000 00000000 00000010 00010001 00000011 00000001 00000000 10100010* +L0041600 00000001 00000000 00000000 00010011 00000001 00000001 00000010 10100010* +L0041664 00000001 00000000 00000010 10010011 00000011 00000001 00000011 10100010* +L0041728 00000001 00000000 00000011 00010111 00000011 00000000 00000001 10100010* +L0041792 00000000 00000000 00000011 01000111 00000011 00000010 00000001 00010010* +L0041856 00000001 00000000 00000011 01000101 00000001 00000011 00000011 00110010* +L0041920 00000000 00000000 00000011 11010111 00000001 00000011 00000001 00100011* +L0041984 00000000 00000000 00000011 01100111 00000011 00000011 00000001 10100001* +L0042048 000000 000000 000000 001000 000000 000000 000000 000000* +L0042096 000000 000000 000000 000011 000000 000000 000000 000000* +L0042144 000000 000000 000000 000011 000000 000000 000000 001000* +L0042192 000000 000000 000000 100011 000000 000000 000000 001000* +L0042240 000000 000000 000000 100011 000000 000000 000000 010000* +L0042288 000000 000000 000000 100011 000000 000000 000000 000000* +L0042336 00000000 00001000 00000010 00000001 00000011 00000001 00000000 10000010* +L0042400 00000000 00000000 00000010 10000001 00000010 00000001 00000000 00000010* +L0042464 00000000 00000000 00000000 10000011 00000001 00000000 00000000 01000010* +L0042528 00000000 00000000 00000000 00000011 00000011 00000001 00000000 00000010* +L0042592 00000000 00000000 00000001 00000011 00000010 00000000 00000000 00000010* +L0042656 00000000 00000000 00000001 00011011 00000011 00000000 00000000 00000000* +L0042720 00000000 00000000 00000001 00010001 00000001 00000001 00000000 00000110* +L0042784 00000000 00000000 00000001 00000011 00000001 00000010 00000001 00000100* +L0042848 00000000 00000000 00000011 00000011 00000011 00000011 00000001 01000101* +L0042912 000000 000000 000000 000000 000000 000000 000000 010000* +L0042960 000000 000000 000000 000100 000000 000000 000000 000000* +L0043008 000000 000000 000000 010100 000000 000000 000000 000000* +L0043056 000000 000000 000000 010100 000000 000000 000000 000000* +L0043104 000000 000000 000000 000100 000000 000000 000000 000001* +L0043152 000000 000000 000000 000100 000000 000000 000000 000001* +L0043200 00010010 00000000 00000010 00000010 00000000 00000000 00000011 00000000* +L0043264 00000010 00000000 00000000 00000000 00000010 00000011 00000010 00000000* +L0043328 00000000 00000000 00000000 00000010 00000000 00000011 00000000 00000000* L0043392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0043456 00000010 00000000 00000010 00000010 00000011 00000011 00000010 00000001* +L0043456 00000011 00000000 00000010 00000010 00000010 00000011 00000011 00000010* L0043520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0043584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0043648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0043712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0043776 000000 000000 000000 000000 000000 000000 000000 000000* +L0043584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* +L0043648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* +L0043712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* +L0043776 000000 000000 000000 000000 000000 000000 000000 010000* L0043824 000000 000000 000000 000000 000000 000000 000000 000000* L0043872 000000 000000 000000 000000 000000 000000 000000 000000* L0043920 000000 000000 000000 000000 000000 000000 000000 000000* L0043968 000000 000000 000000 000000 000000 000000 000000 000000* L0044016 000000 000000 000000 000000 000000 000000 000000 000000* -L0044064 00000000 00000000 00000011 00000011 00000001 00000000 00000011 00000001* -L0044128 00000001 00000000 00000001 00000001 00000001 00000010 00000001 00000001* -L0044192 00000001 00000000 00000001 00000011 00100001 00000010 00000011 00000001* +L0044064 00000000 00000000 00000011 00000011 00000001 00000000 00000000 00000011* +L0044128 00000001 00000000 00000001 00000001 00000001 00000010 00000000 00000001* +L0044192 00000001 00000000 00000001 00000011 00000001 00000010 00000000 00000011* L0044256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0044320 00000001 00000000 00000010 00000010 00000010 00000010 00000000 00000000* L0044384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0044448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0044512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0044576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0044640 000000 000000 000000 000000 010000 000000 000000 000000* +L0044640 000000 000000 000000 000000 000000 000000 000000 000000* L0044688 000000 000000 000000 000000 000000 000000 000000 000000* L0044736 000000 000000 000000 000000 000000 000000 000000 000000* L0044784 000000 000000 000000 000000 000000 000000 000000 000000* L0044832 000000 000000 000000 000000 000000 000000 000000 000000* L0044880 000000 000000 000000 000000 000000 000000 000000 000000* -L0044928 00000000 00000000 00000010 00000010 00000000 00000000 00000001 00000010* -L0044992 00000000 00000000 00000000 00000011 00000010 00000011 00000001 00000010* -L0045056 00000000 00000000 00000000 00000001 00000010 00000011 00000010 00000010* -L0045120 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000001* -L0045184 00000010 00000000 00000010 00000011 00000000 00000011 00000001 00000010* +L0044928 00000010 00000000 00000010 00000000 00000000 00000000 00000001 00000010* +L0044992 00000000 00000000 00000000 00000011 00000000 00000011 00000001 00000010* +L0045056 00000000 00000000 00000000 00000011 00000000 00000011 00000010 00000010* +L0045120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000001* +L0045184 00000010 00000000 00000010 00000001 00000010 00000011 00000001 00000010* L0045248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0045312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0045376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0045440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0045504 000000 000000 000000 000000 000000 000000 000000 000000* L0045552 000000 000000 000000 000000 000000 000000 000000 000000* -L0045600 000000 000000 000000 000000 000000 000000 000001 000000* +L0045600 000000 000000 000000 000000 000000 000000 000000 000000* L0045648 000000 000000 000000 000000 000000 000000 000000 000000* L0045696 000000 000000 000000 000000 000000 000000 000000 000000* L0045744 000000 000000 000000 000000 000000 000000 000000 000000* -L0045792 00000000 00000010 00000010 00000011 00000000 00000000 00000001 00000000* -L0045856 00000001 00000000 00000001 00000011 00000001 00000010 11111101 00000001* -L0045920 00000000 00000000 00000000 00000001 00000000 00000010 01111101 00000010* +L0045792 00000010 00000000 00000010 00000010 00000000 00000001 00000010 00000000* +L0045856 00000010 00000000 00000001 00000000 00000001 00000011 00000010 00000001* +L0045920 00000010 00000000 00000011 00000010 00000000 00000011 00000000 00000000* L0045984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0046048 00000011 00000010 00000011 00000011 00000000 00000010 00000011 00000011* -L0046112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0046176 00000000 00000000 00000000 00000000 00000000 00000000 00001100 00000000* -L0046240 00000000 00000000 00000000 00000000 00000000 00000000 10001100 00000000* +L0046048 00000010 00000000 00000000 00000010 00000011 00000011 00000010 00000001* +L0046112 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0046176 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0046240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0046304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0046368 000000 000000 000000 000000 000000 000000 000000 000000* -L0046416 000000 000000 000000 000000 000000 000000 000000 000000* -L0046464 000000 000000 000000 000000 000000 000000 100010 000000* -L0046512 000000 000000 000000 000000 000000 000000 100010 000000* +L0046416 000000 000000 000000 000000 000000 000000 010000 000000* +L0046464 000000 000000 000000 000000 000000 000000 000000 000000* +L0046512 000000 000000 000000 000000 000000 000000 000000 000000* L0046560 000000 000000 000000 000000 000000 000000 000000 000000* L0046608 000000 000000 000000 000000 000000 000000 000000 000000* -L0046656 00000000 00000000 00000000 00000000 10011010 00000000 00000000 00000000* -L0046720 00000000 00000000 00000000 00000000 10011000 00000010 00000000 00000010* -L0046784 00000000 00000000 00000011 00000011 10011000 00000010 00000001 00000010* -L0046848 00000000 00000000 00000000 00000000 10011000 00000000 00000000 10000000* -L0046912 00000011 00000000 00000010 00000000 10011010 00000010 00000010 00000000* -L0046976 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0047040 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0047104 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0047168 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0047232 000000 000000 000000 000000 100000 000100 000000 000000* -L0047280 000000 000000 000000 000000 001100 000000 000000 000001* -L0047328 000000 000000 000000 000000 001100 000000 000000 000000* -L0047376 000000 000000 000000 000000 001101 000000 000000 000000* -L0047424 000000 000000 000000 000000 001101 000000 000000 000000* -L0047472 000000 000000 000000 000000 001101 000000 000000 000000* -L0047520 00000000 00000000 00000010 00000010 00000000 00000000 00000000 00000010* -L0047584 00000001 00000000 00000000 00000000 00000000 00000010 00000000 10000000* -L0047648 00000001 00000000 00000000 00000010 00000000 00000010 00000000 10000010* +L0046656 00000000 00000000 00000010 00000010 00000000 00000000 00000000 00000000* +L0046720 00010000 00000000 00000000 00000000 00000010 00000010 00000010 00000000* +L0046784 00000000 00000000 00000001 00000000 00000010 00000010 00000001 00000000* +L0046848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0046912 00000000 00000000 00000010 00000000 00000000 00000010 00000000 00000010* +L0046976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0047040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0047104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0047168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0047232 000000 000000 000000 000000 000000 000100 000000 000000* +L0047280 000000 000000 000000 000000 000000 000000 100000 000000* +L0047328 000000 000000 000000 000000 000000 000000 000000 000000* +L0047376 000000 000000 000000 000000 000000 000000 000000 000000* +L0047424 000000 000000 000000 000000 000000 000000 000000 000000* +L0047472 000000 000000 000000 000000 000000 000000 000000 000000* +L0047520 01000000 00000000 00000010 00000000 00000010 00000001 01111000 00000010* +L0047584 00000001 00000000 00000000 00000000 00000000 00000001 00000000 00000000* +L0047648 00000001 00000000 00000000 00000000 00000010 00000001 00000000 00000010* L0047712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0047776 00000001 00000000 00000010 00000000 00000010 00000010 00000000 00000000* -L0047840 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* +L0047776 00000001 00000000 00000010 00000000 00000000 00000011 00000000 00000000* +L0047840 00000000 00000000 00000000 00000000 00000000 00000000 00001000 00000000* L0047904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0047968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0048032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -930,407 +930,407 @@ L0048192 000000 000000 000000 000000 000000 000000 000000 000000* L0048240 000000 000000 000000 000000 000000 000000 000000 000000* L0048288 000000 000000 000000 000000 000000 000000 000000 000000* L0048336 000000 000000 000000 000000 000000 000000 000000 000000* -L0048384 00000000 00000010 00000000 00000011 00100011 00000000 00000010 00110000* -L0048448 00000001 00000001 00000000 00000011 00000000 00000011 00000000 00010100* -L0048512 00000001 00000001 00000010 00000000 00000010 00000011 10000000 00010100* -L0048576 00000000 00000000 00000000 00000100 00000000 00000000 10000001 00010100* -L0048640 00000000 00000001 00000010 10000111 00000011 00000010 10000010 00010111* -L0048704 00000000 00000000 00000100 10000000 00000000 00000000 00000000 00100100* -L0048768 00000000 00000000 10000100 10001000 00000000 00000000 00000000 00100100* -L0048832 00000000 00000000 00011100 10001000 00000000 00000000 00000000 00100100* -L0048896 00000000 00000000 00110100 10001000 00000000 00000000 00000100 00100100* -L0048960 000000 000000 001101 100000 000000 000000 000001 001000* -L0049008 000000 000000 000100 000010 000000 000000 000000 010000* -L0049056 000000 000000 000100 000010 000000 000000 000000 000000* -L0049104 000000 000000 000100 000010 000000 000000 000001 000000* -L0049152 000000 000000 000100 000010 000010 000000 000001 001000* -L0049200 000000 000000 000100 000010 000010 000000 000001 001000* -L0049248 00000000 00000000 00000011 00000001 00000011 00000000 00000010 00000001* +L0048384 00000010 00000000 00000010 00000000 00100010 00000000 00000011 00100000* +L0048448 00000010 00000001 00010000 00000000 00000010 00000011 00000010 10100000* +L0048512 00000010 00000001 00010000 00000000 00000000 00000011 00000000 10100000* +L0048576 00000000 00000000 00000001 10001001 00000001 00000000 00000000 10100001* +L0048640 00000011 00000001 00000110 00001000 00000000 00000010 00000011 10100010* +L0048704 00000000 00000000 10000100 00001100 00000000 00000000 00000000 00100000* +L0048768 00000000 00000000 10000100 00001100 00000000 00000000 00000000 00100000* +L0048832 00000000 00000000 10000100 00001000 00000000 00000000 00000000 00100000* +L0048896 00000000 00000000 00000100 00001000 00000000 00000000 00000000 00100000* +L0048960 000000 000000 100001 000010 000000 000000 000000 000000* +L0049008 000000 000000 000000 000101 000000 000000 000000 010000* +L0049056 000000 000000 000001 000101 000000 000000 000000 000000* +L0049104 000000 000000 000011 000101 000000 000000 000000 000000* +L0049152 000000 000000 000011 000101 000010 000000 000000 100000* +L0049200 000000 000000 000011 000101 000010 000000 000000 000000* +L0049248 00000000 00000000 00000011 00000001 00000001 00000000 00000010 00000001* L0049312 00000000 00000000 00000000 00000010 00000000 00000010 00000000 00000000* -L0049376 00000000 00000000 00000001 00000011 00000001 00000001 00000010 00000001* +L0049376 00000000 00000000 00000001 00000011 00000011 00000010 00000010 00000001* L0049440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0049504 00000001 00000000 00000010 00000010 00000010 00000001 00000000 01001010* -L0049568 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0049632 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0049504 00000011 00000000 00000010 00000110 00000010 00000010 00000000 00000010* +L0049568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0049632 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0049696 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0049760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0049824 000000 000000 000000 000000 000000 000000 000000 000000* -L0049872 000000 000000 000000 010000 000000 000000 000000 000000* -L0049920 000000 000000 000000 010000 000000 000000 000000 000000* +L0049824 000000 000000 000000 001000 000000 000000 000000 000000* +L0049872 000001 000000 000000 010000 000000 000000 000000 000000* +L0049920 000001 000000 000000 010000 000000 000000 000000 000000* L0049968 000000 000000 000000 010000 000000 000000 000000 000000* L0050016 000000 000000 000000 000000 000000 000000 000000 000000* -L0050064 000000 000000 000000 000000 000000 000000 000000 000001* -L0050112 00000001 00000010 00000001 00000001 00000001 00000000 00000000 00000001* -L0050176 00000000 00000000 00000000 00000000 00000000 00000010 00000000 10000000* -L0050240 00000001 00000000 00000001 00000011 00000001 00000010 00000000 00000001* -L0050304 00000010 00000000 00000010 00000000 00000010 00000000 00000000 00000010* -L0050368 00000001 00000010 00000000 00000010 00000000 00000010 00000010 00000000* -L0050432 00100000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0050064 000000 000000 000000 100000 000000 000000 000000 000000* +L0050112 00000000 00000010 00000000 00000011 00000000 00000000 00000001 00000010* +L0050176 00000000 00000000 00000000 00000000 00000000 00000010 00000000 00000010* +L0050240 00000000 00000000 00000000 00000011 00000010 00000010 00000001 00000010* +L0050304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0050368 00000000 00000010 00000010 00000010 00000010 00000010 00000010 00000010* +L0050432 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0050496 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0050560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0050624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0050688 000000 000000 000000 000000 000000 000000 000000 000000* L0050736 000000 000000 000000 000000 000000 000000 000000 010000* -L0050784 000000 000000 000000 000000 000000 000000 000001 000000* +L0050784 000000 000000 000000 000000 000000 000000 000000 000000* L0050832 000000 000000 000000 000000 000000 000000 000000 000000* L0050880 000000 000000 000000 000000 000000 000000 000000 000000* L0050928 000000 000000 000000 000000 000000 000000 000000 000000* -L0050976 00000001 00000000 00000011 00000010 00000001 00000000 00000011 00000010* -L0051040 00000000 00000000 00000000 00000000 00000010 00000010 00000100 00000000* -L0051104 00000001 00000010 00000001 00000010 00000001 00000010 00000111 00000010* -L0051168 00000000 00000000 00000000 00000001 00000000 00000000 00000000 10000000* -L0051232 00000011 00000010 00000011 00000010 00000011 00001010 00000001 00000011* -L0051296 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0051360 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* +L0050976 00000001 00000000 00000010 00000000 00000011 00000000 10000010 00000010* +L0051040 00000001 00000010 00000001 00000001 00000001 00000010 00000010 00000001* +L0051104 00000000 00000010 00000001 00000011 00000011 00000010 00000000 00000011* +L0051168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0051232 00000011 00000010 00000010 00000010 00000001 00001010 00000010 00000000* +L0051296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0051360 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* L0051424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0051488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0051552 000000 000000 000000 000000 000000 000000 000000 000000* -L0051600 000000 000000 000000 000000 000000 000000 000000 000000* +L0051600 000000 000000 000000 000000 000000 000000 000010 000000* L0051648 000000 000000 000000 000000 000000 000000 000000 000000* L0051696 000000 000000 000000 000000 000000 000000 000000 000000* L0051744 000000 000000 000000 000000 000000 000000 000000 000000* -L0051792 000000 000000 000000 000000 000000 000000 000000 000000* -L0051840 00000000 00000000 00000011 00000001 00000000 00000000 00000010 00000001* -L0051904 00000000 00000000 00000001 00000001 00000000 00000000 11111110 00000000* -L0051968 00000000 00000001 00000001 00000001 00000010 00000010 00000000 00000000* -L0052032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0052096 00000000 00000001 00000011 00000011 00000000 00000010 00000000 00000011* +L0051792 000000 000000 000000 010000 000000 000000 000000 000000* +L0051840 00000000 00000001 00000010 00000000 00000000 00000000 00000011 00000011* +L0051904 00000000 00000001 00000001 00000000 00000000 00000000 00000010 00000010* +L0051968 00000000 00000001 00000000 00000000 00000000 00000010 00000000 00000011* +L0052032 00000001 00000000 00000000 00000001 00000000 00000000 00000000 01000000* +L0052096 00000000 00000001 00000011 00000000 10011010 00000010 00000001 00000011* L0052160 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0052224 00000000 00000000 00000000 00000000 00000000 00000000 00001100 00000000* -L0052288 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0052224 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* +L0052288 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* L0052352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0052416 000000 000000 000000 000000 000000 000000 000000 000000* L0052464 000000 000000 000000 000000 000000 000000 000000 000000* -L0052512 000000 000000 000000 000001 000000 000000 100010 000000* +L0052512 000000 000000 000000 000000 000000 000000 000000 000000* L0052560 000000 000000 000000 000000 000000 000000 000000 000000* -L0052608 000001 000000 000000 000000 000000 000000 000000 000000* +L0052608 000000 000000 000000 000000 000000 000000 000000 000000* L0052656 000000 000000 000000 000000 000000 000000 000000 000000* -L0052704 00000010 00000000 01000000 00000001 00000001 00000000 11111100 00000010* -L0052768 00000000 00000010 01100000 00000000 00000000 00000000 00000000 00000010* -L0052832 00000000 00000010 00100000 00000001 00000000 00000011 10000001 00000010* -L0052896 00000000 00000000 00000000 00000100 00000000 00000000 10000000 00000000* -L0052960 00000010 00000010 00000000 10000101 00000011 00000011 10000000 00000010* -L0053024 00000000 00000000 00000000 10000000 00000000 00000000 00001100 00000000* -L0053088 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000000* -L0053152 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000000* -L0053216 00000000 00000000 00000000 10001000 00010000 00000000 00000100 00000000* -L0053280 000000 000000 000000 100000 000000 000000 000001 000000* -L0053328 000000 000000 000000 101010 000000 000000 100010 000000* -L0053376 000000 000000 000000 100010 000000 000000 000001 000000* -L0053424 000000 000000 000000 000010 000000 000000 000001 000000* -L0053472 000000 000000 000000 000010 000000 000000 000001 010000* -L0053520 000000 000000 000000 000010 000000 000000 000001 000000* -L0053568 00000100 00000000 00000000 00000000 00000001 00000010 00000010 00000001* -L0053632 00000000 00000010 00000011 00000001 00000001 00000000 00000010 00000001* -L0053696 00000000 00000010 00000001 00000011 00000000 00000000 00000000 00000001* -L0053760 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000010* -L0053824 00000001 00000000 00000010 00000110 00000010 00000010 10000011 00000001* +L0052704 00000000 00000000 00000010 00000000 00000000 00000001 00000001 00000010* +L0052768 00000010 00000010 00010000 00000000 00000000 00000000 00000000 00000010* +L0052832 00000010 00000000 00010000 00000000 00000101 00000011 00000000 00000011* +L0052896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0052960 00000010 00000000 00000110 00000010 00000000 00000011 00000001 00000011* +L0053024 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00010000* +L0053088 00000000 00000000 10000100 00000000 00000000 00000000 00000000 00010000* +L0053152 00000000 00000000 10100100 00000000 10000000 00000000 00000000 00000000* +L0053216 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0053280 000000 000000 100001 000000 000001 000000 000000 000000* +L0053328 000000 000000 000000 000000 000000 000000 000000 000000* +L0053376 000000 000000 000001 000000 000000 000000 000000 001000* +L0053424 000000 000000 000011 000000 000000 000000 000000 001000* +L0053472 000000 000000 000011 000000 010000 000000 000000 010000* +L0053520 000000 000000 001011 000000 010001 000000 000000 000000* +L0053568 00000000 00000010 00000010 00000000 00000000 00000000 00000011 00000001* +L0053632 00000000 00000000 00000001 00000000 00000010 00000001 00000010 00000001* +L0053696 00000000 00000010 00000001 00000000 00000000 00000011 00000000 00000000* +L0053760 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000010* +L0053824 00000001 00000010 00000010 00000010 00000000 00000011 00000011 00000001* L0053888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0053952 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0054016 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000000* -L0054080 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000000* -L0054144 000000 000000 000000 100000 000000 000000 000001 000000* +L0054016 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0054080 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0054144 000000 000000 100001 000000 000000 000000 000000 000000* L0054192 000000 000000 000000 000000 000000 000000 000000 000000* L0054240 000000 000000 000000 000000 000000 000000 000000 000000* -L0054288 000000 000000 000001 000000 000000 000000 000000 000000* -L0054336 000000 000000 000000 000010 000000 000000 000000 000000* -L0054384 000000 000000 000000 000010 000000 000000 000001 000000* -L0054432 00010000 00000000 00000010 00000010 00000010 00000000 00000011 00000000* -L0054496 00000000 00000000 00000000 00000010 00000000 00000000 00000001 00000010* -L0054560 00000010 00000000 00000011 00000001 00000010 00000001 10000010 00000010* -L0054624 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0054688 00000110 00000000 00000010 10000010 00000000 00000011 00000000 00000010* -L0054752 00010000 00000000 00000000 10000000 00000000 00000000 10000000 00000000* -L0054816 00010000 00000000 00000000 10001000 00000000 00000000 00000000 00000000* -L0054880 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0054944 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0055008 000001 000000 000000 000000 000000 000000 000000 000000* -L0055056 000000 000000 000000 000010 000000 000000 000000 000000* -L0055104 000000 000000 000000 000010 000000 000000 000000 000000* -L0055152 000010 000000 000000 000010 000000 000000 000001 000000* -L0055200 000000 000000 000000 000000 000000 000000 000001 000000* +L0054288 000000 000000 000000 000000 000000 000000 000000 000000* +L0054336 000000 000000 000011 000000 000000 000000 000000 000000* +L0054384 000000 000000 000011 000000 000000 000000 000000 000000* +L0054432 00000000 00000000 00000010 00000001 00000011 00000000 00000011 00000001* +L0054496 00000000 00000000 00000000 00000011 00000011 00000000 00000011 00000011* +L0054560 00000000 00000000 00000001 00000011 00000010 00000011 00000000 00000011* +L0054624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0054688 00000010 00000000 00000110 00000011 00000010 00000011 00000011 00000011* +L0054752 00000000 00000000 10000000 00000000 00000000 00000000 10000000 00000000* +L0054816 00000000 00000000 10000100 00000000 00000000 00000000 00000000 00000000* +L0054880 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0054944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0055008 000000 000000 000000 000000 000000 000000 000000 000000* +L0055056 000000 000000 000000 000000 000000 000000 000000 000000* +L0055104 000000 000000 000001 000000 000000 000000 000000 000000* +L0055152 000000 000000 000011 000000 000000 000000 000000 000000* +L0055200 000000 000000 000000 000000 000000 000000 000000 000000* L0055248 000000 000000 000000 000000 000000 000000 000000 000000* -L0055296 00000000 00000001 00000011 00000010 00000010 00000001 00000010 00000001* -L0055360 00000000 00000001 00000000 00000010 00000011 00000000 00000010 00000011* -L0055424 00000000 00000001 00000000 00000000 00000000 00000010 00000000 00000011* +L0055296 00000000 00000000 00000010 00000010 00000010 00000001 00000010 00000000* +L0055360 00000000 00000000 00000000 00000010 00000010 00000000 00000010 00000000* +L0055424 00000000 00000000 00000000 00000010 00000000 00000010 00000000 00000000* L0055488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0055552 00000011 00000001 00000010 00000010 00000001 00000010 00000010 00000001* +L0055552 00000010 00000000 00000010 00000010 00000010 00000010 00000000 00000010* L0055616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0055680 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0055744 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0055808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0055872 000000 000000 000000 000000 000000 000000 000000 000000* -L0055920 000000 000000 000000 000000 000000 000000 000000 000000* +L0055920 000000 000000 000000 000000 000000 000010 000000 000000* L0055968 000000 000000 000000 000000 000000 000000 000000 000000* L0056016 000000 000000 000000 000000 000000 000000 000000 000000* L0056064 000000 000000 000000 000000 000000 000000 000000 000000* L0056112 000000 000000 000000 000000 000000 000000 000000 000000* -L0056160 00000000 00000001 00000010 00000011 00000010 00000000 00000010 00000000* -L0056224 00000000 00000010 00000000 00000001 00000001 00000011 00000010 00000000* -L0056288 00000000 00000000 00000001 00000011 00000000 00000011 00000000 00000011* +L0056160 00000000 00000000 00000010 00000001 00000000 00000001 00000010 00000001* +L0056224 00000000 00000000 00000000 00000000 00000010 00000010 00000010 00000001* +L0056288 00000000 00000000 00000001 00000010 00000000 00000011 00000000 00000001* L0056352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0056416 00000011 00000001 00000010 00000011 00000010 00000011 00000010 00000011* -L0056480 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0056544 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0056416 00000010 00000000 00000010 00000011 00000011 00000011 00000010 00000011* +L0056480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0056544 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0056608 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0056672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0056736 000000 000000 000000 000000 000000 000000 000000 000000* -L0056784 000000 000000 000000 000000 000000 000000 000000 000000* -L0056832 000000 000000 000000 000000 000000 000000 000000 000000* +L0056784 000001 000000 000000 000000 000000 000000 000000 000000* +L0056832 000001 000000 000000 000000 000000 000000 000000 000000* L0056880 000000 000000 000000 000000 000000 000000 000000 000000* L0056928 000000 000000 000000 000000 000000 000000 000000 000000* L0056976 000000 000000 000000 000000 000000 000000 000000 000000* -L0057024 00000000 00000000 00000010 00000010 00000000 00000001 00000000 00000011* -L0057088 00000000 00000010 00000011 00000011 00000001 00000001 00000000 00000011* -L0057152 00000000 00000010 00000010 00000000 00000010 00100011 00000010 00000011* -L0057216 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0057280 00000010 00000000 00000011 00000011 00000001 00000011 00000000 00000011* -L0057344 00000000 00000000 00000000 00000000 00001000 01000000 00000000 00000000* -L0057408 00000000 00000000 00000000 00000000 00110000 00000000 00000000 00000000* -L0057472 00001000 00000000 00000000 00000000 00011000 00000000 00000000 00000000* -L0057536 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0057600 000000 000000 000000 000000 000000 000000 000001 000000* -L0057648 000000 000000 000000 000000 000000 010000 000000 000000* -L0057696 000000 000000 000000 000000 000000 000000 000000 000000* -L0057744 000000 000000 000000 000000 000000 000000 000001 000000* -L0057792 000000 000000 000000 000000 000000 000000 000001 000000* -L0057840 000000 000000 000000 000000 000000 000000 000001 000000* -L0057888 00000010 00000000 00000010 00000010 00000000 00000000 00000001 00000100* -L0057952 00000000 00000000 00000000 00000010 00000000 00000010 00000011 00000000* -L0058016 00000000 00000010 00000011 00000001 00000000 00000010 00000010 00000011* -L0058080 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* -L0058144 00000011 00000010 00000010 00000010 00000010 00000010 00000001 00000010* -L0058208 01001000 00000100 00000000 00000000 00010000 00000000 00000000 00000000* -L0058272 00000000 00000100 00000000 00000000 00001000 01000000 00000000 00000000* -L0058336 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* -L0058400 00000000 00000000 00000000 00000000 00011000 00000000 00000000 00000000* -L0058464 000000 000000 000000 000000 000000 000000 000000 000000* -L0058512 000000 000000 000000 000100 000000 000000 000000 000000* -L0058560 000000 000000 000000 000000 000000 010000 000000 000000* -L0058608 000000 000000 000000 000000 000000 000000 000000 000000* -L0058656 000000 000000 000000 000000 000000 000000 000000 000000* +L0057024 00000010 00000000 00000000 00000010 00000100 00000000 00000000 00100001* +L0057088 00000010 00000000 00001001 10000000 00000000 00000001 00000000 10000000* +L0057152 00000000 00000000 00000010 00000011 00000010 00100010 00000000 00100001* +L0057216 00000100 00000000 00000000 00001000 00000100 00000000 00000000 10100000* +L0057280 00000011 00000010 00000101 00000011 00000000 00000010 00000000 00000011* +L0057344 00101000 00000000 00000100 00010100 10000000 01000000 00000000 00010000* +L0057408 00000000 00000000 00010000 00001100 00000000 00000000 00000000 01100000* +L0057472 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0057536 00001000 00000000 00000000 00001000 10000000 00000000 00000000 01000100* +L0057600 000000 000000 000000 000000 000001 000000 000000 000000* +L0057648 000010 000000 000011 010101 000000 010000 000000 000000* +L0057696 000010 000000 000101 010000 001100 000000 000000 001001* +L0057744 000000 000000 000011 010101 000000 000000 000000 000000* +L0057792 000000 000000 000011 000000 011100 000000 000000 010001* +L0057840 000000 000000 000011 000100 000001 000000 000000 000001* +L0057888 00000010 00000000 00001011 10000010 00000011 00000000 01111000 00000000* +L0057952 00000010 00000000 00000000 00000000 00100000 00000000 00000000 00000010* +L0058016 00000000 00000010 00000001 00000001 00000001 00000000 00000000 00000001* +L0058080 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0058144 00000011 00000010 00000010 00000010 00000010 00000010 00000000 00000010* +L0058208 00000000 00000000 00011000 00000000 00100000 00000000 00001000 01001000* +L0058272 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* +L0058336 00000000 00000000 00000000 00100000 00000000 00000000 00000000 00000000* +L0058400 00000000 00000000 00000000 00010000 00000100 00000000 00000000 00000000* +L0058464 000000 000000 000000 000000 000000 000000 000000 100000* +L0058512 000000 000001 000100 000000 001110 000000 100000 000101* +L0058560 000000 000001 000000 100000 000000 010000 000000 000000* +L0058608 000000 000000 001000 000000 010000 000000 000000 000000* +L0058656 000000 000000 000000 000000 000001 000000 000000 000000* L0058704 000000 000000 000000 000000 000000 000000 000000 000000* -L0058752 00000000 00000000 00000001 00000000 00000001 00000000 00000001 00000000* -L0058816 00000000 00000001 00000000 00000001 00000000 00000000 00000000 00000001* -L0058880 00000000 00000000 00000001 00000001 00000001 00000000 00000000 00000001* -L0058944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0059008 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0058752 00000000 00000000 00000011 00000011 00000011 00000010 00000011 00000010* +L0058816 00000000 00000000 00000000 00000000 00000001 00000010 00000000 00000001* +L0058880 00000000 00000000 00000011 00000011 00000011 00000010 00000000 00000011* +L0058944 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0059008 00000000 00000000 00000000 00000000 00000001 00000011 00000010 00000000* L0059072 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0059136 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0059200 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11000000* +L0059200 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* L0059264 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0059328 000000 000000 000000 000000 000000 000000 000000 000000* L0059376 000000 000000 000000 000000 000000 000000 000000 000000* -L0059424 010000 000000 100000 000000 000000 000000 000000 000000* +L0059424 000000 000000 000000 000000 000000 000000 000000 000000* L0059472 000000 000000 000000 000000 000000 000000 000000 000000* -L0059520 000000 000000 000000 000000 000000 000000 000000 000010* +L0059520 000000 000000 000000 000010 000000 000000 000000 000000* L0059568 000000 000000 000000 000000 000000 000000 000000 000000* -L0059616 10000010 00000000 00000001 00000001 00000000 00000000 00000001 00000010* -L0059680 00000010 00000000 00000010 00000010 00000010 00000001 00000011 00010111* -L0059744 00000010 00000001 00000011 00000011 00000010 00000001 00000010 00010110* -L0059808 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00010100* -L0059872 00000010 00000001 00000000 10000100 00000000 00000001 00000001 00010111* -L0059936 00000000 00000000 00000000 10010000 00000000 00000000 00000000 00000100* -L0060000 00000000 00000000 00000100 10011000 00000000 00000000 00000000 00000100* -L0060064 00000000 00000000 00110100 10001000 00000000 10000000 00000000 00000100* -L0060128 00000000 00000000 00110100 10001000 00000000 00000000 00000000 00000100* -L0060192 000000 000000 001101 100000 000000 000000 000000 000000* -L0060240 000000 000000 000100 000010 000000 000000 000000 000000* -L0060288 000000 000000 000100 000010 000000 000000 000000 000000* -L0060336 000000 000000 000100 000010 000000 000000 000000 000000* -L0060384 000000 000000 000100 000010 000010 000000 000000 000000* -L0060432 000000 000000 000100 000010 000010 000000 000000 000000* -L0060480 00000000 00000000 00000010 00000001 00000010 00000010 00000010 00000000* -L0060544 10000000 00000001 00000001 00000000 00000001 00000010 00000001 00000000* -L0060608 10000000 00000001 00000011 00000001 00000010 00000011 00000011 00000000* -L0060672 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0060736 10000000 00000001 00000000 00000101 00000001 00000011 00000000 00000001* +L0059616 00000010 00000000 00000000 00000001 00000000 00000000 00000001 00100000* +L0059680 00000011 00000000 00010000 00000010 00000010 00000000 00000000 10100010* +L0059744 00000000 00000001 00010000 00000011 00000010 00000000 00000001 10100010* +L0059808 00000000 00000000 00000000 10000000 00000000 00000000 00000000 10100000* +L0059872 00000010 00000001 00000100 00000001 00000000 00000000 00000000 10100000* +L0059936 00000000 00000000 10000000 00010100 00000000 00000000 00000000 00000000* +L0060000 00000000 00000000 10000100 00011100 00000000 00000000 00000000 00100000* +L0060064 00000000 00000000 10100100 00001000 00000000 00000000 00000000 00100000* +L0060128 00000000 00000000 00000100 00001000 00000000 00000000 00000000 00100000* +L0060192 000000 000000 100001 000010 000000 000000 000000 000000* +L0060240 000000 000000 000000 000001 000000 000000 000000 000000* +L0060288 000000 000000 000001 000001 000000 000000 000000 000000* +L0060336 000001 000000 000011 000001 000000 000000 000000 000000* +L0060384 000000 000000 000011 000001 000010 000000 000000 000000* +L0060432 000000 000000 000011 000001 000010 000000 000000 000000* +L0060480 00000000 00000000 00000010 00000010 00000000 00000000 00000000 00000000* +L0060544 00000000 00000000 00000001 00000000 00000001 00000000 00000001 00000001* +L0060608 00000000 00000001 00000011 00000010 00000001 00000000 00000001 00000000* +L0060672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0060736 00000001 00000001 00000000 00000000 00000000 00000000 00000000 00000001* L0060800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0060864 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0060928 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000000* -L0060992 00000000 00000000 00000000 10001000 00000000 00000000 00000000 01000000* -L0061056 000000 000000 000000 100000 000000 000000 000000 000001* +L0060928 00000000 00000000 00000000 10010000 00000000 00000000 00000000 00000000* +L0060992 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0061056 000000 000000 000000 000000 000000 000000 000000 000000* L0061104 000000 000000 000000 000000 000000 000000 000000 000000* L0061152 000000 000000 000000 000000 000000 000000 000000 000000* L0061200 000000 000000 000000 000000 000000 000000 000000 000000* -L0061248 000000 000000 000000 000010 000000 000000 000000 000100* -L0061296 000000 000000 100000 000010 000000 000000 000000 000000* -L0061344 00000000 00000000 00000010 00000011 00000000 00000001 00000000 00000010* -L0061408 00000000 00000000 00000001 00000001 00000000 00000000 00000001 00000000* -L0061472 00000000 00000000 00000010 00000010 00000001 00000010 00000000 00000010* -L0061536 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00010100* -L0061600 00000001 00000000 00000001 10000001 00000001 00000011 00000000 00010100* -L0061664 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* -L0061728 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000000* -L0061792 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0061856 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000100* -L0061920 000000 000000 001101 000000 000000 000000 000000 000000* -L0061968 000000 000000 000000 000010 000000 000000 000000 000000* -L0062016 000000 000000 000000 000010 000000 000000 000000 000000* -L0062064 000000 000000 000100 000010 000000 000000 000000 000000* -L0062112 000000 000000 000100 000000 000000 000000 000000 000000* -L0062160 000000 000000 000100 000000 000010 000000 000000 000000* -L0062208 00000000 00000000 00010100 00010001 00000000 00000001 00000001 00000101* -L0062272 00000000 00000000 00000001 00000001 00100000 00000000 00000001 00000000* -L0062336 00000000 00000000 01001001 00000010 00000010 00000001 00000010 00000000* -L0062400 00000000 00000000 00010000 00000100 00000000 00000000 00000000 00000000* -L0062464 00000010 00000000 00000001 10000101 00000000 00000001 00000001 00000001* -L0062528 00000000 00000000 00100000 00010000 00100000 00000000 00000000 00000000* -L0062592 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0062656 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* -L0062720 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* -L0062784 000000 000000 000000 000000 000000 000000 000000 000000* -L0062832 000000 000000 000000 010010 001110 000000 000000 000000* -L0062880 000000 000000 001000 010010 000000 000000 000000 000000* -L0062928 000000 000000 000000 010000 010000 000000 000000 000000* -L0062976 000000 000000 000000 000010 000001 000000 000000 000000* -L0063024 000001 000000 000000 000010 000000 000000 000000 000000* -L0063072 00000000 00000000 00000001 00100001 00000001 00000000 00000001 00000011* -L0063136 00000010 00000000 01000010 00000010 00000110 00000000 00000010 00000000* -L0063200 10000010 00000000 00110111 00000011 00000110 00000001 10000011 00000001* -L0063264 00000000 00000000 01000000 00000000 00000000 00000000 10000000 00000000* -L0063328 10000000 00000000 00100001 00000000 00000101 00000001 10000001 00000010* -L0063392 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0063456 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0063520 00000000 00000000 00010100 00000000 10000000 00000000 00000000 00000000* -L0063584 00000000 00000000 00010000 00010000 00000000 00000000 00000100 00000000* -L0063648 000000 000000 000101 000000 100000 000000 000000 000000* -L0063696 000000 000000 000000 000000 000000 000000 000000 000000* -L0063744 000000 000000 000010 000000 000000 000000 000000 000000* -L0063792 000000 000000 000010 000000 001100 000000 000000 000000* +L0061248 000000 000000 000000 000000 000000 000000 000000 000000* +L0061296 000000 000000 000000 000000 000000 000000 000000 000000* +L0061344 00000000 00000000 00000010 00000010 00000000 00000000 00000000 00000010* +L0061408 00000000 00000001 00000011 00000010 00000011 00000000 00000000 00000010* +L0061472 00000000 00000001 00000010 00000010 00000000 00000000 00000000 00000010* +L0061536 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0061600 00000000 00000000 00000011 00000010 00000001 00000000 00000001 00000010* +L0061664 00000000 00000000 00000000 00000000 00000000 00000000 01000000 00000000* +L0061728 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0061792 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0061856 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0061920 000000 000000 000000 000010 000000 000000 000000 000000* +L0061968 000000 000000 000000 000000 000000 000000 000000 000000* +L0062016 000000 000000 000000 000000 000000 000000 000000 000000* +L0062064 000000 000000 000000 000001 000000 000000 000000 000000* +L0062112 000000 000000 000000 000001 000000 000000 000000 000000* +L0062160 000000 000000 000000 000001 000000 000000 000000 000000* +L0062208 00000001 00000000 00000000 00010000 00001001 00000000 00000001 00100000* +L0062272 00000000 00000000 00000001 10010000 00001001 00000001 00000000 10100000* +L0062336 00000000 00000000 00000001 10010000 00001011 00000001 00000000 10100000* +L0062400 00000000 00000000 00000000 10010000 00001000 00000000 00000000 10100000* +L0062464 00000010 00000000 00000101 00010101 00001001 00000001 00000001 11100000* +L0062528 00000000 00000000 10000000 01000100 00000000 00000000 00000000 00010000* +L0062592 00000000 00000000 10000100 01000100 00000000 00000000 00000000 00110000* +L0062656 00000000 00000000 10000100 11010100 00000000 00000000 00000000 00100000* +L0062720 00000000 00000000 00000100 01100100 00000000 00000000 00000000 10100000* +L0062784 000000 000000 100001 011101 000000 000000 000000 000000* +L0062832 000000 000000 000000 000011 000100 000000 000000 000000* +L0062880 000000 000000 000001 000011 000100 000000 000000 001000* +L0062928 000000 000000 000011 100011 000100 000000 000000 001000* +L0062976 000000 000000 000011 100011 000100 000000 000000 010000* +L0063024 000000 000000 000011 100011 000100 000000 000000 000000* +L0063072 00000001 00000000 00000001 00000001 00000000 00000000 00000001 00000001* +L0063136 00000001 00000000 00000001 00000000 00000010 00000001 00000000 01000000* +L0063200 00000011 00000000 00000000 00000001 00000010 00000001 00000001 00000000* +L0063264 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0063328 00000011 00000000 00000001 00001001 00000001 00000001 00000000 00000001* +L0063392 00100000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0063456 00000000 00000000 00000000 00010000 00000000 00000000 00000000 01000000* +L0063520 00000000 00000000 00100000 00000000 00000000 00000000 00000000 01000000* +L0063584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0063648 000000 000000 000000 000000 000000 000000 000000 000000* +L0063696 000010 000000 000000 010000 000000 000000 000000 000000* +L0063744 000010 000000 001000 000000 000000 000000 000000 000001* +L0063792 000000 000000 000000 000000 000000 000000 000000 000001* L0063840 000000 000000 000000 000000 000000 000000 000000 000000* -L0063888 000000 000000 000110 000000 011100 000000 000000 000000* -L0063936 00000000 00000000 00000001 00000000 00000000 00000001 00000011 00010000* -L0064000 00000010 00000010 00001100 00000000 00000010 00000000 00000001 00010000* -L0064064 00000010 00000010 00001100 00000000 00000010 00000001 00000010 00010000* -L0064128 00000001 00000000 00001100 00000000 00000000 00000000 00000000 00010000* -L0064192 00000000 00000010 00000101 00000000 00000000 00000001 00000001 00010000* -L0064256 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00100000* -L0064320 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00100000* -L0064384 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00100000* -L0064448 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00100000* -L0064512 000000 000000 000010 000000 000000 000000 000000 001000* -L0064560 000000 000000 000010 000000 000000 000000 000000 001000* -L0064608 000000 000000 000010 000000 000000 000000 000000 000000* -L0064656 000000 000000 000010 000000 000000 000000 000000 001000* -L0064704 000000 000000 000010 000000 000000 000000 000000 001000* -L0064752 000000 000000 000010 000000 000000 000000 000000 001000* -L0064800 00000000 00000000 00001000 00001000 00000000 00000000 00000001 10000001* -L0064864 00000000 00000000 00000000 00000000 00000000 00000001 00000001 00000100* -L0064928 00000000 00000000 00000001 00000001 00000010 00000001 00000000 00000000* -L0064992 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0065056 00000001 00000000 00000000 00000000 00000010 00000001 00000001 00000001* -L0065120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001100* -L0065184 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0065248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0065312 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0063888 000000 000000 000000 000000 000000 000000 000000 000000* +L0063936 00001000 00000000 00000000 00000000 00000000 00000001 00000001 00000000* +L0064000 00000000 00000010 00000000 00000010 00000000 00000000 00000000 00000010* +L0064064 00000000 00000010 00000000 00000010 00000000 00000001 00000000 00000010* +L0064128 00000000 00000000 00000000 00000001 00000000 00000000 00000000 00000000* +L0064192 00000000 00000010 00000000 00000000 00000001 00000001 00000001 00000001* +L0064256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0064320 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0064384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0064448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0064512 000000 000000 000000 000000 000000 000000 000000 000000* +L0064560 000000 000000 000000 000000 000000 000000 000000 000000* +L0064608 000000 000000 000000 001000 000000 000000 000000 000000* +L0064656 000000 000000 000000 000000 000000 000000 000000 000000* +L0064704 000000 000000 000000 000000 000000 000000 000000 000000* +L0064752 000000 000000 000000 000000 000000 000000 000000 000000* +L0064800 00000010 00000100 00000000 00000001 00000001 00000000 01111000 00000011* +L0064864 00000011 00000000 00000000 00000001 00000000 00000000 00000000 00000010* +L0064928 00000001 00000000 00000001 00000001 00000001 00000001 00000000 00000011* +L0064992 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0065056 00000010 00000000 00000000 00000001 00000001 00000001 00000000 00000011* +L0065120 00000000 00000000 00000000 00000000 00000000 00000000 00001000 00000000* +L0065184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0065248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0065312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0065376 000000 000000 000000 000000 000000 000000 000000 000000* -L0065424 000000 000000 010000 000000 000000 000000 000000 100000* -L0065472 000000 000000 000100 000000 000000 000000 000000 100000* +L0065424 000000 000000 000000 000000 000000 000000 100000 000000* +L0065472 000000 000000 000000 000000 000000 000000 000000 000000* L0065520 000000 000000 000000 000000 000000 000000 000000 000000* -L0065568 000000 000000 000100 000000 000000 000000 000000 000000* -L0065616 000000 000000 000100 000000 000000 000000 000000 000000* -L0065664 00000001 00000000 00000000 00000000 00001000 00000100 00000010 00000001* -L0065728 00000000 00000001 00000000 00000000 00001000 00000100 00000000 00000000* -L0065792 00000001 00000001 00000001 00000000 00001000 00000100 00000011 00000001* -L0065856 00000000 00000000 00000000 00000000 00001000 00000100 10000000 00000000* -L0065920 00000001 00000001 00000000 00000000 00001000 00001100 10000010 00000001* +L0065568 000000 000000 000000 001000 000000 000000 000000 000000* +L0065616 000000 000000 000000 000000 000000 000000 000000 000000* +L0065664 00000000 00000000 00000000 00000000 00000001 00000000 00000001 00000000* +L0065728 00000000 00000001 00000000 00000010 00000001 00000001 00000001 00000000* +L0065792 00000000 00000001 00000001 00000000 00000001 00000001 00000011 00000000* +L0065856 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0065920 00000000 00000001 00000000 00000011 00000001 00000001 00000001 00000000* L0065984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066048 00000000 00000000 00000000 00100000 00000000 00100000 00000000 00000000* -L0066112 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0066176 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0066240 000000 000000 000000 000000 000000 000000 000001 000000* -L0066288 000000 000000 000000 000000 000100 000100 000000 000000* -L0066336 000000 000000 000000 000000 000100 000000 000000 000000* -L0066384 000000 000000 000000 000000 000100 000100 000000 000000* -L0066432 000000 000000 000000 000000 000100 000100 000000 000000* -L0066480 000000 000000 000000 000000 000100 000000 000001 000000* -L0066528 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066592 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066656 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* +L0066048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066240 000000 000000 000000 000000 000000 000000 000000 000000* +L0066288 000000 000000 000000 000000 000000 000000 000000 000000* +L0066336 000000 000000 000000 000000 000000 000000 000000 000000* +L0066384 000000 000000 000000 000000 000000 000000 000000 000000* +L0066432 000000 000000 000000 000000 000000 000000 000000 000000* +L0066480 000000 000000 000000 000000 000000 000000 000000 000000* +L0066528 00000000 00000000 00000000 00000000 00000000 00000000 01111000 00000000* +L0066592 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* +L0066656 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0066720 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0066784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066848 00000000 00000000 00000000 00000000 00000000 00000000 00001000 00000000* +L0066912 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* L0066976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0067040 00000000 00000000 00000000 00000000 00000000 00010000 00000100 00000000* -L0067104 000000 000000 000000 000000 000000 000000 000000 000000* -L0067152 000000 000000 000000 000000 000000 000000 000000 000000* -L0067200 000000 000000 000000 000000 000000 000001 000000 000000* -L0067248 000000 000000 000000 000000 000000 000000 000001 000000* -L0067296 000000 000000 000000 000000 000000 001000 000001 000000* -L0067344 000000 000000 000000 000000 000000 000000 000000 000000* -L0067392 00000010 00000010 01000010 00000010 00000010 00000010 11111110 00000010* -L0067456 00000010 00000000 01101010 00000010 00000010 00000010 00000010 00000010* -L0067520 00000000 00000000 00100000 00000000 00000000 00000000 10000000 00000000* -L0067584 00000000 00000000 00000000 00000100 00000000 00000000 10000000 00000000* -L0067648 00000000 00000000 00000000 10000100 00000000 00000000 10000000 00000000* -L0067712 00000000 00000000 00000000 10000000 00000000 00000000 00001100 00000000* -L0067776 00010010 00000010 00100110 10001010 00000010 00000010 00000010 00000010* -L0067840 00000000 00000000 00010100 10001000 00000000 00000000 00000000 00000000* -L0067904 00000000 00000000 00010100 10001000 00000000 00000000 00000100 00000000* -L0067968 000000 000000 000101 100000 000000 000000 000001 000000* -L0068016 000000 000000 000100 000010 000000 000000 100010 000000* -L0068064 000000 000000 000100 000010 000000 000000 000001 000010* -L0068112 000000 000000 000100 000010 000000 000000 000001 000000* -L0068160 000000 000000 000100 000010 000000 000000 000001 000000* -L0068208 000000 000000 000100 000010 000000 000000 000001 000000* -L0068256 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0068320 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0068384 00000000 00000000 10000000 00000000 00000000 00000000 01111100 00000000* -L0068448 00000000 00000000 10100100 00000000 00000000 00000000 00000000 00000000* -L0068512 00000000 00000000 10100100 00000000 00000000 00000000 00000000 00000000* -L0068576 00010000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* -L0068640 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* -L0068704 00000000 00000000 00100000 00000000 00000000 00000000 10001100 00000000* -L0068768 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* -L0068832 000000 000000 100000 000000 000000 000000 000000 000000* -L0068880 000000 000000 000000 000000 000000 000000 000000 000010* -L0068928 000000 000000 100011 010000 000000 000000 000000 000000* -L0068976 000000 000000 100011 010000 000000 000000 100010 000000* -L0069024 000000 000000 101011 000000 000000 000000 000000 000000* -L0069072 000000 000000 101010 000000 000000 000000 000000 000000* -L0069120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0067040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0067104 000000 000000 000000 000000 000000 001000 000000 000000* +L0067152 000000 000000 000000 000000 000000 001000 100000 000000* +L0067200 000000 000000 000000 000000 000000 000000 000000 100000* +L0067248 000000 000000 000000 000000 000000 001000 000000 000000* +L0067296 000000 000000 000000 000000 000000 001000 000000 000000* +L0067344 000000 000000 000000 000000 000000 001000 000000 000000* +L0067392 00000010 00000010 00000010 00000010 00000010 00000010 00000010 00000010* +L0067456 00000000 00000000 00000010 00000010 00000010 00000010 00000000 00000010* +L0067520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0067584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0067648 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0067712 00000000 00000000 10000000 00000000 00000000 10000000 00000000 00000000* +L0067776 00000010 00000010 10100110 00000010 00000010 01000010 00000010 00000010* +L0067840 00000000 00000000 10000100 00000000 00000000 11000000 00000100 00000000* +L0067904 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0067968 000000 000000 100001 000000 000000 000000 000000 000000* +L0068016 000000 000000 000000 000000 000000 000000 000000 000000* +L0068064 000000 000000 000001 000000 000000 000000 000000 000000* +L0068112 000000 000000 000011 000000 000000 000000 000000 000000* +L0068160 000000 000000 000011 000000 000000 000000 000000 000000* +L0068208 000000 000000 000011 000000 000000 000000 000000 000000* +L0068256 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0068320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0068384 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0068448 00000000 00000000 00000000 00000000 00000000 00110000 11111100 00000000* +L0068512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0068576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0068640 00010000 00000000 00000000 00000000 00000000 00000000 00000100 01000000* +L0068704 00000000 00000000 00100000 00000000 00000000 00000000 00000000 01000000* +L0068768 00000000 00000000 00000000 00000000 00000000 00000000 00001100 01000000* +L0068832 000000 000000 000000 000000 000000 000000 000000 010000* +L0068880 000000 000000 000000 000000 000000 010000 000000 000000* +L0068928 000000 000000 000000 000000 000000 000000 000000 000000* +L0068976 000000 000000 000000 000000 000000 000000 000001 000000* +L0069024 000000 000000 001000 000000 000000 010000 100011 000000* +L0069072 000000 000000 001000 000000 000000 000000 000000 000000* +L0069120 00000000 00000000 00000000 00001000 00000000 00000000 10000000 10000100* L0069184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0069248 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* -L0069312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0069376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0069440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0069504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0069568 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0069632 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0069696 000000 000000 000000 000000 000000 000000 000000 000000* -L0069744 000000 000000 000000 000000 000000 000000 000000 000000* -L0069792 000000 000000 000000 000000 000000 000000 000000 000000* -L0069840 000000 000000 000000 000000 000000 000000 000000 000000* -L0069888 000000 000000 000001 000000 000000 000000 000000 000000* -L0069936 000000 000000 000000 000000 000000 000000 000000 000000* -L0069984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070240 00000000 00000000 00000000 10000100 00000000 00000000 00000000 00000000* -L0070304 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* -L0070368 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070432 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0070496 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* -L0070560 000000 000000 000000 000000 000000 000000 000000 000000* -L0070608 000000 000000 000000 000010 000000 000000 000000 000000* -L0070656 000000 000000 000000 000000 000000 000000 001000 000000* -L0070704 000000 000000 000000 000010 000000 000000 000000 000000* -L0070752 000000 000000 000000 000010 000000 000000 000000 000000* -L0070800 000000 000000 000000 000000 000000 000000 000000 000000* +L0069248 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0069312 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0069376 00000000 00000000 00000000 00001000 00000000 00001000 00000000 00000000* +L0069440 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0069504 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000100* +L0069568 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000100* +L0069632 00000000 00000000 00000000 00001000 00000000 00000100 00000000 00000100* +L0069696 000000 000000 000000 000010 000000 000000 000000 000000* +L0069744 000000 000000 000000 000100 000000 000000 000011 000000* +L0069792 000000 000000 000000 000100 000000 000000 000001 000001* +L0069840 000000 000000 000000 000100 000000 000000 000000 000001* +L0069888 000000 000000 000000 000100 000000 000000 000000 000001* +L0069936 000000 000000 000000 000100 000000 000000 000000 000001* +L0069984 00000000 00000000 00000000 01000100 00000000 00000000 00000000 00000000* +L0070048 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00010000* +L0070112 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00100000* +L0070176 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* +L0070240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0070304 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0070368 00000000 00000000 10000000 00000000 00001000 00000000 00000000 00100000* +L0070432 00000000 00000000 00000100 00000000 00000000 00000000 00000100 00100000* +L0070496 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0070560 000000 000000 100001 000000 000000 000000 000001 000000* +L0070608 000000 000000 000000 001001 000000 000000 000000 100000* +L0070656 000000 000000 000000 000000 000000 000000 000000 100000* +L0070704 000000 000000 000011 000001 000000 000000 000000 000000* +L0070752 000000 000000 000000 000001 000000 000000 000000 000000* +L0070800 000000 000000 000011 000000 000000 000000 000001 000000* L0070848 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* L0070912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0070976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0071040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0071104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071168 00000000 00000000 00100000 00000000 00100000 00000000 00000000 00000000* +L0071168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0071232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0071296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0071360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0071424 000000 000000 000000 000000 000000 000000 000000 000000* -L0071472 010000 000000 000000 000000 000000 000000 000000 000000* +L0071472 000000 000000 000000 000000 000000 000000 000000 000000* L0071520 000000 000000 000000 000000 000000 000000 000000 000000* L0071568 000000 000000 000000 000000 000000 000000 000000 000000* L0071616 000000 000000 000000 000000 000000 000000 000000 000000* @@ -1345,370 +1345,370 @@ L0072096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 L0072160 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0072224 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0072288 000000 000000 000000 000000 000000 000000 000000 000000* -L0072336 000001 001000 000000 000000 000000 000000 000000 001000* -L0072384 000001 000000 000000 000000 000000 000000 000000 001000* -L0072432 000001 000000 000000 000000 000000 000000 000000 000000* -L0072480 000001 000000 000000 000000 000000 000000 000000 000000* -L0072528 000001 000000 000000 000000 000000 000000 000000 000000* -L0072576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0072336 000000 000000 000000 000000 000000 000000 000000 000000* +L0072384 000000 000000 000000 000000 000000 000000 000000 000000* +L0072432 000000 000000 000000 000000 000000 000000 000000 000000* +L0072480 000000 000000 000000 000000 000000 000000 000000 000000* +L0072528 000000 000000 000000 000000 000000 000000 000000 000000* +L0072576 00000000 00000000 00000000 00000000 10011000 00000000 00000000 00000000* L0072640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0072704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0072768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0072832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0072896 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0072960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073088 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073152 000000 000000 000000 000000 000000 000000 000000 000000* +L0072896 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0072960 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0073024 00000000 00000000 10000000 00000000 10000000 00000000 00000000 00000000* +L0073088 00000000 00000000 00000100 00000000 10000000 00000000 00000000 00000000* +L0073152 000000 000000 100001 000000 100000 000000 000000 000000* L0073200 000000 000000 000000 000000 000000 000000 000000 000000* -L0073248 010000 000000 000000 100000 000000 000000 000000 001000* -L0073296 000000 000000 000000 000000 000000 000000 000000 000000* -L0073344 000000 000000 000000 000000 000000 000000 000000 000000* -L0073392 000000 000000 000000 000000 000000 000000 000000 000000* -L0073440 00000000 00001000 00000000 00000000 00000000 00000000 00000000 00000000* +L0073248 000000 000000 000000 000000 001100 000000 000000 000010* +L0073296 000000 000000 000000 000000 001100 000000 000000 000000* +L0073344 000000 000000 000011 000000 001100 000000 000000 000000* +L0073392 000000 000000 000011 000000 001101 000000 000000 000000* +L0073440 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* L0073504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0073568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073632 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073696 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0073760 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073824 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0073888 00000000 00000000 00000000 00000000 00100000 00100000 00000000 00000000* -L0073952 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0074016 000000 000000 000000 000000 000000 000000 000000 000000* -L0074064 000000 000000 000000 000000 000000 000000 000000 000000* -L0074112 000000 000000 000000 000000 000000 000000 000000 100000* -L0074160 000000 000000 000000 000000 000000 000000 000000 000000* +L0073632 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* +L0073696 00000000 00000000 00000100 00000000 00000000 00010000 00000000 00000000* +L0073760 00000000 00000000 10000000 00010000 00000000 00010000 00000000 00000000* +L0073824 00000000 00000000 10000100 00010000 00000000 00000000 00000000 00000000* +L0073888 00000000 00000000 00000100 00000000 00000000 00010000 00000000 00000000* +L0073952 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0074016 000000 000000 000000 000000 000000 000100 000000 000000* +L0074064 000000 000000 000000 000000 000000 000000 000000 000010* +L0074112 000000 000000 000001 000000 000001 000000 000000 000000* +L0074160 000000 000000 000011 000000 000000 000000 000000 000000* L0074208 000000 000000 000000 000000 000000 000000 000000 000000* L0074256 000000 000000 000000 000000 000000 000000 000000 000000* -L0074304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* -L0074368 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0074432 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0074304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0074368 00000000 00000000 00010000 00000000 00000000 00010000 00000000 00000000* +L0074432 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* L0074496 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0074560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0074560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* L0074624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0074688 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0074752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0074816 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00001000* -L0074880 000000 000000 001000 000000 000000 000000 000000 000000* -L0074928 000000 000000 001000 000000 000000 000000 000000 000000* -L0074976 000000 000000 000000 000000 000010 000000 000000 000000* -L0075024 000000 000000 001000 000000 000010 000000 000000 000000* -L0075072 000000 000000 001000 000000 000010 000000 000000 000000* -L0075120 000000 000000 001000 000000 000010 000000 000000 000000* -L0075168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0075232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0074688 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000100* +L0074752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000100* +L0074816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000100* +L0074880 000000 000000 000000 000000 000000 000000 000000 100000* +L0074928 000000 000000 000000 000000 000000 000000 000000 100000* +L0074976 000000 000000 001000 000000 000000 000000 000000 000001* +L0075024 000000 000000 000000 000000 000000 000000 000000 000001* +L0075072 000000 000000 001000 000000 000000 000000 000000 100001* +L0075120 000000 000000 001000 010000 000000 000000 000000 100001* +L0075168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00111100* +L0075232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* L0075296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0075360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0075424 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* -L0075488 00000000 00000000 00000000 00010000 00000000 00010000 00000000 00000000* -L0075552 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00001000* -L0075616 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00001000* -L0075680 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* -L0075744 000000 000000 000000 000000 000000 000100 000000 000000* +L0075360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0075424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0075488 00100000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0075552 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0075616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0075680 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0075744 000000 000000 000000 000000 000000 000000 000000 000000* L0075792 000000 000000 000000 000000 000000 000000 000000 000000* L0075840 000000 000000 000000 000000 000000 000000 000000 000000* -L0075888 000000 000000 000000 000000 000000 000000 000000 000000* +L0075888 000000 000000 000000 000000 000000 000000 000000 100000* L0075936 000000 000000 000000 000000 000000 000000 000000 000000* L0075984 000000 000000 000000 000000 000000 000000 000000 000000* L0076032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076096 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076160 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076224 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076288 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076160 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076224 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076288 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0076352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0076416 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076544 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* -L0076608 000000 000000 000000 000000 000000 000000 000000 000001* -L0076656 000001 000000 000000 000000 000000 000000 000000 000000* -L0076704 010001 000000 000000 000000 000000 000000 000001 000000* -L0076752 000001 000000 000000 000000 000000 000000 000000 000000* -L0076800 000001 000000 100000 000000 000000 000000 000000 000100* -L0076848 000001 000000 000000 000000 000000 000000 000000 000000* -L0076896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076960 00000000 00000000 00000000 00000000 00000000 00000000 10000100 00010100* -L0077024 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00010100* -L0077088 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0077152 00000000 00000000 00000000 10000100 00000000 00000000 00000000 00000000* -L0077216 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000100* -L0077280 00000000 00000000 00000100 10001000 00000000 00000000 00000100 00000100* -L0077344 00000000 00000000 00010100 10001000 00000000 00000000 10000100 00000000* -L0077408 00000000 00000000 00110000 10001000 00000000 00000000 00000000 00000000* -L0077472 000000 000000 000000 100000 000000 000000 000000 000000* -L0077520 000000 000000 000100 000010 000000 000000 100000 000000* -L0077568 000000 000000 000100 000010 000000 000000 000000 000000* -L0077616 000000 000000 000000 000010 000000 000000 000000 000000* -L0077664 000000 000000 000000 000010 000000 000000 000000 000000* -L0077712 000000 000000 000000 000010 000000 000000 000000 000000* +L0076480 00000000 00000000 10000000 10010000 00000000 00000000 00000000 00000000* +L0076544 00000000 00000000 00000100 00000100 00000000 00000000 00000000 00000000* +L0076608 000000 000000 100001 000000 000000 000000 000000 000000* +L0076656 000000 000000 000000 000000 000000 000000 000000 000000* +L0076704 000001 000000 000000 000000 000000 000000 000000 000000* +L0076752 000000 000000 000000 000000 000000 000000 000000 000000* +L0076800 000000 000000 000011 000000 000000 000000 000000 000000* +L0076848 000000 000000 000011 000000 000000 000000 000000 000000* +L0076896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0076960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* +L0077024 00000000 00000000 00010000 00000000 00000000 00000000 00000000 10000000* +L0077088 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* +L0077152 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0077216 00000000 00000000 10000000 00000100 00000000 00000000 00000000 00000000* +L0077280 00000000 00000000 10000100 00001000 00000000 00000000 00000000 00100000* +L0077344 00000000 00000000 00000100 00001000 00000000 00000000 00000000 00100000* +L0077408 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077472 000000 000000 000000 000000 000000 000000 000000 000000* +L0077520 000000 000000 000000 000001 000000 000000 000000 000000* +L0077568 000000 000000 000001 000001 000000 000000 000000 000000* +L0077616 000001 000000 000011 000000 000000 000000 000000 000000* +L0077664 000000 000000 000000 000000 000000 000000 000000 000000* +L0077712 000000 000000 000000 000000 000010 000000 000000 000000* L0077760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0077824 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* -L0077888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0077824 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077888 00000000 00000000 00000000 00010000 00000000 01000000 00000000 00000000* L0077952 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078080 00000000 00100000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078144 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078208 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* +L0078080 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078144 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* +L0078208 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* L0078272 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078336 000000 000000 000000 000000 000000 000000 000000 110000* +L0078336 000000 000000 000000 000000 000000 000000 000000 000000* L0078384 000000 000000 000000 000000 000000 000000 000000 000000* L0078432 000000 000000 000000 000000 000000 000000 000000 000000* -L0078480 000000 000000 000000 000000 000000 000000 000000 000001* +L0078480 000000 000000 000000 000010 000000 000000 000000 000000* L0078528 000000 000000 000000 000000 000000 000000 000000 000000* -L0078576 000000 000000 000000 000000 000000 000000 000000 000100* -L0078624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078688 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010100* -L0078752 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00010100* -L0078816 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00010100* -L0078880 00000000 00000000 00000000 10000100 00000000 00000000 00000000 00010100* -L0078944 00000000 00000000 00000000 10010000 00000000 00000000 00000000 00100100* -L0079008 00000000 00000000 00000100 10011000 00000000 00000000 00000000 00100100* -L0079072 00000000 00000000 00110100 10001000 00000000 00000000 00000000 00000100* -L0079136 00000000 00000000 00110100 10001000 00000000 00000000 00000000 00000100* -L0079200 000000 000000 001101 100000 000000 000000 000000 000000* -L0079248 000000 000000 000100 000010 000000 000000 000000 000000* -L0079296 000000 000000 000100 010010 000000 000000 010000 000000* -L0079344 000000 000000 000100 000010 000000 000000 000000 000000* -L0079392 000000 000000 000100 000010 000010 000000 000000 000000* -L0079440 000000 000000 000100 000010 000010 000000 000000 000000* +L0078576 000000 000000 000000 000000 000000 000000 000000 000000* +L0078624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0078688 00000000 00000000 00010000 00000000 01000000 00000000 00000000 10100000* +L0078752 00000000 00000000 00010000 00000000 00000000 00000000 00000000 10100000* +L0078816 00000000 00000000 00000000 10000000 00000000 00000000 00000000 10100000* +L0078880 00000000 00000000 00000100 00000000 00000000 00000000 00000000 10100000* +L0078944 00000000 00000000 10000000 00010100 00000000 00000000 00000000 00000000* +L0079008 00000000 00000000 10000100 00011100 00000000 00000000 00000000 00100100* +L0079072 00000000 00000000 10100100 00001000 00000000 00000000 00000000 00100000* +L0079136 00000000 00000000 00000100 00001000 01000000 00000000 00000000 00100000* +L0079200 000000 000000 100001 000010 000000 000000 000000 000000* +L0079248 000000 000000 000000 000001 000001 000000 000000 000000* +L0079296 000000 000000 000001 000001 000000 000000 010000 000000* +L0079344 000000 000000 000011 000001 000000 000000 000000 000000* +L0079392 000000 000000 000011 000001 000000 000000 000000 000001* +L0079440 000000 000000 000011 000001 000000 000000 000000 000000* L0079488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0079552 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0079616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0079680 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0079744 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079872 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* +L0079808 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079872 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0079936 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0080000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0080064 000000 000000 000000 000000 000000 000000 000000 000000* -L0080112 000000 000000 000000 000000 000000 000000 000000 000000* -L0080160 000000 000000 000000 000000 000000 000000 000001 000000* +L0080112 000000 000001 000000 000000 000000 000000 000000 000000* +L0080160 000000 000001 000000 000000 000000 000000 000000 000000* L0080208 000000 000000 000000 000000 000000 000000 000000 000000* L0080256 000000 000000 000000 000000 000000 000000 000000 000000* L0080304 000000 000000 000000 000000 000000 000000 000000 000000* -L0080352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080416 00000000 00000000 00000000 00000000 00000000 00000000 11111100 00000000* -L0080480 00000000 00000000 00000000 00000000 00000000 00000000 01111100 00000000* -L0080544 00000000 00000000 10000000 00000100 00000000 00000000 00000000 00000000* -L0080608 00000000 00000000 00000000 10000100 00000000 00000000 00000000 00000000* -L0080672 00000000 00000000 00000000 10000000 00000000 00000000 00001000 00000000* -L0080736 00000000 00000000 00000000 10001000 00000000 00000000 00000100 00000000* -L0080800 00000000 00000000 00000000 10001000 00000000 00000000 10000100 00000000* -L0080864 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000000* -L0080928 000000 000000 000000 100000 000000 000000 000000 000000* -L0080976 000000 000100 000000 000010 000000 000000 000000 000000* -L0081024 000000 000000 000000 000010 000000 000000 100000 000000* -L0081072 000000 000000 000000 000010 000000 000000 100000 010000* -L0081120 000000 000000 000000 000010 000000 000000 000000 000000* -L0081168 000000 000000 000000 000010 000000 000000 000000 000000* -L0081216 00000000 00000000 00000000 10000100 10011000 00000000 00000000 00000000* -L0081280 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* -L0081344 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* -L0081408 00000000 00000000 00101000 10000000 00011000 00001000 00000000 00000000* -L0081472 00000000 00000000 00100000 00000000 00000000 00000100 00000000 00000000* -L0081536 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0081600 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0081664 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0081728 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0081792 000000 000000 000000 000001 000000 000000 000000 000000* -L0081840 000000 000000 000000 000100 000000 000000 000000 000000* -L0081888 000000 000000 000000 000001 000000 000000 000000 000000* -L0081936 000000 000000 000000 000001 000001 000000 000000 000000* -L0081984 000000 000000 000000 000001 000000 000100 000000 000000* -L0082032 000000 000000 000000 000001 000000 000000 000000 000000* -L0082080 00000000 00000000 10000000 00000000 00000100 10000000 00000000 00000100* -L0082144 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* -L0082208 00000000 00000000 00000000 00000100 01000100 00000000 10000000 00000000* -L0082272 00000000 00000000 00000100 00000000 00000100 00000000 10000000 00000000* -L0082336 00000000 00000000 00000000 00000000 01000100 00010000 10000000 00000000* -L0082400 00000000 00000000 00000000 00000000 00000100 00000000 00100000 00000000* -L0082464 00000000 00000100 00000100 00000000 00000100 00000000 00000000 00000000* -L0082528 00000000 00000000 00110100 00000000 00000100 00000000 00000000 00000000* -L0082592 00000000 00000000 00110100 00000000 00000100 00000000 00000100 00000000* -L0082656 000000 000000 001101 000000 000001 001000 000001 000000* -L0082704 000000 010000 000100 000001 010000 000000 000000 000000* -L0082752 000000 000000 000110 000000 010000 100000 000000 000000* -L0082800 000000 000000 000100 000000 010000 000000 000001 000000* -L0082848 000000 000000 001100 000000 010000 000000 000001 000000* -L0082896 000000 000000 000100 000000 010000 000001 000001 000000* +L0080352 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0080416 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0080480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0080544 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0080608 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0080672 00000000 00000000 00000000 00000000 00000000 00000000 00010000 00000000* +L0080736 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0080800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0080864 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0080928 000000 000000 000000 000000 000000 000000 000000 000000* +L0080976 000000 000000 000000 000000 000000 000000 000000 000000* +L0081024 000000 000000 000000 000000 000000 000000 000000 000000* +L0081072 000000 000000 000000 000000 000000 000000 000000 000000* +L0081120 000000 000000 000000 000000 000000 000000 000000 000000* +L0081168 000000 000000 000000 000000 000000 000000 000000 000000* +L0081216 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0081280 00010000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0081344 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0081408 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0081472 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0081536 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0081600 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0081664 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0081728 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0081792 000000 000000 000000 000000 000000 000000 000000 000000* +L0081840 000000 000000 000000 000000 000000 000000 000000 000000* +L0081888 000000 000000 000000 000000 100000 000000 000000 000000* +L0081936 000000 000000 000000 000000 000000 000000 000000 000000* +L0081984 000000 000000 000000 000000 000000 000000 000000 000000* +L0082032 000000 000000 000000 000000 000000 000000 000000 000000* +L0082080 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0082144 00000000 00000000 00010000 00000000 00000000 00000000 00000000 01000000* +L0082208 00000000 00000000 00010000 00000000 00000000 00000000 00000000 01000000* +L0082272 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* +L0082336 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0082400 00000000 00001000 10000000 00000000 00000000 00000000 00100000 01010000* +L0082464 00000000 00000000 10000100 00000000 00000000 00000000 00000000 01010000* +L0082528 00000000 00000000 10100100 00000000 00000000 00000000 00000000 01000000* +L0082592 00000000 00000000 00000100 00000000 00000000 00000000 00000000 01000000* +L0082656 000000 000000 100001 000000 000000 000000 000000 010000* +L0082704 000000 000000 000000 000000 000000 000000 000000 000000* +L0082752 000000 000000 000001 000000 000000 000000 000000 001000* +L0082800 000000 000000 000011 000000 000000 000000 000000 001000* +L0082848 000000 000000 001011 000000 000010 000000 000000 000000* +L0082896 000000 000000 000011 000000 000010 000000 000000 000000* L0082944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0083008 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0083008 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* L0083072 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0083136 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0083200 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0083264 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0083328 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0083392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0083264 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* +L0083328 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0083392 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* L0083456 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0083520 000000 000000 000000 000000 000000 000000 000000 000000* -L0083568 000000 000000 000000 000000 000000 000000 000000 000000* +L0083520 000000 000000 000010 000000 000000 000000 000000 000000* +L0083568 000000 000000 000000 000000 000000 000000 000011 000000* L0083616 000000 000000 000000 000000 000000 000000 000000 000000* -L0083664 000000 000000 000000 000000 000010 000000 000000 000000* +L0083664 000000 000000 000000 000000 000000 000000 000000 000000* L0083712 000000 000000 000000 000000 000000 000000 000000 000000* L0083760 000000 000000 000000 000000 000000 000000 000000 000000* -L0083808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0083872 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0083936 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0084000 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* +L0083808 00000000 00000000 00000000 00000000 00000000 00000000 10000100 00000000* +L0083872 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0083936 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0084000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0084064 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084128 00000000 00000000 00010000 00000000 00000000 00001000 00000000 00000000* -L0084192 00000000 00000000 00000000 00000100 00000000 00000000 10000000 00000000* -L0084256 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0084128 00000000 00000100 00000000 00000000 00000000 00000000 00000100 00000000* +L0084192 00000000 00000000 00011000 00000000 00000000 00000000 00000000 00000000* +L0084256 00000000 00000000 00010000 00000000 00000000 00000000 00000100 00000000* L0084320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084384 000000 000000 000000 000000 000000 000000 000000 000000* -L0084432 000000 000000 100000 000100 000000 000000 000000 000000* -L0084480 000000 000000 000000 000000 000000 000000 000000 000000* -L0084528 000000 000000 000000 000000 000000 000000 000000 000000* +L0084384 000000 000000 000000 000000 000000 000000 000001 000000* +L0084432 000000 000000 000000 000000 000000 000000 000000 000000* +L0084480 000000 000000 000100 000000 000000 000000 000000 000000* +L0084528 000000 000000 000100 000000 000000 000000 000000 000000* L0084576 000000 000000 000000 000000 000000 000000 000000 000000* -L0084624 000000 000000 000001 000000 000000 000000 000000 000000* -L0084672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* -L0084736 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0084624 000000 000000 000000 000000 000000 000000 000001 000000* +L0084672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0084736 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0084800 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* L0084864 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0084928 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084992 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0085056 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0084992 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* +L0085056 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* L0085120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0085184 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0085248 000000 000000 001010 000000 000000 000000 000000 001000* -L0085296 000000 000000 000000 000000 000000 000010 000000 000000* -L0085344 000000 000000 000000 000000 000000 000000 000001 000000* +L0085184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0085248 000000 000000 000000 000000 000000 000000 000000 000000* +L0085296 000000 000000 000000 000000 000000 000000 000000 000000* +L0085344 000000 000000 000000 000000 000010 000000 000000 000000* L0085392 000000 000000 000000 000000 000000 000000 000000 000000* L0085440 000000 000000 000000 000000 000000 000000 000000 000000* L0085488 000000 000000 000000 000000 000000 000000 000000 000000* -L0085536 00000000 00000000 00000000 00000000 00000000 00000000 00010000 00000000* -L0085600 00000000 00000000 00001100 00000000 00000000 00000000 11100100 00000000* -L0085664 00000000 00000000 00001100 00000000 00000000 00000000 01100100 00000000* -L0085728 00000000 00000000 00001100 00000000 00000000 00000000 00000000 00000000* -L0085792 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0085856 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0085920 00000000 00000000 00000100 00000000 00000000 00000000 00000100 00000000* -L0085984 00000000 00000000 00011100 00000100 00000000 00000000 10000100 00000000* -L0086048 00000000 00000000 00011100 00000000 00000000 00000000 00000000 00000000* -L0086112 000000 000000 000101 000000 000000 000000 000000 110000* -L0086160 000000 000000 000100 000100 000000 000000 000000 000000* -L0086208 000000 000000 000110 000000 000000 000000 100000 000000* -L0086256 000000 000000 000110 000000 000000 000000 100000 000000* -L0086304 000000 000000 000110 000000 000000 000000 000000 010000* -L0086352 000000 000000 000110 000000 000000 000000 000000 000100* -L0086400 00000000 00000000 00000000 00000000 10011000 00000000 00000000 00000000* -L0086464 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0086528 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0086592 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0086656 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0086720 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0086784 00000000 00000000 00000000 00000000 10000000 00000000 00000000 11000000* -L0086848 00000000 00000000 10000000 00000000 10000000 01000000 00000000 00000000* -L0086912 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0086976 000000 000000 000000 000000 100000 000000 000000 000000* -L0087024 000000 000000 000000 000000 000000 000000 000000 000000* -L0087072 000000 000000 000000 000000 001100 000000 000001 000000* -L0087120 000000 000000 000000 000000 001100 010000 000000 000010* -L0087168 000000 000000 000000 000000 001100 000000 000000 000000* -L0087216 000000 000000 000000 000000 001101 000000 000000 000000* -L0087264 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* -L0087328 00000000 00000000 00000000 00000000 00000000 00000000 11111100 00010100* -L0087392 00000000 00000000 00000000 00000000 00000000 00100000 01111100 00010100* -L0087456 00000000 00000000 00000000 00000100 01000000 00000000 00000000 00010100* -L0087520 00000000 00000000 00000000 10000100 00000000 00000000 00000000 00010100* -L0087584 00000000 00000000 00000000 10010000 00000000 01000000 00000000 00000100* -L0087648 00000000 00000000 00000000 10011000 00000000 00000000 00001100 00000100* -L0087712 00000000 00000000 00000000 10001000 00000000 00000000 10001100 00000100* -L0087776 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000100* -L0087840 000000 000000 000000 100000 000000 000000 000000 000000* -L0087888 000000 000000 000000 000010 000000 010000 000000 000000* -L0087936 000000 000000 000000 000010 000001 000000 100010 000000* -L0087984 000000 000000 000000 000010 000000 000000 100010 000000* -L0088032 000000 000000 000000 000010 000000 000000 000000 000000* -L0088080 000000 000000 000000 000010 000000 000000 000000 000000* -L0088128 00100000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* -L0088192 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* -L0088256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0088320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0088384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0088448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0088512 00000000 00000000 00000000 00000000 00000000 10010100 00000000 00000000* -L0088576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0088640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0088704 000000 000000 000000 000000 000000 000000 000000 000000* -L0088752 000000 000000 000000 000000 000000 000000 000000 000000* -L0088800 000000 000000 000000 000000 000000 101100 000001 000000* -L0088848 000000 000000 000000 000000 000000 100000 000000 000000* -L0088896 000000 000000 000000 000000 000000 000000 000000 000000* -L0088944 000000 000000 000000 000000 000000 000001 000000 000000* -L0088992 00000000 00000000 00000000 00000000 00000000 00000100 01000000 00000000* -L0089056 00000000 00000000 00000000 00000000 00000000 00001000 10000100 00000000* -L0089120 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0089184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0085536 00100000 00000000 00000000 00000000 00000000 00000000 01111000 00000000* +L0085600 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0085664 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0085728 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0085792 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0085856 00000000 00000000 00000000 00000000 00000000 00000000 00001000 00000000* +L0085920 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* +L0085984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086112 000000 000000 000000 000000 000000 000000 000000 000000* +L0086160 000000 000000 000000 000000 000000 000000 100000 000000* +L0086208 000000 000000 000000 000000 000000 000000 000000 000000* +L0086256 000000 000000 000000 000000 000000 000000 000000 000000* +L0086304 000000 000000 000000 000000 000000 000000 000000 000000* +L0086352 000000 000000 000000 000000 000000 000000 000000 000000* +L0086400 00000000 00000000 00000000 00010100 01000000 00000000 00000000 00000000* +L0086464 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0086528 00000000 00000000 00000100 00011100 00000000 00000000 00000000 00000000* +L0086592 00000000 00000000 10000000 00011100 00000000 00000000 00000000 00000000* +L0086656 00000000 00000000 00000000 01011100 01000000 00000000 00000000 00000000* +L0086720 00000000 00000000 00000000 01001000 00000000 00000000 00000000 01001000* +L0086784 00000000 00000000 00000000 01001000 00000000 00000000 00000000 00000000* +L0086848 00000000 00000000 00000000 11111100 00000000 00000000 00000000 00000000* +L0086912 00000000 00000000 00000000 01101100 01000000 00000000 00000000 00000000* +L0086976 000000 000000 000000 011111 000000 000000 000000 100000* +L0087024 000000 000000 000000 000110 010000 000000 000000 000101* +L0087072 000000 000000 000000 100110 010000 000000 000000 000000* +L0087120 000000 000000 000000 100110 010000 000000 000000 000000* +L0087168 000000 000000 000000 100110 000000 000000 000000 000000* +L0087216 000000 000000 000000 101110 000000 000000 000000 000000* +L0087264 00000000 00000000 00000000 00001000 00000000 00000000 00000000 10000000* +L0087328 00000000 00000000 00000000 00001000 10011000 00000000 00000000 00100000* +L0087392 00000000 00000000 00000000 00000000 10011000 00010000 00000000 10000000* +L0087456 00000000 00000000 01110100 00000000 10011000 00000000 00000000 00000000* +L0087520 00000000 00000000 10000000 00000000 10011000 00000000 00000000 10100000* +L0087584 01010000 00000000 00000000 00000100 00000100 00000000 00000000 00000000* +L0087648 00000000 00000000 00000000 00000100 00000100 00000000 00100000 00010100* +L0087712 00000000 00000000 00000000 00000000 00000100 00000000 00000000 01100100* +L0087776 00000000 00000000 11100000 00000000 00000100 00000000 00000000 00100000* +L0087840 000000 000000 000000 000000 000000 000000 000000 010000* +L0087888 000000 000000 000000 000000 001100 000000 000000 000000* +L0087936 000000 000000 000000 000001 000001 000000 000000 000000* +L0087984 000000 000000 000000 000000 000001 000000 000000 001001* +L0088032 000000 000000 010000 000000 000001 000000 000000 000000* +L0088080 000000 000000 000000 000001 000000 000000 000000 000000* +L0088128 00000000 00000000 00000000 00000000 00000100 10000000 00000000 01000000* +L0088192 00000000 00000000 00000000 01000000 10011100 10000000 00000000 01000000* +L0088256 00000000 00000000 00000000 00000000 10011000 00000000 00000000 01000000* +L0088320 00000000 00000000 00000000 00000000 10011100 00000000 00000000 01000000* +L0088384 00000000 00000000 00000000 00000000 10011100 00000000 00000000 00000000* +L0088448 00000000 00000000 00000000 00000000 10000100 00000000 00000000 01000000* +L0088512 00000000 00000000 00000000 00000000 10000100 10010100 00000000 01000000* +L0088576 00000000 00000000 00000000 00000000 00000100 00000000 00000000 01000000* +L0088640 00000000 00000000 00000000 00000000 10000100 00000000 00000000 01000000* +L0088704 000000 000000 000000 000000 100000 000000 000000 010000* +L0088752 000000 000000 000000 000000 001100 000000 000000 000000* +L0088800 000000 000000 000000 000000 001101 101100 000000 000000* +L0088848 000000 000000 000000 000000 001101 100000 000000 000000* +L0088896 000000 000000 000000 000000 001101 000000 000000 000000* +L0088944 000000 000000 000000 000000 001100 000001 000000 000000* +L0088992 00000000 00000000 00000000 00000000 00000000 00000100 01111000 00000000* +L0089056 00000000 00000000 00000000 00000000 01000000 00001000 00000000 00000000* +L0089120 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* +L0089184 00000000 00000000 00000000 01000000 01000000 00000000 00000000 00000000* L0089248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0089312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0089376 00000000 00000000 01000000 00000000 00000000 00000000 00000100 00000000* -L0089440 00000000 00000000 00000000 00000000 00000000 00000000 10000100 00000000* +L0089312 00000000 00000000 00000000 00000000 00000000 00000000 00001000 00000000* +L0089376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0089440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0089504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0089568 000000 000000 000000 000000 000000 000000 000000 000000* -L0089616 000000 000000 000001 000000 000000 000000 000000 000000* -L0089664 000000 000000 000000 000000 000000 000000 100000 000000* -L0089712 000000 000000 000000 000000 000000 000000 100000 000000* +L0089616 000000 000000 000000 000000 000000 000000 100000 000000* +L0089664 000000 000000 000000 000000 000000 000000 000000 000000* +L0089712 000000 000000 000000 000000 000000 000000 000000 000000* L0089760 000000 000000 000000 000000 000000 000000 000000 000000* L0089808 000000 000000 000000 000000 000000 000000 000000 000000* -L0089856 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* -L0089920 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010100* -L0089984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010100* -L0090048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010100* -L0090112 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00010100* -L0090176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* -L0090240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* -L0090304 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00100100* -L0090368 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00100100* -L0090432 000000 000000 000000 100000 000000 000000 000000 001000* -L0090480 010000 000000 000000 000000 000000 000000 000000 000000* -L0090528 000000 000000 000001 000000 000000 000000 000001 000100* -L0090576 000000 000000 000000 000000 000000 000000 000000 000000* -L0090624 000000 000000 000000 000010 000000 000000 000000 001000* -L0090672 000000 000000 000000 000010 000000 000000 000000 001000* -L0090720 00000000 00000000 00000000 00000000 00000000 00000000 00100000 00000000* -L0090784 10000000 00000000 00000000 00000000 00000000 00000000 11000100 00000000* -L0090848 10000000 00000000 00000000 00000000 00000000 00010000 01000100 00000000* -L0090912 10000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0090976 10000000 00000000 00000000 10000000 00000000 00000000 00000000 10000000* -L0091040 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* -L0091104 00000000 00000000 00000000 10001000 00000000 00000000 00000100 00000000* -L0091168 00000000 00000000 00000000 00000000 00000000 00000000 10000100 00000000* +L0089856 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0089920 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0089984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0090048 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* +L0090112 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0090176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0090240 00000000 00000000 00000000 00100000 00000000 00000000 00000000 00000000* +L0090304 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0090368 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000100* +L0090432 000000 000000 100001 000000 000000 000000 000000 000000* +L0090480 000000 000000 000000 000000 100000 000000 000000 000000* +L0090528 000000 000000 000000 000000 000000 000000 000000 000000* +L0090576 000001 000000 000000 000000 000000 000000 000000 000000* +L0090624 000000 000000 000011 000000 000000 000100 000000 000000* +L0090672 000000 000000 000011 000000 000000 000000 000000 000000* +L0090720 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0090784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0090848 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0090912 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0090976 00000000 00000000 00000100 00000000 00000000 00010000 00000000 01000000* +L0091040 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00010000* +L0091104 00000000 00000000 10000100 00000000 00000000 00000000 00000000 00010000* +L0091168 00000000 00000000 00000100 00000000 10000000 00000000 00000000 00000000* L0091232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091296 000000 000000 000000 000000 000000 000000 000000 000010* -L0091344 000000 000000 000000 000010 000000 000000 000000 000000* -L0091392 000000 000000 000000 000010 000000 000000 100000 000000* -L0091440 000000 000000 000000 000010 000000 000000 100000 100000* -L0091488 000000 000000 000000 000000 000000 000000 000000 000000* -L0091536 000000 000000 000000 000000 000000 000000 000000 000000* +L0091296 000000 000000 000000 000000 000001 001000 000000 000000* +L0091344 011001 000000 000000 000000 000000 000000 000000 000000* +L0091392 000000 000000 000001 000000 000000 100000 000000 001000* +L0091440 000000 000000 000011 000000 000000 000000 000000 001000* +L0091488 000000 000000 000000 000000 000000 000000 000000 010000* +L0091536 000000 000000 000000 000000 000001 000001 000000 000000* L0091584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0091648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0091712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0091776 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0091840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091904 00000000 00000000 00000000 00000000 00001000 00000000 11010000 00000000* +L0091968 00000000 00000000 00000000 00000000 00110000 00000000 00100000 00000000* +L0092032 00000000 00000000 00000000 00000000 00011000 00000000 00000000 00000000* L0092096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0092160 000000 000000 000000 000000 000000 000000 000000 000000* -L0092208 000000 000000 000000 000000 000000 000000 000000 000000* +L0092208 000000 000000 000000 000000 000000 000000 011000 000000* L0092256 000000 000000 000000 000000 000000 000000 000000 000000* L0092304 000000 000000 000000 000000 000000 000000 000000 000000* L0092352 000000 000000 000000 000000 000000 000000 000000 000000* L0092400 000000 000000 000000 000000 000000 000000 000000 000000* -L0092448 00000000 00100000 00000000 00000000 00000000 00000000 00000000 00000100* +L0092448 00000000 00010000 00000000 00000000 00000000 00000000 00000000 00000000* L0092512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092576 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* +L0092576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0092640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092704 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* -L0092768 00010000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092832 00010000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0093024 000000 000000 000000 000000 000000 000000 000001 000000* -L0093072 000000 000000 000000 000000 000000 000000 010000 000000* -L0093120 000000 000000 000000 000000 000000 000000 000000 000000* +L0092704 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0092768 00000000 00000000 10000000 10000000 00010000 00000000 00100000 00000000* +L0092832 00000000 00000000 00000100 10000000 00001000 00000000 11010000 00000000* +L0092896 00000000 00000000 10000000 00000000 00100000 10000000 00000000 00000000* +L0092960 00000000 00000000 00000100 00000000 00011000 00000100 00000000 00000000* +L0093024 000000 000000 000000 000000 000000 000000 000000 000000* +L0093072 000000 000000 000000 000000 000000 000000 000000 000000* +L0093120 000000 000000 000001 000000 000000 000000 011000 000000* L0093168 000000 000000 000000 000000 000000 000000 000000 000000* -L0093216 000000 000000 000001 000000 000000 000000 000001 000000* +L0093216 000000 000000 000011 000000 000000 000000 000000 000000* L0093264 000000 000000 000000 000000 000000 000000 000000 000000* -CF3BF* -2E93 +C9977* +2C8B diff --git a/cpld/XC95144XL/WarpSE.mfd b/cpld/XC95144XL/WarpSE.mfd index ff3370a..2828f0e 100644 --- a/cpld/XC95144XL/WarpSE.mfd +++ b/cpld/XC95144XL/WarpSE.mfd @@ -4,7 +4,7 @@ MACROCELL | 5 | 1 | nVMA_IOBout ATTRIBUTES | 4622082 | 0 OUTPUTMC | 2 | 5 | 1 | 5 | 9 INPUTS | 8 | nVMA_IOB | iobm/ES<0> | iobm/ES<2> | iobm/ES<1> | iobm/ES<3> | IOACT | iobm/VPAr | nAoutOE -INPUTMC | 8 | 5 | 1 | 5 | 4 | 0 | 13 | 5 | 6 | 5 | 5 | 5 | 17 | 1 | 7 | 3 | 1 +INPUTMC | 8 | 5 | 1 | 5 | 4 | 0 | 17 | 5 | 6 | 5 | 5 | 5 | 17 | 1 | 10 | 3 | 1 EQ | 6 | nVMA_IOB.T = !nVMA_IOB & !iobm/ES<0> & !iobm/ES<2> & !iobm/ES<1> & !iobm/ES<3> @@ -16,9 +16,9 @@ GLOBALS | 1 | 2 | C8M MACROCELL | 5 | 11 | nAS_IOBout ATTRIBUTES | 8816386 | 0 -OUTPUTMC | 2 | 1 | 17 | 5 | 9 +OUTPUTMC | 2 | 0 | 16 | 5 | 9 INPUTS | 9 | iobm/IOS_FSM_FFd3 | iobm/C8Mr | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd7 | iobm/IORDREQr | iobm/IOWRREQr | nAoutOE -INPUTMC | 9 | 5 | 3 | 1 | 14 | 1 | 10 | 1 | 9 | 5 | 0 | 5 | 2 | 1 | 12 | 1 | 8 | 3 | 1 +INPUTMC | 9 | 5 | 3 | 1 | 16 | 1 | 13 | 1 | 12 | 5 | 0 | 5 | 2 | 1 | 15 | 1 | 11 | 3 | 1 EQ | 9 | nAS_IOB.D = !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 @@ -34,8 +34,8 @@ GLOBALS | 1 | 2 | C16M MACROCELL | 5 | 8 | nLDS_IOBout ATTRIBUTES | 8816386 | 0 OUTPUTMC | 1 | 5 | 8 -INPUTS | 8 | iobm/IOS_FSM_FFd5 | IOL0 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | nLDS_IOB | iobm/IOS_FSM_FFd6 | iobm/DoutOE.EXP | nAoutOE -INPUTMC | 8 | 1 | 9 | 7 | 0 | 1 | 10 | 5 | 3 | 5 | 8 | 5 | 0 | 5 | 7 | 3 | 1 +INPUTS | 8 | iobm/IOS_FSM_FFd3 | IOL0 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd4 | nLDS_IOB | iobm/IOS_FSM_FFd6 | iobm/DoutOE.EXP | nAoutOE +INPUTMC | 8 | 5 | 3 | 3 | 8 | 1 | 12 | 1 | 13 | 5 | 8 | 5 | 0 | 5 | 7 | 3 | 1 IMPORTS | 1 | 5 | 7 EQ | 9 | !nLDS_IOB.D = iobm/IOS_FSM_FFd3 & IOL0 @@ -53,7 +53,7 @@ MACROCELL | 5 | 10 | nUDS_IOBout ATTRIBUTES | 8816386 | 0 OUTPUTMC | 1 | 5 | 10 INPUTS | 8 | iobm/IOS_FSM_FFd3 | IOU0 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd4 | nUDS_IOB | iobm/IOS_FSM_FFd6 | IODONE.EXP | nAoutOE -INPUTMC | 8 | 5 | 3 | 7 | 13 | 1 | 9 | 1 | 10 | 5 | 10 | 5 | 0 | 5 | 9 | 3 | 1 +INPUTMC | 8 | 5 | 3 | 3 | 3 | 1 | 12 | 1 | 13 | 5 | 10 | 5 | 0 | 5 | 9 | 3 | 1 IMPORTS | 1 | 5 | 9 EQ | 9 | !nUDS_IOB.D = iobm/IOS_FSM_FFd3 & IOU0 @@ -67,203 +67,13 @@ EQ | 9 | nUDS_IOB.OE = !nAoutOE; GLOBALS | 1 | 2 | C16M -MACROCELL | 3 | 17 | cnt/LTimer<0> -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 18 | 3 | 17 | 3 | 15 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 2 | 1 | 3 | 11 | 6 | 17 | 3 | 0 | 3 | 16 | 6 | 1 -INPUTS | 8 | cnt/LTimer<0> | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/LTimer<1> | cnt/LTimer<2> | EXP12_.EXP | EXP15_.EXP -INPUTMC | 8 | 3 | 17 | 6 | 17 | 7 | 15 | 0 | 10 | 3 | 15 | 6 | 14 | 3 | 0 | 3 | 16 -IMPORTS | 2 | 3 | 0 | 3 | 16 -EQ | 73 | - cnt/LTimer<0>.D = cnt/LTimer<0> & - !cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !cnt/LTimer<0> & cnt/LTimer<1> & - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !cnt/LTimer<0> & cnt/LTimer<2> & - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !cnt/LTimer<0> & !cnt/IS_FSM_FFd1 & - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !cnt/LTimer<0> & cnt/IS_FSM_FFd2 & - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 -;Imported pterms FB4_1 - # !cnt/LTimer<0> & cnt/LTimer<10> & - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !cnt/LTimer<0> & cnt/LTimer<8> & - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !cnt/LTimer<0> & cnt/LTimer<9> & - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !cnt/LTimer<0> & cnt/LTimer<11> & - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & - !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & - A_FSB<8> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 -;Imported pterms FB4_2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & - fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & - fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & - A_FSB<9> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & - fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & - fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 -;Imported pterms FB4_17 - # !cnt/LTimer<0> & cnt/LTimer<3> & - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !cnt/LTimer<0> & cnt/LTimer<4> & - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !cnt/LTimer<0> & cnt/LTimer<5> & - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !cnt/LTimer<0> & cnt/LTimer<6> & - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !cnt/LTimer<0> & cnt/LTimer<7> & - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 -;Imported pterms FB4_16 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & - !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & - A_FSB<9> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & - A_FSB<8> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2; - cnt/LTimer<0>.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 3 | 15 | cnt/LTimer<1> -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 17 | 3 | 17 | 3 | 15 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 2 | 1 | 3 | 11 | 6 | 17 | 6 | 1 | 3 | 16 -INPUTS | 24 | cnt/LTimer<1> | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | cnt/LTimer<0> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<14> | A_FSB<11> | A_FSB<10> | nWE_FSB | nAS_FSB | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | A_FSB<9> | A_FSB<8> | EXP14_.EXP -INPUTMC | 6 | 3 | 15 | 6 | 17 | 3 | 17 | 7 | 15 | 0 | 10 | 3 | 14 -INPUTP | 18 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 21 | 18 | 17 | 19 | 15 | 13 | 47 | 54 | 12 | 11 -EXPORTS | 1 | 3 | 16 -IMPORTS | 1 | 3 | 14 -EQ | 58 | - !cnt/LTimer<1>.D = !cnt/LTimer<0> & !cnt/LTimer<1> - # !cnt/LTimer<1> & - !cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # cnt/LTimer<0> & cnt/LTimer<1> & - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 -;Imported pterms FB4_15 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & - !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & - A_FSB<9> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & - !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & - A_FSB<8> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & - fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & - A_FSB<9> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & - A_FSB<8> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 -;Imported pterms FB4_14 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & - fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & - fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & - fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2; - cnt/LTimer<1>.CLK = FCLK; // GCK - cnt/LTimer<1>.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & - !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & - A_FSB<9> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & - A_FSB<8> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 0 | 9 | cnt/Timer<0> -ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 6 | 0 | 9 | 0 | 14 | 0 | 16 | 0 | 15 | 0 | 12 | 0 | 8 -INPUTS | 4 | cnt/Timer<0> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 4 | 0 | 9 | 0 | 8 | 0 | 4 | 0 | 3 -EQ | 4 | - !cnt/Timer<0>.T = !cnt/Timer<0> & cnt/TimerTC & !cnt/Er<0> & - cnt/Er<1>; - cnt/Timer<0>.CLK = FCLK; // GCK - cnt/Timer<0>.CE = !cnt/Er<0> & cnt/Er<1>; -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 0 | 14 | cnt/Timer<1> -ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 6 | 0 | 14 | 0 | 16 | 0 | 11 | 0 | 15 | 0 | 12 | 0 | 8 -INPUTS | 5 | cnt/Timer<0> | cnt/Timer<1> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 5 | 0 | 9 | 0 | 14 | 0 | 8 | 0 | 4 | 0 | 3 -EQ | 5 | - !cnt/Timer<1>.D = cnt/Timer<0> & cnt/Timer<1> - # !cnt/Timer<0> & !cnt/Timer<1> - # cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; - cnt/Timer<1>.CLK = FCLK; // GCK - cnt/Timer<1>.CE = !cnt/Er<0> & cnt/Er<1>; -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 0 | 16 | cnt/Timer<2> -ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 5 | 0 | 16 | 0 | 11 | 0 | 15 | 0 | 12 | 0 | 8 -INPUTS | 6 | cnt/Timer<0> | cnt/Timer<2> | cnt/Timer<1> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 6 | 0 | 9 | 0 | 16 | 0 | 14 | 0 | 8 | 0 | 4 | 0 | 3 -EQ | 6 | - !cnt/Timer<2>.D = !cnt/Timer<0> & !cnt/Timer<2> - # !cnt/Timer<1> & !cnt/Timer<2> - # cnt/Timer<0> & cnt/Timer<1> & cnt/Timer<2> - # cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; - cnt/Timer<2>.CLK = FCLK; // GCK - cnt/Timer<2>.CE = !cnt/Er<0> & cnt/Er<1>; -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 2 | 15 | iobs/Sent +MACROCELL | 7 | 17 | iobs/Sent ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 16 | 2 | 15 | 7 | 16 | 4 | 14 | 7 | 11 | 7 | 2 | 2 | 11 | 7 | 0 | 7 | 13 | 2 | 13 | 7 | 8 | 2 | 10 | 2 | 14 | 2 | 16 | 7 | 7 | 7 | 9 | 7 | 15 -INPUTS | 12 | iobs/Sent | nAS_FSB | fsb/ASrf | A_FSB<23> | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<22> | A_FSB<20> | A_FSB<21> | IORDREQ.EXP | nROMWE_OBUF.EXP -INPUTMC | 7 | 2 | 15 | 3 | 9 | 2 | 5 | 7 | 2 | 5 | 13 | 2 | 14 | 2 | 16 +OUTPUTMC | 18 | 7 | 17 | 3 | 16 | 4 | 14 | 7 | 11 | 3 | 12 | 3 | 15 | 7 | 6 | 3 | 8 | 3 | 3 | 7 | 2 | 2 | 9 | 3 | 0 | 3 | 11 | 3 | 13 | 3 | 17 | 7 | 0 | 7 | 7 | 7 | 16 +INPUTS | 12 | iobs/Sent | nAS_FSB | fsb/ASrf | A_FSB<23> | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<22> | A_FSB<20> | A_FSB<21> | EXP20_.EXP | nRESout.EXP +INPUTMC | 7 | 7 | 17 | 2 | 17 | 7 | 9 | 3 | 15 | 5 | 13 | 7 | 0 | 7 | 16 INPUTP | 5 | 54 | 36 | 30 | 28 | 29 -IMPORTS | 2 | 2 | 14 | 2 | 16 +IMPORTS | 2 | 7 | 0 | 7 | 16 EQ | 32 | iobs/Sent.T = iobs/Sent & nAS_FSB & !fsb/ASrf # A_FSB<23> & !iobs/Sent & !nAS_FSB & @@ -274,16 +84,9 @@ EQ | 32 | !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 # A_FSB<22> & A_FSB<20> & !iobs/Sent & !nAS_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 -;Imported pterms FB3_15 - # A_FSB<22> & A_FSB<21> & !iobs/Sent & - !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 - # A_FSB<22> & A_FSB<20> & !iobs/Sent & - !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 - # A_FSB<22> & !iobs/Sent & !cs/nOverlay & !nAS_FSB & - !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 +;Imported pterms FB8_1 # A_FSB<22> & !iobs/Sent & !cs/nOverlay & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 -;Imported pterms FB3_17 # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & !iobs/Sent & !nWE_FSB & !nAS_FSB & nADoutLE1 @@ -295,13 +98,159 @@ EQ | 32 | !iobs/Sent & !nWE_FSB & !nAS_FSB & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & - !iobs/Sent & !nWE_FSB & fsb/ASrf & nADoutLE1; + !iobs/Sent & !nWE_FSB & fsb/ASrf & nADoutLE1 +;Imported pterms FB8_17 + # A_FSB<22> & A_FSB<21> & !iobs/Sent & + !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 + # A_FSB<22> & A_FSB<20> & !iobs/Sent & + !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 + # A_FSB<22> & !iobs/Sent & !cs/nOverlay & !nAS_FSB & + !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1; iobs/Sent.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 1 | 17 | IOBERR +MACROCELL | 2 | 15 | cnt/QS<0> +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 3 | 2 | 15 | 2 | 0 | 2 | 14 +INPUTS | 24 | cnt/QS<0> | cnt/Er<0> | cnt/Er<1> | cnt/TimerTC | cnt/QS<1> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<14> | A_FSB<12> | A_FSB<11> | A_FSB<10> | nWE_FSB | nAS_FSB | A_FSB<9> | QoSReady.EXP | nROMWE_OBUF.EXP +INPUTMC | 7 | 2 | 15 | 0 | 8 | 2 | 2 | 6 | 0 | 2 | 0 | 2 | 14 | 2 | 16 +INPUTP | 17 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 21 | 18 | 19 | 17 | 15 | 13 | 47 | 54 | 12 +IMPORTS | 2 | 2 | 14 | 2 | 16 +EQ | 40 | + cnt/QS<0>.D = cnt/QS<0> & !cnt/TimerTC + # cnt/QS<0> & cnt/Er<0> + # cnt/QS<0> & !cnt/Er<1> + # !cnt/QS<0> & cnt/QS<1> & cnt/TimerTC & !cnt/Er<0> & + cnt/Er<1> + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & A_FSB<14> & A_FSB<12> & A_FSB<11> & A_FSB<10> & + !nWE_FSB & !nAS_FSB & A_FSB<9> +;Imported pterms FB3_15 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & A_FSB<14> & A_FSB<12> & A_FSB<11> & A_FSB<10> & + !nWE_FSB & !nAS_FSB & A_FSB<8> + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & !A_FSB<14> & !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & + !nWE_FSB & !nAS_FSB & A_FSB<9> + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & !A_FSB<14> & !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & + !nWE_FSB & !nAS_FSB & A_FSB<8> +;Imported pterms FB3_17 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & A_FSB<14> & A_FSB<12> & A_FSB<11> & A_FSB<10> & + !nWE_FSB & A_FSB<9> & fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & A_FSB<14> & A_FSB<12> & A_FSB<11> & A_FSB<10> & + !nWE_FSB & A_FSB<8> & fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & !A_FSB<14> & !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & + !nWE_FSB & A_FSB<9> & fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & !A_FSB<14> & !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & + !nWE_FSB & A_FSB<8> & fsb/ASrf; + cnt/QS<0>.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 2 | 0 | cnt/QS<1> +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 3 | 2 | 15 | 2 | 0 | 2 | 14 +INPUTS | 7 | cnt/QS<0> | cnt/QS<1> | cnt/Er<0> | cnt/Er<1> | cnt/TimerTC | cnt/LTimer<0>.EXP | fsb/ASrf.EXP +INPUTMC | 7 | 2 | 15 | 2 | 0 | 0 | 8 | 2 | 2 | 6 | 0 | 2 | 1 | 2 | 17 +IMPORTS | 2 | 2 | 1 | 2 | 17 +EQ | 41 | + !cnt/QS<1>.D = !cnt/QS<0> & !cnt/QS<1> + # !cnt/QS<1> & !cnt/TimerTC + # !cnt/QS<1> & cnt/Er<0> + # !cnt/QS<1> & !cnt/Er<1> + # cnt/QS<0> & cnt/QS<1> & cnt/TimerTC & !cnt/Er<0> & + cnt/Er<1> +;Imported pterms FB3_2 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & A_FSB<14> & A_FSB<12> & A_FSB<11> & A_FSB<10> & + !nWE_FSB & A_FSB<9> & fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & A_FSB<14> & A_FSB<12> & A_FSB<11> & A_FSB<10> & + !nWE_FSB & A_FSB<8> & fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & !A_FSB<14> & !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & + !nWE_FSB & A_FSB<9> & fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & !A_FSB<14> & !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & + !nWE_FSB & A_FSB<8> & fsb/ASrf +;Imported pterms FB3_18 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & A_FSB<14> & A_FSB<12> & A_FSB<11> & A_FSB<10> & + !nWE_FSB & !nAS_FSB & A_FSB<9> + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & A_FSB<14> & A_FSB<12> & A_FSB<11> & A_FSB<10> & + !nWE_FSB & !nAS_FSB & A_FSB<8> + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & !A_FSB<14> & !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & + !nWE_FSB & !nAS_FSB & A_FSB<9> + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & !A_FSB<14> & !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & + !nWE_FSB & !nAS_FSB & A_FSB<8>; + cnt/QS<1>.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 0 | 13 | cnt/Timer<0> +ATTRIBUTES | 4358976 | 0 +OUTPUTMC | 6 | 0 | 13 | 6 | 15 | 6 | 17 | 6 | 16 | 6 | 14 | 6 | 0 +INPUTS | 4 | cnt/Timer<0> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> +INPUTMC | 4 | 0 | 13 | 6 | 0 | 0 | 8 | 2 | 2 +EQ | 4 | + !cnt/Timer<0>.T = !cnt/Timer<0> & cnt/TimerTC & !cnt/Er<0> & + cnt/Er<1>; + cnt/Timer<0>.CLK = FCLK; // GCK + cnt/Timer<0>.CE = !cnt/Er<0> & cnt/Er<1>; +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 6 | 15 | cnt/Timer<1> +ATTRIBUTES | 8553280 | 0 +OUTPUTMC | 6 | 6 | 15 | 6 | 17 | 0 | 15 | 6 | 16 | 6 | 14 | 6 | 0 +INPUTS | 5 | cnt/Timer<0> | cnt/Timer<1> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> +INPUTMC | 5 | 0 | 13 | 6 | 15 | 6 | 0 | 0 | 8 | 2 | 2 +EQ | 5 | + !cnt/Timer<1>.D = cnt/Timer<0> & cnt/Timer<1> + # !cnt/Timer<0> & !cnt/Timer<1> + # cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; + cnt/Timer<1>.CLK = FCLK; // GCK + cnt/Timer<1>.CE = !cnt/Er<0> & cnt/Er<1>; +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 6 | 17 | cnt/Timer<2> +ATTRIBUTES | 8553280 | 0 +OUTPUTMC | 5 | 6 | 17 | 0 | 15 | 6 | 16 | 6 | 14 | 6 | 0 +INPUTS | 6 | cnt/Timer<0> | cnt/Timer<2> | cnt/Timer<1> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> +INPUTMC | 6 | 0 | 13 | 6 | 17 | 6 | 15 | 6 | 0 | 0 | 8 | 2 | 2 +EQ | 6 | + !cnt/Timer<2>.D = !cnt/Timer<0> & !cnt/Timer<2> + # !cnt/Timer<1> & !cnt/Timer<2> + # cnt/Timer<0> & cnt/Timer<1> & cnt/Timer<2> + # cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; + cnt/Timer<2>.CLK = FCLK; // GCK + cnt/Timer<2>.CE = !cnt/Er<0> & cnt/Er<1>; +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 0 | 16 | IOBERR ATTRIBUTES | 8553232 | 0 -OUTPUTMC | 4 | 7 | 10 | 5 | 3 | 5 | 16 | 1 | 16 +OUTPUTMC | 4 | 7 | 11 | 5 | 3 | 5 | 16 | 1 | 17 INPUTS | 2 | nBERR_IOB | nAS_IOB INPUTMC | 1 | 5 | 11 INPUTP | 1 | 123 @@ -313,9 +262,9 @@ GLOBALS | 1 | 2 | C8M MACROCELL | 5 | 9 | IODONE ATTRIBUTES | 8553232 | 0 -OUTPUTMC | 5 | 5 | 3 | 5 | 16 | 1 | 16 | 1 | 5 | 5 | 10 +OUTPUTMC | 5 | 5 | 3 | 5 | 16 | 1 | 17 | 1 | 8 | 5 | 10 INPUTS | 12 | nRES.PIN | nDTACK_IOB | nVMA_IOB | iobm/ES<0> | iobm/ES<2> | iobm/ES<1> | iobm/ES<3> | nAS_IOB | iobm/IOS_FSM_FFd7 | iobm/C8Mr | IOU0 | iobm/IORDREQr -INPUTMC | 10 | 5 | 1 | 5 | 4 | 0 | 13 | 5 | 6 | 5 | 5 | 5 | 11 | 5 | 2 | 1 | 14 | 7 | 13 | 1 | 12 +INPUTMC | 10 | 5 | 1 | 5 | 4 | 0 | 17 | 5 | 6 | 5 | 5 | 5 | 11 | 5 | 2 | 1 | 16 | 3 | 3 | 1 | 15 INPUTP | 2 | 145 | 127 EXPORTS | 1 | 5 | 10 EQ | 8 | @@ -329,208 +278,51 @@ EQ | 8 | iobm/IORDREQr GLOBALS | 1 | 2 | C8M -MACROCELL | 0 | 11 | RefUrg +MACROCELL | 0 | 15 | RefUrg ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 12 | 0 | 5 | 4 | 0 | 4 | 9 | 0 | 17 | 4 | 12 | 4 | 17 | 4 | 4 | 4 | 3 | 4 | 5 | 4 | 8 | 4 | 11 | 4 | 16 +OUTPUTMC | 13 | 0 | 9 | 4 | 0 | 4 | 9 | 7 | 3 | 4 | 12 | 4 | 17 | 4 | 4 | 4 | 3 | 4 | 5 | 4 | 8 | 4 | 11 | 4 | 16 | 7 | 4 INPUTS | 5 | cnt/Timer<1> | cnt/Timer<2> | cnt/Timer<3> | cnt/Er<0> | cnt/Er<1> -INPUTMC | 5 | 0 | 14 | 0 | 16 | 0 | 15 | 0 | 4 | 0 | 3 +INPUTMC | 5 | 6 | 15 | 6 | 17 | 6 | 16 | 0 | 8 | 2 | 2 EQ | 3 | RefUrg.D = !cnt/Timer<1> & !cnt/Timer<2> & cnt/Timer<3>; RefUrg.CLK = FCLK; // GCK RefUrg.CE = !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 6 | 16 | cnt/LTimer<10> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 5 | 3 | 0 | 6 | 16 | 6 | 15 | 3 | 11 | 6 | 17 -INPUTS | 35 | cnt/LTimer<10> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/LTimer<9> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<14> | A_FSB<11> | A_FSB<10> | nWE_FSB | A_FSB<8> | fsb/ASrf | nAS_FSB | A_FSB<9> -INPUTMC | 17 | 6 | 16 | 7 | 15 | 0 | 10 | 3 | 17 | 3 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 0 | 8 | 0 | 4 | 0 | 3 | 3 | 9 -INPUTP | 18 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 21 | 18 | 17 | 19 | 15 | 13 | 47 | 11 | 54 | 12 -EXPORTS | 1 | 6 | 17 -EQ | 21 | - cnt/LTimer<10>.T = cnt/LTimer<10> & cnt/IS_FSM_FFd1 & - !cnt/IS_FSM_FFd2 - # cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & - cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & cnt/LTimer<6> & - cnt/LTimer<7> & cnt/LTimer<8> & cnt/LTimer<9> & cnt/TimerTC & - !cnt/IS_FSM_FFd1 & !cnt/Er<0> & cnt/Er<1> - # cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & - cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & cnt/LTimer<6> & - cnt/LTimer<7> & cnt/LTimer<8> & cnt/LTimer<9> & cnt/TimerTC & - cnt/IS_FSM_FFd2 & !cnt/Er<0> & cnt/Er<1>; - cnt/LTimer<10>.CLK = FCLK; // GCK - cnt/LTimer<10>.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & - fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & - A_FSB<9> -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 6 | 14 | cnt/LTimer<2> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 14 | 3 | 17 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 3 | 11 | 6 | 17 | 6 | 1 -INPUTS | 8 | cnt/LTimer<2> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 8 | 6 | 14 | 7 | 15 | 0 | 10 | 3 | 17 | 3 | 15 | 0 | 8 | 0 | 4 | 0 | 3 -EQ | 7 | - cnt/LTimer<2>.T = cnt/LTimer<2> & cnt/IS_FSM_FFd1 & - !cnt/IS_FSM_FFd2 - # cnt/LTimer<0> & cnt/LTimer<1> & cnt/TimerTC & - !cnt/IS_FSM_FFd1 & !cnt/Er<0> & cnt/Er<1> - # cnt/LTimer<0> & cnt/LTimer<1> & cnt/TimerTC & - cnt/IS_FSM_FFd2 & !cnt/Er<0> & cnt/Er<1>; - cnt/LTimer<2>.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 6 | 13 | cnt/LTimer<3> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 13 | 3 | 16 | 6 | 16 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 3 | 11 | 6 | 17 | 6 | 1 -INPUTS | 9 | cnt/LTimer<3> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 9 | 6 | 13 | 7 | 15 | 0 | 10 | 3 | 17 | 3 | 15 | 6 | 14 | 0 | 8 | 0 | 4 | 0 | 3 -EQ | 7 | - cnt/LTimer<3>.T = cnt/LTimer<3> & cnt/IS_FSM_FFd1 & - !cnt/IS_FSM_FFd2 - # cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & - cnt/TimerTC & !cnt/IS_FSM_FFd1 & !cnt/Er<0> & cnt/Er<1> - # cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & - cnt/TimerTC & cnt/IS_FSM_FFd2 & !cnt/Er<0> & cnt/Er<1>; - cnt/LTimer<3>.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 6 | 12 | cnt/LTimer<4> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 12 | 3 | 16 | 6 | 16 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 3 | 11 | 6 | 17 | 6 | 1 -INPUTS | 10 | cnt/LTimer<4> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 10 | 6 | 12 | 7 | 15 | 0 | 10 | 3 | 17 | 3 | 15 | 6 | 14 | 6 | 13 | 0 | 8 | 0 | 4 | 0 | 3 -EQ | 9 | - cnt/LTimer<4>.T = cnt/LTimer<4> & cnt/IS_FSM_FFd1 & - !cnt/IS_FSM_FFd2 - # cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & - cnt/LTimer<3> & cnt/TimerTC & !cnt/IS_FSM_FFd1 & !cnt/Er<0> & - cnt/Er<1> - # cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & - cnt/LTimer<3> & cnt/TimerTC & cnt/IS_FSM_FFd2 & !cnt/Er<0> & - cnt/Er<1>; - cnt/LTimer<4>.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 6 | 9 | cnt/LTimer<5> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 11 | 3 | 16 | 6 | 16 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 3 | 11 | 6 | 17 | 6 | 1 -INPUTS | 11 | cnt/LTimer<5> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 11 | 6 | 9 | 7 | 15 | 0 | 10 | 3 | 17 | 3 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 0 | 8 | 0 | 4 | 0 | 3 -EQ | 9 | - cnt/LTimer<5>.T = cnt/LTimer<5> & cnt/IS_FSM_FFd1 & - !cnt/IS_FSM_FFd2 - # cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & - cnt/LTimer<3> & cnt/LTimer<4> & cnt/TimerTC & !cnt/IS_FSM_FFd1 & - !cnt/Er<0> & cnt/Er<1> - # cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & - cnt/LTimer<3> & cnt/LTimer<4> & cnt/TimerTC & cnt/IS_FSM_FFd2 & - !cnt/Er<0> & cnt/Er<1>; - cnt/LTimer<5>.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 6 | 6 | cnt/LTimer<6> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 10 | 3 | 16 | 6 | 16 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 3 | 11 | 6 | 17 | 6 | 1 -INPUTS | 12 | cnt/LTimer<6> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 12 | 6 | 6 | 7 | 15 | 0 | 10 | 3 | 17 | 3 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 0 | 8 | 0 | 4 | 0 | 3 -EQ | 9 | - cnt/LTimer<6>.T = cnt/LTimer<6> & cnt/IS_FSM_FFd1 & - !cnt/IS_FSM_FFd2 - # cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & - cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & cnt/TimerTC & - !cnt/IS_FSM_FFd1 & !cnt/Er<0> & cnt/Er<1> - # cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & - cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & cnt/TimerTC & - cnt/IS_FSM_FFd2 & !cnt/Er<0> & cnt/Er<1>; - cnt/LTimer<6>.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 6 | 3 | cnt/LTimer<7> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 9 | 3 | 16 | 6 | 16 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 3 | 11 | 6 | 17 | 6 | 1 -INPUTS | 13 | cnt/LTimer<7> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 13 | 6 | 3 | 7 | 15 | 0 | 10 | 3 | 17 | 3 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 0 | 8 | 0 | 4 | 0 | 3 -EQ | 9 | - cnt/LTimer<7>.T = cnt/LTimer<7> & cnt/IS_FSM_FFd1 & - !cnt/IS_FSM_FFd2 - # cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & - cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & cnt/LTimer<6> & - cnt/TimerTC & !cnt/IS_FSM_FFd1 & !cnt/Er<0> & cnt/Er<1> - # cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & - cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & cnt/LTimer<6> & - cnt/TimerTC & cnt/IS_FSM_FFd2 & !cnt/Er<0> & cnt/Er<1>; - cnt/LTimer<7>.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 6 | 2 | cnt/LTimer<8> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 8 | 3 | 0 | 6 | 16 | 6 | 2 | 6 | 0 | 6 | 15 | 3 | 11 | 6 | 17 | 6 | 1 -INPUTS | 14 | cnt/LTimer<8> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 14 | 6 | 2 | 7 | 15 | 0 | 10 | 3 | 17 | 3 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 0 | 8 | 0 | 4 | 0 | 3 -EQ | 11 | - cnt/LTimer<8>.T = cnt/LTimer<8> & cnt/IS_FSM_FFd1 & - !cnt/IS_FSM_FFd2 - # cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & - cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & cnt/LTimer<6> & - cnt/LTimer<7> & cnt/TimerTC & !cnt/IS_FSM_FFd1 & !cnt/Er<0> & - cnt/Er<1> - # cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & - cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & cnt/LTimer<6> & - cnt/LTimer<7> & cnt/TimerTC & cnt/IS_FSM_FFd2 & !cnt/Er<0> & - cnt/Er<1>; - cnt/LTimer<8>.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 6 | 0 | cnt/LTimer<9> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 6 | 3 | 0 | 6 | 16 | 6 | 0 | 6 | 15 | 3 | 11 | 6 | 17 -INPUTS | 34 | cnt/LTimer<9> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<14> | A_FSB<11> | A_FSB<10> | nWE_FSB | A_FSB<9> | fsb/ASrf | A_FSB<8> | RA_1_OBUF.EXP -INPUTMC | 17 | 6 | 0 | 7 | 15 | 0 | 10 | 3 | 17 | 3 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 0 | 8 | 0 | 4 | 0 | 3 | 3 | 9 | 6 | 1 -INPUTP | 17 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 21 | 18 | 17 | 19 | 15 | 13 | 47 | 12 | 11 -EXPORTS | 1 | 6 | 17 -IMPORTS | 1 | 6 | 1 -EQ | 27 | - cnt/LTimer<9>.T = cnt/LTimer<9> & cnt/IS_FSM_FFd1 & - !cnt/IS_FSM_FFd2 - # cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & - cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & cnt/LTimer<6> & - cnt/LTimer<7> & cnt/LTimer<8> & cnt/TimerTC & !cnt/IS_FSM_FFd1 & - !cnt/Er<0> & cnt/Er<1> -;Imported pterms FB7_2 - # cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & - cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & cnt/LTimer<6> & - cnt/LTimer<7> & cnt/LTimer<8> & cnt/TimerTC & cnt/IS_FSM_FFd2 & - !cnt/Er<0> & cnt/Er<1>; - cnt/LTimer<9>.CLK = FCLK; // GCK - cnt/LTimer<9>.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & - fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & - fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & - fsb/ASrf -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 0 | 15 | cnt/Timer<3> +MACROCELL | 2 | 1 | cnt/LTimer<0> ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 4 | 0 | 11 | 0 | 15 | 0 | 12 | 0 | 8 +OUTPUTMC | 13 | 6 | 13 | 2 | 9 | 2 | 7 | 2 | 6 | 2 | 5 | 2 | 4 | 2 | 3 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 12 | 6 | 2 | 2 | 0 +INPUTS | 21 | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<14> | A_FSB<12> | A_FSB<11> | A_FSB<10> | nWE_FSB | A_FSB<9> | fsb/ASrf | A_FSB<8> +INPUTMC | 4 | 6 | 0 | 0 | 8 | 2 | 2 | 2 | 17 +INPUTP | 17 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 21 | 18 | 19 | 17 | 15 | 13 | 47 | 12 | 11 +EXPORTS | 1 | 2 | 0 +EQ | 19 | + cnt/LTimer<0>.T = Vcc; + cnt/LTimer<0>.CLK = FCLK; // GCK + cnt/LTimer<0>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; + cnt/LTimer<0>.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & A_FSB<14> & A_FSB<12> & A_FSB<11> & A_FSB<10> & + !nWE_FSB & A_FSB<9> & fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & A_FSB<14> & A_FSB<12> & A_FSB<11> & A_FSB<10> & + !nWE_FSB & A_FSB<8> & fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & !A_FSB<14> & !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & + !nWE_FSB & A_FSB<9> & fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & !A_FSB<14> & !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & + !nWE_FSB & A_FSB<8> & fsb/ASrf +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 6 | 16 | cnt/Timer<3> +ATTRIBUTES | 4358976 | 0 +OUTPUTMC | 4 | 0 | 15 | 6 | 16 | 6 | 14 | 6 | 0 INPUTS | 7 | cnt/Timer<0> | cnt/Timer<1> | cnt/Timer<2> | cnt/Er<0> | cnt/Er<1> | cnt/Timer<3> | cnt/TimerTC -INPUTMC | 7 | 0 | 9 | 0 | 14 | 0 | 16 | 0 | 4 | 0 | 3 | 0 | 15 | 0 | 8 +INPUTMC | 7 | 0 | 13 | 6 | 15 | 6 | 17 | 0 | 8 | 2 | 2 | 6 | 16 | 6 | 0 EQ | 10 | cnt/Timer<3>.T = cnt/Timer<0> & cnt/Timer<1> & cnt/Timer<2> & !cnt/TimerTC @@ -544,11 +336,31 @@ EQ | 10 | cnt/Timer<3>.CE = !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 12 | RefReq +MACROCELL | 3 | 0 | cs/nOverlay +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 20 | 7 | 16 | 3 | 0 | 7 | 3 | 7 | 8 | 3 | 13 | 4 | 0 | 7 | 10 | 3 | 4 | 3 | 16 | 7 | 0 | 3 | 11 | 4 | 16 | 3 | 5 | 3 | 10 | 4 | 1 | 4 | 2 | 4 | 15 | 4 | 17 | 7 | 5 | 3 | 17 +INPUTS | 18 | nRES.PIN | cs/nOverlay | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<13> | iobs/Sent | nWE_FSB | iobs/IORW1 | iobs/TS_FSM_FFd1 | nADoutLE1 +INPUTMC | 6 | 3 | 0 | 2 | 17 | 7 | 17 | 3 | 16 | 7 | 9 | 5 | 13 +INPUTP | 12 | 145 | 54 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 18 | 47 +EXPORTS | 1 | 3 | 17 +EQ | 10 | + cs/nOverlay.T = !nRES.PIN & cs/nOverlay & nAS_FSB & !fsb/ASrf + # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & + !cs/nOverlay & !nAS_FSB + # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & + !cs/nOverlay & fsb/ASrf; + cs/nOverlay.CLK = FCLK; // GCK + cs/nOverlay.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & + !iobs/Sent & !nWE_FSB & iobs/IORW1 & iobs/TS_FSM_FFd1 & + fsb/ASrf & nADoutLE1 +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 6 | 14 | RefReq ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 7 | 0 | 5 | 4 | 3 | 4 | 8 | 0 | 0 | 4 | 11 | 4 | 15 | 4 | 1 +OUTPUTMC | 7 | 0 | 9 | 4 | 3 | 4 | 8 | 4 | 1 | 4 | 11 | 4 | 15 | 7 | 4 INPUTS | 6 | cnt/Timer<0> | cnt/Timer<1> | cnt/Timer<2> | cnt/Timer<3> | cnt/Er<0> | cnt/Er<1> -INPUTMC | 6 | 0 | 9 | 0 | 14 | 0 | 16 | 0 | 15 | 0 | 4 | 0 | 3 +INPUTMC | 6 | 0 | 13 | 6 | 15 | 6 | 17 | 6 | 16 | 0 | 8 | 2 | 2 EQ | 4 | !RefReq.D = !cnt/Timer<0> & cnt/Timer<1> & !cnt/Timer<2> & cnt/Timer<3>; @@ -556,30 +368,187 @@ EQ | 4 | RefReq.CE = !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 6 | 15 | cnt/LTimer<11> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 4 | 3 | 0 | 6 | 15 | 3 | 11 | 6 | 17 -INPUTS | 17 | cnt/LTimer<11> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<10> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/LTimer<9> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 17 | 6 | 15 | 7 | 15 | 0 | 10 | 3 | 17 | 3 | 15 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 0 | 8 | 0 | 4 | 0 | 3 -EQ | 11 | - cnt/LTimer<11>.T = cnt/LTimer<11> & cnt/IS_FSM_FFd1 & - !cnt/IS_FSM_FFd2 - # cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<10> & - cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & - cnt/LTimer<6> & cnt/LTimer<7> & cnt/LTimer<8> & cnt/LTimer<9> & - cnt/TimerTC & !cnt/IS_FSM_FFd1 & !cnt/Er<0> & cnt/Er<1> - # cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<10> & - cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & - cnt/LTimer<6> & cnt/LTimer<7> & cnt/LTimer<8> & cnt/LTimer<9> & - cnt/TimerTC & cnt/IS_FSM_FFd2 & !cnt/Er<0> & cnt/Er<1>; - cnt/LTimer<11>.CLK = FCLK; // GCK +MACROCELL | 6 | 13 | cnt/LTimer<10> +ATTRIBUTES | 4358976 | 0 +OUTPUTMC | 2 | 6 | 12 | 6 | 2 +INPUTS | 13 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/LTimer<9> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> +INPUTMC | 13 | 2 | 1 | 2 | 9 | 2 | 7 | 2 | 6 | 2 | 5 | 2 | 4 | 2 | 3 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 0 | 0 | 8 | 2 | 2 +EQ | 5 | + cnt/LTimer<10>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & + cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & cnt/LTimer<6> & + cnt/LTimer<7> & cnt/LTimer<8> & cnt/LTimer<9>; + cnt/LTimer<10>.CLK = FCLK; // GCK + cnt/LTimer<10>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 8 | cnt/TimerTC +MACROCELL | 2 | 9 | cnt/LTimer<1> +ATTRIBUTES | 4358976 | 0 +OUTPUTMC | 12 | 6 | 13 | 2 | 7 | 2 | 6 | 2 | 5 | 2 | 4 | 2 | 3 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 12 | 6 | 2 | 2 | 8 +INPUTS | 16 | cnt/LTimer<0> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<13> | iobs/Sent | nWE_FSB | IONPReady | nADoutLE1 | A_FSB<14> +INPUTMC | 7 | 2 | 1 | 6 | 0 | 0 | 8 | 2 | 2 | 7 | 17 | 4 | 15 | 5 | 13 +INPUTP | 9 | 29 | 28 | 26 | 24 | 23 | 22 | 18 | 47 | 19 +EXPORTS | 1 | 2 | 8 +EQ | 9 | + cnt/LTimer<1>.T = cnt/LTimer<0>; + cnt/LTimer<1>.CLK = FCLK; // GCK + cnt/LTimer<1>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; + cnt/LTimer<1>.EXP = A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & A_FSB<13> & !iobs/Sent & !nWE_FSB & + !IONPReady & !nADoutLE1 + # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & A_FSB<14> & !iobs/Sent & !nWE_FSB & + !IONPReady & !nADoutLE1 +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 2 | 7 | cnt/LTimer<2> +ATTRIBUTES | 4358976 | 0 +OUTPUTMC | 11 | 6 | 13 | 2 | 6 | 2 | 5 | 2 | 4 | 2 | 3 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 12 | 6 | 2 | 2 | 8 +INPUTS | 15 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> | A_FSB<22> | IONPReady | RAMReady | A_FSB<23> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> +INPUTMC | 7 | 2 | 1 | 2 | 9 | 6 | 0 | 0 | 8 | 2 | 2 | 4 | 15 | 4 | 12 +INPUTP | 8 | 30 | 36 | 29 | 28 | 26 | 24 | 23 | 22 +EXPORTS | 1 | 2 | 8 +EQ | 6 | + cnt/LTimer<2>.T = cnt/LTimer<0> & cnt/LTimer<1>; + cnt/LTimer<2>.CLK = FCLK; // GCK + cnt/LTimer<2>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; + cnt/LTimer<2>.EXP = !A_FSB<22> & !IONPReady & !RAMReady + # A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 2 | 6 | cnt/LTimer<3> +ATTRIBUTES | 4358976 | 0 +OUTPUTMC | 9 | 6 | 13 | 2 | 5 | 2 | 4 | 2 | 3 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 12 | 6 | 2 +INPUTS | 6 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> +INPUTMC | 6 | 2 | 1 | 2 | 9 | 2 | 7 | 6 | 0 | 0 | 8 | 2 | 2 +EQ | 3 | + cnt/LTimer<3>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2>; + cnt/LTimer<3>.CLK = FCLK; // GCK + cnt/LTimer<3>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 2 | 5 | cnt/LTimer<4> +ATTRIBUTES | 4358976 | 0 +OUTPUTMC | 8 | 6 | 13 | 2 | 4 | 2 | 3 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 12 | 6 | 2 +INPUTS | 7 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> +INPUTMC | 7 | 2 | 1 | 2 | 9 | 2 | 7 | 2 | 6 | 6 | 0 | 0 | 8 | 2 | 2 +EQ | 4 | + cnt/LTimer<4>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & + cnt/LTimer<3>; + cnt/LTimer<4>.CLK = FCLK; // GCK + cnt/LTimer<4>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 2 | 4 | cnt/LTimer<5> +ATTRIBUTES | 4358976 | 0 +OUTPUTMC | 7 | 6 | 13 | 2 | 3 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 12 | 6 | 2 +INPUTS | 8 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> +INPUTMC | 8 | 2 | 1 | 2 | 9 | 2 | 7 | 2 | 6 | 2 | 5 | 6 | 0 | 0 | 8 | 2 | 2 +EQ | 4 | + cnt/LTimer<5>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & + cnt/LTimer<3> & cnt/LTimer<4>; + cnt/LTimer<5>.CLK = FCLK; // GCK + cnt/LTimer<5>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 2 | 3 | cnt/LTimer<6> +ATTRIBUTES | 4358976 | 0 +OUTPUTMC | 6 | 6 | 13 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 12 | 6 | 2 +INPUTS | 9 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> +INPUTMC | 9 | 2 | 1 | 2 | 9 | 2 | 7 | 2 | 6 | 2 | 5 | 2 | 4 | 6 | 0 | 0 | 8 | 2 | 2 +EQ | 4 | + cnt/LTimer<6>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & + cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5>; + cnt/LTimer<6>.CLK = FCLK; // GCK + cnt/LTimer<6>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 6 | 9 | cnt/LTimer<7> +ATTRIBUTES | 4358976 | 0 +OUTPUTMC | 5 | 6 | 13 | 6 | 6 | 6 | 3 | 6 | 12 | 6 | 2 +INPUTS | 10 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> +INPUTMC | 10 | 2 | 1 | 2 | 9 | 2 | 7 | 2 | 6 | 2 | 5 | 2 | 4 | 2 | 3 | 6 | 0 | 0 | 8 | 2 | 2 +EQ | 4 | + cnt/LTimer<7>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & + cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & cnt/LTimer<6>; + cnt/LTimer<7>.CLK = FCLK; // GCK + cnt/LTimer<7>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 6 | 6 | cnt/LTimer<8> +ATTRIBUTES | 4358976 | 0 +OUTPUTMC | 4 | 6 | 13 | 6 | 3 | 6 | 12 | 6 | 2 +INPUTS | 11 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> +INPUTMC | 11 | 2 | 1 | 2 | 9 | 2 | 7 | 2 | 6 | 2 | 5 | 2 | 4 | 2 | 3 | 6 | 9 | 6 | 0 | 0 | 8 | 2 | 2 +EQ | 5 | + cnt/LTimer<8>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & + cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & cnt/LTimer<6> & + cnt/LTimer<7>; + cnt/LTimer<8>.CLK = FCLK; // GCK + cnt/LTimer<8>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 6 | 3 | cnt/LTimer<9> +ATTRIBUTES | 4358976 | 0 +OUTPUTMC | 3 | 6 | 13 | 6 | 12 | 6 | 2 +INPUTS | 12 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> +INPUTMC | 12 | 2 | 1 | 2 | 9 | 2 | 7 | 2 | 6 | 2 | 5 | 2 | 4 | 2 | 3 | 6 | 9 | 6 | 6 | 6 | 0 | 0 | 8 | 2 | 2 +EQ | 5 | + cnt/LTimer<9>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & + cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & cnt/LTimer<6> & + cnt/LTimer<7> & cnt/LTimer<8>; + cnt/LTimer<9>.CLK = FCLK; // GCK + cnt/LTimer<9>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 2 | 14 | QoSReady +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 5 | 2 | 14 | 3 | 10 | 2 | 8 | 2 | 13 | 2 | 15 +INPUTS | 22 | cnt/QS<0> | cnt/QS<1> | QoSReady | nAS_FSB | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<14> | A_FSB<12> | A_FSB<11> | A_FSB<10> | nWE_FSB | A_FSB<8> | A_FSB<9> | cnt/Wait<1>.EXP +INPUTMC | 4 | 2 | 15 | 2 | 0 | 2 | 14 | 2 | 13 +INPUTP | 18 | 54 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 21 | 18 | 19 | 17 | 15 | 13 | 47 | 11 | 12 +EXPORTS | 1 | 2 | 15 +IMPORTS | 1 | 2 | 13 +EQ | 19 | + QoSReady.D = !cnt/QS<0> & !cnt/QS<1> + # QoSReady & !nAS_FSB +;Imported pterms FB3_14 + # QoSReady & fsb/ASrf + # !cnt/Wait<0> & !cnt/Wait<1> & !cnt/Wait<2> & + !cnt/Wait<3> & cnt/Wait<4>; + QoSReady.CLK = FCLK; // GCK + QoSReady.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & A_FSB<14> & A_FSB<12> & A_FSB<11> & A_FSB<10> & + !nWE_FSB & !nAS_FSB & A_FSB<8> + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & !A_FSB<14> & !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & + !nWE_FSB & !nAS_FSB & A_FSB<9> + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & !A_FSB<14> & !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & + !nWE_FSB & !nAS_FSB & A_FSB<8> +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 6 | 12 | cnt/LTimer<11> +ATTRIBUTES | 4358976 | 0 +OUTPUTMC | 1 | 6 | 2 +INPUTS | 14 | cnt/LTimer<0> | cnt/LTimer<10> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/LTimer<9> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> +INPUTMC | 14 | 2 | 1 | 6 | 13 | 2 | 9 | 2 | 7 | 2 | 6 | 2 | 5 | 2 | 4 | 2 | 3 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 0 | 0 | 8 | 2 | 2 +EQ | 5 | + cnt/LTimer<11>.T = cnt/LTimer<0> & cnt/LTimer<10> & cnt/LTimer<1> & + cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & + cnt/LTimer<6> & cnt/LTimer<7> & cnt/LTimer<8> & cnt/LTimer<9>; + cnt/LTimer<11>.CLK = FCLK; // GCK + cnt/LTimer<11>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 6 | 0 | cnt/TimerTC ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 18 | 0 | 9 | 0 | 14 | 0 | 16 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 0 | 15 | 6 | 15 | 7 | 15 | 0 | 10 | 6 | 17 | 6 | 1 +OUTPUTMC | 21 | 2 | 15 | 2 | 0 | 0 | 13 | 6 | 15 | 6 | 17 | 2 | 1 | 6 | 16 | 6 | 13 | 2 | 9 | 2 | 7 | 2 | 6 | 2 | 5 | 2 | 4 | 2 | 3 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 12 | 6 | 2 | 0 | 7 | 0 | 14 INPUTS | 6 | cnt/Timer<0> | cnt/Timer<1> | cnt/Timer<2> | cnt/Timer<3> | cnt/Er<0> | cnt/Er<1> -INPUTMC | 6 | 0 | 9 | 0 | 14 | 0 | 16 | 0 | 15 | 0 | 4 | 0 | 3 +INPUTMC | 6 | 0 | 13 | 6 | 15 | 6 | 17 | 6 | 16 | 0 | 8 | 2 | 2 EQ | 4 | cnt/TimerTC.D = cnt/Timer<0> & !cnt/Timer<1> & !cnt/Timer<2> & cnt/Timer<3>; @@ -587,85 +556,63 @@ EQ | 4 | cnt/TimerTC.CE = !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 7 | cs/nOverlay +MACROCELL | 3 | 16 | iobs/IORW1 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 18 | 2 | 14 | 2 | 7 | 4 | 15 | 2 | 6 | 7 | 1 | 4 | 0 | 2 | 3 | 4 | 17 | 7 | 11 | 2 | 12 | 7 | 7 | 4 | 16 | 4 | 1 | 4 | 2 | 7 | 4 | 7 | 5 | 7 | 9 | 2 | 8 -INPUTS | 19 | nRES.PIN | cs/nOverlay | nAS_FSB | fsb/ASrf | A_FSB<22> | IONPReady | RAMReady | A_FSB<23> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<13> | nWE_FSB | nADoutLE1 | A_FSB<14> | ram/RASEL.EXP -INPUTMC | 6 | 2 | 7 | 3 | 9 | 4 | 15 | 4 | 12 | 5 | 13 | 2 | 6 -INPUTP | 13 | 145 | 54 | 30 | 36 | 29 | 28 | 26 | 24 | 23 | 22 | 18 | 47 | 19 -EXPORTS | 1 | 2 | 8 -IMPORTS | 1 | 2 | 6 -EQ | 16 | - cs/nOverlay.T = !nRES.PIN & cs/nOverlay & nAS_FSB & !fsb/ASrf -;Imported pterms FB3_7 - # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & - !cs/nOverlay & !nAS_FSB - # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & - !cs/nOverlay & fsb/ASrf; - cs/nOverlay.CLK = FCLK; // GCK - cs/nOverlay.EXP = !A_FSB<22> & !IONPReady & !RAMReady - # A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> - # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<13> & !nWE_FSB & !IONPReady & - !nADoutLE1 - # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<14> & !nWE_FSB & !IONPReady & - !nADoutLE1 -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 7 | 16 | iobs/IORW1 -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 5 | 7 | 16 | 2 | 13 | 7 | 8 | 7 | 15 | 7 | 17 -INPUTS | 18 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<14> | iobs/Sent | nWE_FSB | iobs/IORW1 | nAS_FSB | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<13> | iobs/TS_FSM_FFd1 | cnt/IS_FSM_FFd1.EXP -INPUTMC | 6 | 2 | 15 | 7 | 16 | 7 | 2 | 5 | 13 | 2 | 5 | 7 | 15 +OUTPUTMC | 6 | 3 | 16 | 7 | 1 | 3 | 12 | 3 | 0 | 3 | 17 | 3 | 15 +INPUTS | 18 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<14> | iobs/Sent | nWE_FSB | iobs/IORW1 | nAS_FSB | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<13> | cs/nOverlay | EXP15_.EXP +INPUTMC | 6 | 7 | 17 | 3 | 16 | 3 | 15 | 5 | 13 | 3 | 0 | 3 | 17 INPUTP | 12 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 19 | 47 | 54 | 18 -EXPORTS | 1 | 7 | 17 -IMPORTS | 1 | 7 | 15 -EQ | 36 | +EXPORTS | 1 | 3 | 15 +IMPORTS | 1 | 3 | 17 +EQ | 41 | iobs/IORW1.T = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & - !iobs/Sent & !nWE_FSB & iobs/IORW1 & !nAS_FSB & - iobs/TS_FSM_FFd1 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & !iobs/Sent & !nWE_FSB & iobs/IORW1 & !nAS_FSB & iobs/TS_FSM_FFd2 & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & !iobs/Sent & !nWE_FSB & iobs/IORW1 & !nAS_FSB & - iobs/TS_FSM_FFd1 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & - !iobs/Sent & !nWE_FSB & iobs/IORW1 & !nAS_FSB & iobs/TS_FSM_FFd2 & nADoutLE1 -;Imported pterms FB8_16 +;Imported pterms FB4_18 # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & - !iobs/Sent & !nWE_FSB & iobs/IORW1 & iobs/TS_FSM_FFd1 & - fsb/ASrf & nADoutLE1 + !iobs/Sent & !nWE_FSB & iobs/IORW1 & !nAS_FSB & + iobs/TS_FSM_FFd1 & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & !iobs/Sent & !nWE_FSB & iobs/IORW1 & iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + !iobs/Sent & !nWE_FSB & iobs/IORW1 & !nAS_FSB & + iobs/TS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & !iobs/Sent & !nWE_FSB & iobs/IORW1 & iobs/TS_FSM_FFd1 & fsb/ASrf & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & !iobs/Sent & !nWE_FSB & iobs/IORW1 & iobs/TS_FSM_FFd2 & + fsb/ASrf & nADoutLE1 +;Imported pterms FB4_1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & + !iobs/Sent & !nWE_FSB & iobs/IORW1 & iobs/TS_FSM_FFd1 & fsb/ASrf & nADoutLE1; iobs/IORW1.CLK = FCLK; // GCK - iobs/IORW1.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<13> & !A_FSB<14> & + iobs/IORW1.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<19> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<13> & !A_FSB<14> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & !iobs/TS_FSM_FFd2 & nADoutLE1 GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 5 | ram/RefDone +MACROCELL | 0 | 9 | ram/RefDone ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 13 | 0 | 5 | 4 | 0 | 4 | 9 | 0 | 17 | 4 | 12 | 4 | 17 | 4 | 3 | 0 | 0 | 4 | 1 | 4 | 8 | 4 | 11 | 4 | 15 | 4 | 16 +OUTPUTMC | 13 | 0 | 9 | 4 | 0 | 4 | 9 | 7 | 3 | 4 | 12 | 4 | 17 | 4 | 3 | 4 | 1 | 4 | 8 | 4 | 11 | 4 | 15 | 4 | 16 | 7 | 4 INPUTS | 7 | ram/RefDone | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd7 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | RefUrg | RefReq -INPUTMC | 7 | 0 | 5 | 0 | 2 | 0 | 17 | 0 | 1 | 0 | 0 | 0 | 11 | 0 | 12 +INPUTMC | 7 | 0 | 9 | 0 | 3 | 7 | 3 | 0 | 2 | 0 | 1 | 0 | 15 | 6 | 14 EQ | 4 | !ram/RefDone.D = !RefUrg & !RefReq # !ram/RefDone & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd7 & @@ -675,9 +622,9 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 4 | 15 | IONPReady ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 4 | 14 | 3 | 10 | 2 | 8 | 2 | 7 | 4 | 16 -INPUTS | 11 | A_FSB<23> | RefReq | ram/RefDone | nAS_FSB | ram/RS_FSM_FFd8 | ram/BACTr | fsb/ASrf | A_FSB<22> | cs/nOverlay | ram/RASEN | RA_6_OBUF.EXP -INPUTMC | 8 | 0 | 12 | 0 | 5 | 4 | 0 | 3 | 6 | 3 | 9 | 2 | 7 | 4 | 9 | 4 | 14 +OUTPUTMC | 6 | 4 | 14 | 3 | 10 | 2 | 8 | 2 | 7 | 2 | 9 | 4 | 16 +INPUTS | 11 | A_FSB<23> | RefReq | ram/RefDone | nAS_FSB | ram/RS_FSM_FFd8 | BACTr | fsb/ASrf | A_FSB<22> | cs/nOverlay | ram/RASEN | RA_6_OBUF.EXP +INPUTMC | 8 | 6 | 14 | 0 | 9 | 4 | 0 | 7 | 13 | 2 | 17 | 3 | 0 | 4 | 9 | 4 | 14 INPUTP | 3 | 36 | 54 | 30 EXPORTS | 1 | 4 | 16 IMPORTS | 1 | 4 | 14 @@ -694,22 +641,36 @@ EQ | 21 | !nWE_FSB & !IONPReady; IONPReady.CLK = FCLK; // GCK IONPReady.EXP = A_FSB<23> & RefReq & !ram/RefDone & !nAS_FSB & - ram/RS_FSM_FFd8 & !ram/BACTr + ram/RS_FSM_FFd8 & !BACTr # A_FSB<23> & RefReq & !ram/RefDone & - ram/RS_FSM_FFd8 & !ram/BACTr & fsb/ASrf + ram/RS_FSM_FFd8 & !BACTr & fsb/ASrf # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & ram/RS_FSM_FFd8 & ram/RASEN & fsb/ASrf # A_FSB<22> & RefReq & !ram/RefDone & !nAS_FSB & - ram/RS_FSM_FFd8 & !ram/BACTr + ram/RS_FSM_FFd8 & !BACTr # A_FSB<22> & RefReq & !ram/RefDone & - ram/RS_FSM_FFd8 & !ram/BACTr & fsb/ASrf + ram/RS_FSM_FFd8 & !BACTr & fsb/ASrf GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 7 | iobs/IOL1 +MACROCELL | 6 | 2 | cnt/LTimerTC ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 2 | 7 | 0 | 7 | 17 +OUTPUTMC | 2 | 0 | 7 | 0 | 14 +INPUTS | 15 | cnt/LTimer<0> | cnt/LTimer<10> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/LTimer<9> | cnt/LTimer<11> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> +INPUTMC | 15 | 2 | 1 | 6 | 13 | 2 | 9 | 2 | 7 | 2 | 6 | 2 | 5 | 2 | 4 | 2 | 3 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 12 | 6 | 0 | 0 | 8 | 2 | 2 +EQ | 6 | + cnt/LTimerTC.D = !cnt/LTimer<0> & cnt/LTimer<10> & cnt/LTimer<1> & + cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & + cnt/LTimer<6> & cnt/LTimer<7> & cnt/LTimer<8> & cnt/LTimer<9> & + cnt/LTimer<11>; + cnt/LTimerTC.CLK = FCLK; // GCK + cnt/LTimerTC.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 0 | 12 | iobs/IOL1 +ATTRIBUTES | 8553280 | 0 +OUTPUTMC | 2 | 3 | 8 | 3 | 7 INPUTS | 2 | nLDS_FSB | iobs/Load1 -INPUTMC | 1 | 2 | 11 +INPUTMC | 1 | 7 | 6 INPUTP | 1 | 49 EQ | 3 | iobs/IOL1.D = !nLDS_FSB; @@ -717,11 +678,11 @@ EQ | 3 | iobs/IOL1.CE = iobs/Load1; GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 6 | iobs/IOU1 +MACROCELL | 0 | 11 | iobs/IOU1 ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 2 | 7 | 13 | 7 | 12 +OUTPUTMC | 2 | 3 | 3 | 3 | 2 INPUTS | 2 | nUDS_FSB | iobs/Load1 -INPUTMC | 1 | 2 | 11 +INPUTMC | 1 | 7 | 6 INPUTP | 1 | 56 EQ | 3 | iobs/IOU1.D = !nUDS_FSB; @@ -731,48 +692,45 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 7 | 11 | nBERR_FSB_OBUF ATTRIBUTES | 8815362 | 0 -OUTPUTMC | 3 | 7 | 11 | 7 | 10 | 7 | 12 -INPUTS | 15 | nAS_FSB | fsb/ASrf | iobs/Sent | nBERR_FSB | A_FSB<23> | A_FSB<22> | A_FSB<19> | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<13> | A_FSB<14> | A_FSB<21> | A_FSB<20> | cs/nOverlay | EXP23_.EXP -INPUTMC | 7 | 3 | 9 | 2 | 15 | 7 | 11 | 7 | 2 | 5 | 13 | 2 | 7 | 7 | 10 -INPUTP | 8 | 54 | 36 | 30 | 26 | 18 | 19 | 29 | 28 -EXPORTS | 1 | 7 | 12 -IMPORTS | 1 | 7 | 10 -EQ | 11 | +OUTPUTMC | 1 | 7 | 11 +INPUTS | 5 | nAS_FSB | fsb/ASrf | iobs/Sent | nBERR_FSB | IOBERR +INPUTMC | 4 | 2 | 17 | 7 | 17 | 7 | 11 | 0 | 16 +INPUTP | 1 | 54 +EQ | 4 | nBERR_FSB.D = !iobs/Sent & nBERR_FSB - # nAS_FSB & !fsb/ASrf -;Imported pterms FB8_11 - # !IOBERR & nBERR_FSB; + # !IOBERR & nBERR_FSB + # nAS_FSB & !fsb/ASrf; nBERR_FSB.CLK = FCLK; // GCK - nBERR_FSB_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<19> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<13> & !A_FSB<14> & +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 3 | 10 | nVPA_FSB_OBUF +ATTRIBUTES | 8684418 | 0 +OUTPUTMC | 1 | 3 | 9 +INPUTS | 17 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | QoSReady | IONPReady | nAS_FSB | fsb/ASrf | A_FSB<13> | A_FSB<14> | iobs/TS_FSM_FFd2 | nADoutLE1 | cs/nOverlay +INPUTMC | 6 | 2 | 14 | 4 | 15 | 2 | 17 | 3 | 15 | 5 | 13 | 3 | 0 +INPUTP | 11 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 54 | 18 | 19 +EXPORTS | 1 | 3 | 9 +EQ | 12 | + !nVPA_FSB.D = A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & QoSReady & + IONPReady & !nAS_FSB + # A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & QoSReady & + IONPReady & fsb/ASrf; + nVPA_FSB.CLK = FCLK; // GCK + nVPA_FSB.AP = nAS_FSB; + nVPA_FSB_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<13> & !A_FSB<14> & !iobs/TS_FSM_FFd2 & nADoutLE1 # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & !iobs/TS_FSM_FFd2 & nADoutLE1 GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 10 | nVPA_FSB_OBUF -ATTRIBUTES | 8684418 | 0 -INPUTS | 11 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | IONPReady | nAS_FSB | fsb/ASrf -INPUTMC | 2 | 4 | 15 | 3 | 9 -INPUTP | 9 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 54 -EQ | 8 | - !nVPA_FSB.D = A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & IONPReady & - !nAS_FSB - # A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & IONPReady & - fsb/ASrf; - nVPA_FSB.CLK = FCLK; // GCK - nVPA_FSB.AP = nAS_FSB; -GLOBALS | 1 | 2 | FCLK - MACROCELL | 7 | 4 | nRAS_OBUF ATTRIBUTES | 264962 | 0 OUTPUTMC | 1 | 7 | 3 -INPUTS | 13 | A_FSB<23> | A_FSB<22> | A_FSB<19> | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<18> | A_FSB<17> | A_FSB<13> | A_FSB<14> | A_FSB<21> | A_FSB<20> | cs/nOverlay | nRAMLWE_OBUF.EXP -INPUTMC | 4 | 7 | 2 | 5 | 13 | 2 | 7 | 7 | 5 -INPUTP | 9 | 36 | 30 | 26 | 24 | 23 | 18 | 19 | 29 | 28 +INPUTS | 10 | RefUrg | ram/RefDone | nAS_FSB | ram/RS_FSM_FFd8 | fsb/ASrf | A_FSB<23> | RefReq | BACTr | A_FSB<22> | nRAMLWE_OBUF.EXP +INPUTMC | 7 | 0 | 15 | 0 | 9 | 4 | 0 | 2 | 17 | 6 | 14 | 7 | 13 | 7 | 5 +INPUTP | 3 | 54 | 36 | 30 EXPORTS | 1 | 7 | 3 IMPORTS | 1 | 7 | 5 EQ | 15 | @@ -781,42 +739,34 @@ EQ | 15 | # ram/RASrr # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & ram/RASEN; - nRAS_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<19> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<18> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<17> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<13> & !A_FSB<14> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & - !iobs/TS_FSM_FFd2 & nADoutLE1 + nRAS_OBUF.EXP = RefUrg & !ram/RefDone & nAS_FSB & + ram/RS_FSM_FFd8 & !fsb/ASrf + # A_FSB<23> & RefReq & !ram/RefDone & !nAS_FSB & + ram/RS_FSM_FFd8 & !BACTr + # A_FSB<23> & RefReq & !ram/RefDone & + ram/RS_FSM_FFd8 & !BACTr & fsb/ASrf + # A_FSB<22> & RefReq & !ram/RefDone & !nAS_FSB & + ram/RS_FSM_FFd8 & !BACTr + # A_FSB<22> & RefReq & !ram/RefDone & + ram/RS_FSM_FFd8 & !BACTr & fsb/ASrf MACROCELL | 7 | 14 | nBR_IOB_OBUF ATTRIBUTES | 4621058 | 0 -OUTPUTMC | 3 | 7 | 14 | 3 | 2 | 7 | 13 -INPUTS | 11 | nBR_IOB | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/nIPL2r | A_FSB<23> | A_FSB<22> | A_FSB<18> | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<17> | A_FSB<16> -INPUTMC | 6 | 7 | 14 | 7 | 15 | 0 | 10 | 1 | 15 | 7 | 2 | 5 | 13 -INPUTP | 5 | 36 | 30 | 24 | 23 | 22 -EXPORTS | 1 | 7 | 13 -EQ | 10 | +OUTPUTMC | 2 | 7 | 14 | 3 | 1 +INPUTS | 4 | nBR_IOB | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/nIPL2r +INPUTMC | 4 | 7 | 14 | 0 | 7 | 0 | 14 | 0 | 6 +EQ | 4 | nBR_IOB.T = nBR_IOB & !cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 # !nBR_IOB & !cnt/IS_FSM_FFd1 & cnt/IS_FSM_FFd2 & !cnt/nIPL2r; nBR_IOB.CLK = FCLK; // GCK - nBR_IOB_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<18> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<17> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<16> & - !iobs/TS_FSM_FFd2 & nADoutLE1 GLOBALS | 1 | 2 | FCLK MACROCELL | 4 | 10 | RA_11_OBUF ATTRIBUTES | 264962 | 0 OUTPUTMC | 1 | 4 | 11 INPUTS | 5 | A_FSB<19> | ram/RASEL | A_FSB<20> | A_FSB<12> | A_FSB<4> -INPUTMC | 1 | 2 | 6 +INPUTMC | 1 | 7 | 8 INPUTP | 4 | 26 | 28 | 17 | 157 EXPORTS | 1 | 4 | 11 EQ | 4 | @@ -827,14 +777,14 @@ EQ | 4 | MACROCELL | 2 | 8 | nDTACK_FSB_OBUF ATTRIBUTES | 8815362 | 0 -OUTPUTMC | 1 | 1 | 4 -INPUTS | 9 | A_FSB<23> | IONPReady | QoSReady | nAS_FSB | fsb/ASrf | A_FSB<22> | A_FSB<20> | A_FSB<21> | cs/nOverlay.EXP -INPUTMC | 4 | 4 | 15 | 2 | 1 | 3 | 9 | 2 | 7 +OUTPUTMC | 1 | 1 | 7 +INPUTS | 10 | QoSReady | A_FSB<23> | IONPReady | nAS_FSB | fsb/ASrf | A_FSB<22> | A_FSB<20> | A_FSB<21> | cnt/LTimer<2>.EXP | cnt/LTimer<1>.EXP +INPUTMC | 5 | 2 | 14 | 4 | 15 | 2 | 17 | 2 | 7 | 2 | 9 INPUTP | 5 | 36 | 54 | 30 | 28 | 29 -IMPORTS | 1 | 2 | 7 -EQ | 16 | - nDTACK_FSB.D = A_FSB<23> & !IONPReady - # !IONPReady & !QoSReady +IMPORTS | 2 | 2 | 7 | 2 | 9 +EQ | 17 | + nDTACK_FSB.D = !QoSReady + # A_FSB<23> & !IONPReady # nAS_FSB & !fsb/ASrf # A_FSB<22> & A_FSB<21> & !IONPReady # A_FSB<22> & A_FSB<20> & !IONPReady @@ -842,90 +792,83 @@ EQ | 16 | # !A_FSB<22> & !IONPReady & !RAMReady # A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> +;Imported pterms FB3_10 # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<13> & !nWE_FSB & !IONPReady & - !nADoutLE1 + A_FSB<17> & A_FSB<16> & A_FSB<13> & !iobs/Sent & !nWE_FSB & + !IONPReady & !nADoutLE1 # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<14> & !nWE_FSB & !IONPReady & - !nADoutLE1; + A_FSB<17> & A_FSB<16> & A_FSB<14> & !iobs/Sent & !nWE_FSB & + !IONPReady & !nADoutLE1; nDTACK_FSB.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 6 | ram/RASEL +MACROCELL | 7 | 8 | ram/RASEL ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 13 | 4 | 10 | 6 | 5 | 6 | 8 | 6 | 1 | 4 | 13 | 4 | 7 | 7 | 6 | 7 | 5 | 6 | 4 | 6 | 7 | 6 | 10 | 7 | 2 | 2 | 7 -INPUTS | 10 | ram/RS_FSM_FFd6 | A_FSB<23> | A_FSB<22> | cs/nOverlay | nAS_FSB | ram/RS_FSM_FFd8 | ram/RASEN | fsb/ASrf | A_FSB<21> | A_FSB<20> -INPUTMC | 5 | 2 | 3 | 2 | 7 | 4 | 0 | 4 | 9 | 3 | 9 -INPUTP | 5 | 36 | 30 | 54 | 29 | 28 -EXPORTS | 1 | 2 | 7 -EQ | 10 | +OUTPUTMC | 12 | 4 | 10 | 6 | 5 | 6 | 8 | 6 | 1 | 4 | 13 | 4 | 7 | 7 | 7 | 7 | 5 | 6 | 4 | 6 | 7 | 6 | 10 | 7 | 1 +INPUTS | 8 | ram/RS_FSM_FFd6 | A_FSB<23> | A_FSB<22> | cs/nOverlay | nAS_FSB | ram/RS_FSM_FFd8 | ram/RASEN | fsb/ASrf +INPUTMC | 5 | 7 | 10 | 3 | 0 | 4 | 0 | 4 | 9 | 2 | 17 +INPUTP | 3 | 36 | 30 | 54 +EQ | 6 | ram/RASEL.D = ram/RS_FSM_FFd6 # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & ram/RS_FSM_FFd8 & ram/RASEN # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & ram/RS_FSM_FFd8 & ram/RASEN & fsb/ASrf; ram/RASEL.CLK = FCLK; // GCK - ram/RASEL.EXP = !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & - !cs/nOverlay & !nAS_FSB - # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & - !cs/nOverlay & fsb/ASrf GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 5 | iobs/TS_FSM_FFd1 +MACROCELL | 7 | 9 | iobs/TS_FSM_FFd1 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 15 | 2 | 15 | 7 | 16 | 2 | 5 | 7 | 2 | 2 | 11 | 7 | 0 | 7 | 13 | 2 | 14 | 7 | 8 | 2 | 12 | 2 | 10 | 2 | 13 | 7 | 7 | 7 | 9 | 7 | 15 +OUTPUTMC | 16 | 7 | 17 | 3 | 13 | 7 | 9 | 3 | 15 | 7 | 6 | 3 | 8 | 3 | 3 | 7 | 2 | 3 | 12 | 7 | 15 | 3 | 0 | 3 | 11 | 3 | 17 | 7 | 0 | 7 | 7 | 7 | 16 INPUTS | 3 | iobs/TS_FSM_FFd2 | iobs/TS_FSM_FFd1 | iobs/IOACTr -INPUTMC | 3 | 7 | 2 | 2 | 5 | 1 | 6 +INPUTMC | 3 | 3 | 15 | 7 | 9 | 1 | 9 EQ | 3 | iobs/TS_FSM_FFd1.D = iobs/TS_FSM_FFd2 # iobs/TS_FSM_FFd1 & iobs/IOACTr; iobs/TS_FSM_FFd1.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 7 | 2 | iobs/TS_FSM_FFd2 +MACROCELL | 3 | 15 | iobs/TS_FSM_FFd2 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 23 | 2 | 15 | 7 | 16 | 2 | 5 | 7 | 2 | 2 | 11 | 7 | 0 | 7 | 13 | 2 | 14 | 7 | 8 | 3 | 12 | 2 | 12 | 2 | 10 | 2 | 13 | 7 | 1 | 7 | 3 | 7 | 4 | 7 | 7 | 7 | 9 | 7 | 11 | 7 | 12 | 7 | 14 | 7 | 15 | 7 | 17 -INPUTS | 9 | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | iobs/IOACTr | iobs/Sent | nADoutLE1 | A_FSB<20> | ram/RASEL | A_FSB<19> | EXP20_.EXP -INPUTMC | 7 | 2 | 5 | 7 | 2 | 1 | 6 | 2 | 15 | 5 | 13 | 2 | 6 | 7 | 3 -INPUTP | 2 | 28 | 26 -EXPORTS | 1 | 7 | 1 -IMPORTS | 1 | 7 | 3 -EQ | 28 | +OUTPUTMC | 25 | 7 | 17 | 3 | 16 | 7 | 9 | 3 | 15 | 7 | 6 | 3 | 8 | 3 | 3 | 7 | 2 | 3 | 12 | 7 | 12 | 7 | 15 | 3 | 1 | 3 | 2 | 3 | 4 | 3 | 7 | 3 | 9 | 3 | 10 | 3 | 11 | 3 | 13 | 3 | 14 | 3 | 17 | 7 | 0 | 7 | 1 | 7 | 3 | 7 | 16 +INPUTS | 12 | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | iobs/IOACTr | iobs/Sent | nADoutLE1 | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | nWE_FSB | EXP14_.EXP | iobs/IORW1.EXP +INPUTMC | 8 | 7 | 9 | 3 | 15 | 1 | 9 | 7 | 17 | 5 | 13 | 2 | 17 | 3 | 14 | 3 | 16 +INPUTP | 4 | 54 | 36 | 30 | 47 +IMPORTS | 2 | 3 | 14 | 3 | 16 +EQ | 26 | !iobs/TS_FSM_FFd2.D = iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 # iobs/TS_FSM_FFd1 & iobs/IOACTr # iobs/Sent & !iobs/TS_FSM_FFd2 & nADoutLE1 -;Imported pterms FB8_4 # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & nWE_FSB & + !iobs/TS_FSM_FFd2 & nADoutLE1 +;Imported pterms FB4_15 # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & !iobs/TS_FSM_FFd2 & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & !A_FSB<20> & !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<16> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & nWE_FSB & - !iobs/TS_FSM_FFd2 & nADoutLE1 -;Imported pterms FB8_5 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<19> & - !iobs/TS_FSM_FFd2 & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & !A_FSB<18> & !iobs/TS_FSM_FFd2 & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & !A_FSB<17> & !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<16> & + !iobs/TS_FSM_FFd2 & nADoutLE1 +;Imported pterms FB4_17 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<19> & + !iobs/TS_FSM_FFd2 & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & !A_FSB<13> & !A_FSB<14> & !iobs/TS_FSM_FFd2 & nADoutLE1 # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & !iobs/TS_FSM_FFd2 & nADoutLE1; iobs/TS_FSM_FFd2.CLK = FCLK; // GCK - iobs/TS_FSM_FFd2.EXP = A_FSB<20> & ram/RASEL - # A_FSB<19> & !ram/RASEL GLOBALS | 1 | 2 | FCLK MACROCELL | 5 | 2 | iobm/IOS_FSM_FFd7 ATTRIBUTES | 8553220 | 0 OUTPUTMC | 9 | 5 | 11 | 5 | 12 | 5 | 9 | 5 | 2 | 5 | 0 | 5 | 17 | 5 | 15 | 5 | 7 | 5 | 16 INPUTS | 6 | iobm/IOS_FSM_FFd7 | iobm/IOS_FSM_FFd1 | iobm/C8Mr | iobm/IORDREQr | nAoutOE | iobm/IOWRREQr -INPUTMC | 6 | 5 | 2 | 1 | 11 | 1 | 14 | 1 | 12 | 3 | 1 | 1 | 8 +INPUTMC | 6 | 5 | 2 | 1 | 14 | 1 | 16 | 1 | 15 | 3 | 1 | 1 | 11 EQ | 6 | !iobm/IOS_FSM_FFd7.D = !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd1 # !iobm/C8Mr & !iobm/IOS_FSM_FFd1 & iobm/IORDREQr & @@ -937,9 +880,9 @@ GLOBALS | 1 | 2 | C16M MACROCELL | 5 | 3 | iobm/IOS_FSM_FFd3 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 10 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 3 | 5 | 17 | 1 | 16 | 5 | 15 | 5 | 7 | 5 | 12 | 5 | 16 +OUTPUTMC | 10 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 3 | 5 | 17 | 1 | 17 | 5 | 15 | 5 | 7 | 5 | 12 | 5 | 16 INPUTS | 5 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | iobm/C8Mr | IOBERR | IODONE -INPUTMC | 5 | 1 | 10 | 5 | 3 | 1 | 14 | 1 | 17 | 5 | 9 +INPUTMC | 5 | 1 | 13 | 5 | 3 | 1 | 16 | 0 | 16 | 5 | 9 EQ | 4 | iobm/IOS_FSM_FFd3.D = iobm/IOS_FSM_FFd4 # iobm/IOS_FSM_FFd3 & iobm/C8Mr @@ -947,9 +890,9 @@ EQ | 4 | iobm/IOS_FSM_FFd3.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 1 | 14 | iobm/C8Mr +MACROCELL | 1 | 16 | iobm/C8Mr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 9 | 5 | 11 | 5 | 12 | 5 | 9 | 5 | 2 | 5 | 3 | 5 | 0 | 5 | 17 | 1 | 16 | 5 | 7 +OUTPUTMC | 9 | 5 | 11 | 5 | 12 | 5 | 9 | 5 | 2 | 5 | 3 | 5 | 0 | 5 | 17 | 1 | 17 | 5 | 7 INPUTS | 1 | C8M INPUTP | 1 | 35 EQ | 2 | @@ -959,9 +902,9 @@ GLOBALS | 1 | 2 | C16M MACROCELL | 5 | 4 | iobm/ES<0> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 6 | 5 | 1 | 5 | 9 | 5 | 4 | 0 | 13 | 5 | 6 | 5 | 5 +OUTPUTMC | 6 | 5 | 1 | 5 | 9 | 5 | 4 | 0 | 17 | 5 | 6 | 5 | 5 INPUTS | 6 | iobm/ES<0> | E | iobm/Er | iobm/ES<2> | iobm/ES<1> | iobm/ES<3> -INPUTMC | 5 | 5 | 4 | 1 | 13 | 0 | 13 | 5 | 6 | 5 | 5 +INPUTMC | 5 | 5 | 4 | 0 | 5 | 0 | 17 | 5 | 6 | 5 | 5 INPUTP | 1 | 37 EQ | 6 | !iobm/ES<0>.T = iobm/ES<0> & !E & iobm/Er @@ -972,21 +915,21 @@ EQ | 6 | !iobm/ES<0>.CLK = C8M; // GCK GLOBALS | 1 | 2 | C8M -MACROCELL | 1 | 10 | iobm/IOS_FSM_FFd4 +MACROCELL | 1 | 13 | iobm/IOS_FSM_FFd4 ATTRIBUTES | 8553216 | 0 OUTPUTMC | 9 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 3 | 5 | 17 | 5 | 15 | 5 | 7 | 5 | 12 | 5 | 16 INPUTS | 1 | iobm/IOS_FSM_FFd5 -INPUTMC | 1 | 1 | 9 +INPUTMC | 1 | 1 | 12 EQ | 2 | iobm/IOS_FSM_FFd4.D = iobm/IOS_FSM_FFd5; iobm/IOS_FSM_FFd4.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 0 | 13 | iobm/ES<2> +MACROCELL | 0 | 17 | iobm/ES<2> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 6 | 5 | 1 | 5 | 9 | 5 | 4 | 0 | 13 | 5 | 6 | 5 | 5 +OUTPUTMC | 6 | 5 | 1 | 5 | 9 | 5 | 4 | 0 | 17 | 5 | 6 | 5 | 5 INPUTS | 5 | iobm/ES<0> | iobm/ES<1> | iobm/Er | E | iobm/ES<2> -INPUTMC | 4 | 5 | 4 | 5 | 6 | 1 | 13 | 0 | 13 +INPUTMC | 4 | 5 | 4 | 5 | 6 | 0 | 5 | 0 | 17 INPUTP | 1 | 37 EQ | 4 | iobm/ES<2>.T = iobm/ES<0> & iobm/ES<1> & E @@ -995,9 +938,9 @@ EQ | 4 | !iobm/ES<2>.CLK = C8M; // GCK GLOBALS | 1 | 2 | C8M -MACROCELL | 1 | 9 | iobm/IOS_FSM_FFd5 +MACROCELL | 1 | 12 | iobm/IOS_FSM_FFd5 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 8 | 5 | 11 | 5 | 8 | 5 | 10 | 1 | 10 | 5 | 17 | 5 | 15 | 5 | 7 | 5 | 12 +OUTPUTMC | 8 | 5 | 11 | 5 | 8 | 5 | 10 | 1 | 13 | 5 | 17 | 5 | 15 | 5 | 7 | 5 | 12 INPUTS | 1 | iobm/IOS_FSM_FFd6 INPUTMC | 1 | 5 | 0 EQ | 2 | @@ -1007,9 +950,9 @@ GLOBALS | 1 | 2 | C16M MACROCELL | 5 | 0 | iobm/IOS_FSM_FFd6 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 8 | 5 | 11 | 5 | 8 | 5 | 10 | 1 | 9 | 5 | 17 | 5 | 15 | 5 | 7 | 5 | 12 +OUTPUTMC | 8 | 5 | 11 | 5 | 8 | 5 | 10 | 1 | 12 | 5 | 17 | 5 | 15 | 5 | 7 | 5 | 12 INPUTS | 5 | iobm/IOS_FSM_FFd7 | iobm/C8Mr | iobm/IORDREQr | nAoutOE | iobm/IOWRREQr -INPUTMC | 5 | 5 | 2 | 1 | 14 | 1 | 12 | 3 | 1 | 1 | 8 +INPUTMC | 5 | 5 | 2 | 1 | 16 | 1 | 15 | 3 | 1 | 1 | 11 EQ | 5 | iobm/IOS_FSM_FFd6.D = iobm/IOS_FSM_FFd7 & !iobm/C8Mr & iobm/IORDREQr & !nAoutOE @@ -1020,9 +963,9 @@ GLOBALS | 1 | 2 | C16M MACROCELL | 4 | 0 | ram/RS_FSM_FFd8 ATTRIBUTES | 8553220 | 0 -OUTPUTMC | 12 | 2 | 6 | 4 | 0 | 4 | 9 | 2 | 3 | 0 | 17 | 4 | 12 | 4 | 16 | 4 | 3 | 0 | 0 | 4 | 5 | 4 | 15 | 4 | 17 +OUTPUTMC | 12 | 7 | 8 | 4 | 0 | 4 | 9 | 7 | 10 | 7 | 3 | 4 | 12 | 4 | 16 | 4 | 3 | 4 | 5 | 4 | 15 | 4 | 17 | 7 | 4 INPUTS | 11 | RefUrg | cs/nOverlay | ram/RefDone | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd8 | ram/RS_FSM_FFd4 | A_FSB<22> | A_FSB<23> | ram/RASEN | nROMCS_OBUF.EXP | ram/RASrr.EXP -INPUTMC | 9 | 0 | 11 | 2 | 7 | 0 | 5 | 0 | 2 | 4 | 0 | 2 | 17 | 4 | 9 | 4 | 1 | 4 | 17 +INPUTMC | 9 | 0 | 15 | 3 | 0 | 0 | 9 | 0 | 3 | 4 | 0 | 0 | 0 | 4 | 9 | 4 | 1 | 4 | 17 INPUTP | 2 | 30 | 36 IMPORTS | 2 | 4 | 1 | 4 | 17 EQ | 29 | @@ -1038,15 +981,15 @@ EQ | 29 | !ram/RS_FSM_FFd1 ;Imported pterms FB5_2 # A_FSB<23> & RefReq & !ram/RefDone & !nAS_FSB & - !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/BACTr + !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !BACTr # A_FSB<23> & RefReq & !ram/RefDone & - !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !BACTr & fsb/ASrf # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !ram/RS_FSM_FFd4 & ram/RASEN & !ram/RS_FSM_FFd1 & fsb/ASrf # A_FSB<22> & RefReq & !ram/RefDone & !nAS_FSB & - !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/BACTr + !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !BACTr # A_FSB<22> & RefReq & !ram/RefDone & - !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !BACTr & fsb/ASrf ;Imported pterms FB5_18 # RefUrg & !ram/RefDone & !ram/RS_FSM_FFd8 & !ram/RS_FSM_FFd1 @@ -1057,53 +1000,11 @@ EQ | 29 | ram/RS_FSM_FFd8.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 7 | 15 | cnt/IS_FSM_FFd1 -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 23 | 3 | 17 | 3 | 14 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 7 | 14 | 7 | 15 | 0 | 10 | 3 | 8 | 3 | 0 | 6 | 17 | 3 | 1 | 3 | 2 | 3 | 13 | 3 | 15 | 7 | 16 -INPUTS | 24 | cnt/TimerTC | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/Er<0> | cnt/nIPL2r | cnt/Er<1> | cnt/LTimerTC | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<13> | iobs/Sent | nWE_FSB | iobs/IORW1 | iobs/TS_FSM_FFd1 | fsb/ASrf | nADoutLE1 | iobs/TS_FSM_FFd2 | A_FSB<14> -INPUTMC | 13 | 0 | 8 | 7 | 15 | 0 | 10 | 0 | 4 | 1 | 15 | 0 | 3 | 3 | 11 | 2 | 15 | 7 | 16 | 2 | 5 | 3 | 9 | 5 | 13 | 7 | 2 -INPUTP | 11 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 18 | 47 | 19 -EXPORTS | 1 | 7 | 16 -EQ | 19 | - cnt/IS_FSM_FFd1.T = cnt/TimerTC & !cnt/IS_FSM_FFd1 & cnt/IS_FSM_FFd2 & - !cnt/Er<0> & cnt/nIPL2r & cnt/Er<1> & cnt/LTimerTC; - cnt/IS_FSM_FFd1.CLK = FCLK; // GCK - cnt/IS_FSM_FFd1.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & - !iobs/Sent & !nWE_FSB & iobs/IORW1 & iobs/TS_FSM_FFd1 & - fsb/ASrf & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & - !iobs/Sent & !nWE_FSB & iobs/IORW1 & iobs/TS_FSM_FFd2 & - fsb/ASrf & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & - !iobs/Sent & !nWE_FSB & iobs/IORW1 & iobs/TS_FSM_FFd1 & - fsb/ASrf & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & - !iobs/Sent & !nWE_FSB & iobs/IORW1 & iobs/TS_FSM_FFd2 & - fsb/ASrf & nADoutLE1 -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 0 | 10 | cnt/IS_FSM_FFd2 -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 23 | 3 | 17 | 3 | 14 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 7 | 14 | 7 | 15 | 0 | 10 | 3 | 8 | 3 | 0 | 6 | 17 | 3 | 1 | 3 | 2 | 3 | 13 | 3 | 15 | 6 | 1 -INPUTS | 6 | cnt/TimerTC | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/Er<0> | cnt/Er<1> | cnt/LTimerTC -INPUTMC | 6 | 0 | 8 | 7 | 15 | 0 | 10 | 0 | 4 | 0 | 3 | 3 | 11 -EQ | 5 | - cnt/IS_FSM_FFd2.T = cnt/TimerTC & cnt/IS_FSM_FFd1 & cnt/IS_FSM_FFd2 & - !cnt/Er<0> & cnt/Er<1> & cnt/LTimerTC - # cnt/TimerTC & !cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & - !cnt/Er<0> & cnt/Er<1> & cnt/LTimerTC; - cnt/IS_FSM_FFd2.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - MACROCELL | 5 | 6 | iobm/ES<1> ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 6 | 5 | 1 | 5 | 9 | 5 | 4 | 0 | 13 | 5 | 6 | 5 | 5 +OUTPUTMC | 6 | 5 | 1 | 5 | 9 | 5 | 4 | 0 | 17 | 5 | 6 | 5 | 5 INPUTS | 6 | iobm/ES<0> | iobm/ES<1> | E | iobm/Er | iobm/ES<2> | iobm/ES<3> -INPUTMC | 5 | 5 | 4 | 5 | 6 | 1 | 13 | 0 | 13 | 5 | 5 +INPUTMC | 5 | 5 | 4 | 5 | 6 | 0 | 5 | 0 | 17 | 5 | 5 INPUTP | 1 | 37 EQ | 5 | !iobm/ES<1>.D = iobm/ES<0> & iobm/ES<1> @@ -1117,7 +1018,7 @@ MACROCELL | 5 | 5 | iobm/ES<3> ATTRIBUTES | 4358912 | 0 OUTPUTMC | 5 | 5 | 1 | 5 | 9 | 5 | 4 | 5 | 6 | 5 | 5 INPUTS | 6 | iobm/ES<3> | E | iobm/Er | iobm/ES<0> | iobm/ES<2> | iobm/ES<1> -INPUTMC | 5 | 5 | 5 | 1 | 13 | 5 | 4 | 0 | 13 | 5 | 6 +INPUTMC | 5 | 5 | 5 | 0 | 5 | 5 | 4 | 0 | 17 | 5 | 6 INPUTP | 1 | 37 EQ | 6 | iobm/ES<3>.T = iobm/ES<3> & !E & iobm/Er @@ -1128,31 +1029,45 @@ EQ | 6 | !iobm/ES<3>.CLK = C8M; // GCK GLOBALS | 1 | 2 | C8M -MACROCELL | 2 | 17 | ram/RS_FSM_FFd4 +MACROCELL | 0 | 7 | cnt/IS_FSM_FFd1 +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 5 | 7 | 14 | 0 | 7 | 0 | 14 | 7 | 16 | 3 | 1 +INPUTS | 7 | cnt/TimerTC | cnt/LTimerTC | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/Er<0> | cnt/nIPL2r | cnt/Er<1> +INPUTMC | 7 | 6 | 0 | 6 | 2 | 0 | 7 | 0 | 14 | 0 | 8 | 0 | 6 | 2 | 2 +EQ | 3 | + cnt/IS_FSM_FFd1.T = cnt/TimerTC & cnt/LTimerTC & !cnt/IS_FSM_FFd1 & + cnt/IS_FSM_FFd2 & !cnt/Er<0> & cnt/nIPL2r & cnt/Er<1>; + cnt/IS_FSM_FFd1.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 0 | 14 | cnt/IS_FSM_FFd2 +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 5 | 7 | 14 | 0 | 7 | 0 | 14 | 7 | 16 | 3 | 1 +INPUTS | 6 | cnt/TimerTC | cnt/LTimerTC | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/Er<0> | cnt/Er<1> +INPUTMC | 6 | 6 | 0 | 6 | 2 | 0 | 7 | 0 | 14 | 0 | 8 | 2 | 2 +EQ | 5 | + cnt/IS_FSM_FFd2.T = cnt/TimerTC & cnt/LTimerTC & cnt/IS_FSM_FFd1 & + cnt/IS_FSM_FFd2 & !cnt/Er<0> & cnt/Er<1> + # cnt/TimerTC & cnt/LTimerTC & !cnt/IS_FSM_FFd1 & + !cnt/IS_FSM_FFd2 & !cnt/Er<0> & cnt/Er<1>; + cnt/IS_FSM_FFd2.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 0 | 0 | ram/RS_FSM_FFd4 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 10 | 4 | 0 | 4 | 9 | 0 | 17 | 4 | 12 | 4 | 17 | 4 | 3 | 4 | 1 | 4 | 8 | 4 | 11 | 2 | 0 -INPUTS | 12 | ram/DTACKr | ram/RS_FSM_FFd5 | A_FSB<22> | A_FSB<11> | $OpTx$$OpTx$FX_DC$350_INV$537 | A_FSB<10> | A_FSB<9> | A_FSB<8> | cnt/WS<0> | cnt/WS<1> | cnt/WS<2> | cnt/WS<3> -INPUTMC | 7 | 1 | 4 | 2 | 4 | 3 | 13 | 4 | 7 | 2 | 10 | 2 | 2 | 2 | 9 -INPUTP | 5 | 30 | 15 | 13 | 12 | 11 -EXPORTS | 1 | 2 | 0 -EQ | 10 | +OUTPUTMC | 9 | 4 | 0 | 4 | 9 | 7 | 3 | 4 | 12 | 4 | 17 | 4 | 3 | 4 | 1 | 4 | 8 | 4 | 11 +INPUTS | 2 | ram/DTACKr | ram/RS_FSM_FFd5 +INPUTMC | 2 | 1 | 7 | 0 | 10 +EQ | 2 | ram/RS_FSM_FFd4.D = ram/DTACKr & ram/RS_FSM_FFd5; ram/RS_FSM_FFd4.CLK = FCLK; // GCK - ram/RS_FSM_FFd4.EXP = A_FSB<22> & !A_FSB<11> & - !$OpTx$$OpTx$FX_DC$350_INV$537 - # A_FSB<22> & !A_FSB<10> & - !$OpTx$$OpTx$FX_DC$350_INV$537 - # A_FSB<22> & A_FSB<9> & !A_FSB<8> & - !$OpTx$$OpTx$FX_DC$350_INV$537 - # cnt/WS<0> & cnt/WS<1> & cnt/WS<2> & cnt/WS<3> & - !$OpTx$$OpTx$FX_DC$350_INV$537 GLOBALS | 1 | 2 | FCLK MACROCELL | 4 | 9 | ram/RASEN ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 12 | 4 | 17 | 2 | 6 | 4 | 0 | 4 | 9 | 2 | 3 | 0 | 17 | 4 | 12 | 4 | 16 | 4 | 1 | 4 | 5 | 4 | 15 | 7 | 5 +OUTPUTMC | 12 | 4 | 17 | 7 | 8 | 4 | 0 | 4 | 9 | 7 | 10 | 7 | 3 | 4 | 12 | 4 | 16 | 4 | 1 | 4 | 5 | 4 | 15 | 7 | 5 INPUTS | 9 | ram/RS_FSM_FFd8 | ram/RS_FSM_FFd4 | ram/RS_FSM_FFd1 | A_FSB<22> | RefUrg | ram/RefDone | A_FSB<23> | ram/RASEN | RA_4_OBUF.EXP -INPUTMC | 7 | 4 | 0 | 2 | 17 | 0 | 2 | 0 | 11 | 0 | 5 | 4 | 9 | 4 | 8 +INPUTMC | 7 | 4 | 0 | 0 | 0 | 0 | 3 | 0 | 15 | 0 | 9 | 4 | 9 | 4 | 8 INPUTP | 2 | 30 | 36 IMPORTS | 1 | 4 | 8 EQ | 22 | @@ -1170,21 +1085,21 @@ EQ | 22 | # RefUrg & !ram/RefDone & nAS_FSB & !ram/RS_FSM_FFd1 & !fsb/ASrf # A_FSB<23> & RefReq & !ram/RefDone & !nAS_FSB & - !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/BACTr + !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !BACTr # A_FSB<23> & RefReq & !ram/RefDone & - !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !BACTr & fsb/ASrf # A_FSB<22> & RefReq & !ram/RefDone & !nAS_FSB & - !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/BACTr + !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !BACTr # A_FSB<22> & RefReq & !ram/RefDone & - !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf; + !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !BACTr & fsb/ASrf; ram/RASEN.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 2 | ram/RS_FSM_FFd1 +MACROCELL | 0 | 3 | ram/RS_FSM_FFd1 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 9 | 0 | 5 | 4 | 0 | 4 | 9 | 4 | 12 | 4 | 4 | 4 | 1 | 4 | 8 | 4 | 11 | 4 | 17 +OUTPUTMC | 9 | 0 | 9 | 4 | 0 | 4 | 9 | 4 | 12 | 4 | 4 | 4 | 1 | 4 | 8 | 4 | 11 | 4 | 17 INPUTS | 1 | ram/RS_FSM_FFd2 -INPUTMC | 1 | 0 | 1 +INPUTMC | 1 | 0 | 2 EQ | 2 | ram/RS_FSM_FFd1.D = ram/RS_FSM_FFd2; ram/RS_FSM_FFd1.CLK = FCLK; // GCK @@ -1192,9 +1107,9 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 5 | 17 | IOACT ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 5 | 1 | 5 | 16 | 1 | 6 +OUTPUTMC | 3 | 5 | 1 | 5 | 16 | 1 | 9 INPUTS | 9 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | iobm/C8Mr | iobm/IOS_FSM_FFd7 | iobm/IORDREQr | nAoutOE | nDinLE_OBUF.EXP -INPUTMC | 9 | 1 | 9 | 5 | 0 | 1 | 10 | 5 | 3 | 1 | 14 | 5 | 2 | 1 | 12 | 3 | 1 | 5 | 16 +INPUTMC | 9 | 1 | 12 | 5 | 0 | 1 | 13 | 5 | 3 | 1 | 16 | 5 | 2 | 1 | 15 | 3 | 1 | 5 | 16 IMPORTS | 1 | 5 | 16 EQ | 11 | IOACT.D = iobm/IOS_FSM_FFd4 @@ -1210,44 +1125,44 @@ EQ | 11 | IOACT.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 4 | 7 | cnt/WS<0> +MACROCELL | 4 | 7 | cnt/Wait<0> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 7 | 4 | 7 | 2 | 10 | 2 | 2 | 2 | 9 | 2 | 3 | 2 | 17 | 4 | 8 -INPUTS | 6 | nAS_FSB | cnt/WS<0> | fsb/ASrf | A_FSB<11> | ram/RASEL | A_FSB<3> -INPUTMC | 3 | 4 | 7 | 3 | 9 | 2 | 6 +OUTPUTMC | 6 | 2 | 10 | 4 | 7 | 2 | 13 | 2 | 12 | 2 | 11 | 4 | 8 +INPUTS | 6 | nAS_FSB | cnt/Wait<0> | fsb/ASrf | A_FSB<11> | ram/RASEL | A_FSB<3> +INPUTMC | 3 | 4 | 7 | 2 | 17 | 7 | 8 INPUTP | 3 | 54 | 15 | 155 EXPORTS | 1 | 4 | 8 EQ | 4 | - !cnt/WS<0>.T = nAS_FSB & !cnt/WS<0> & !fsb/ASrf; - cnt/WS<0>.CLK = FCLK; // GCK - cnt/WS<0>.EXP = A_FSB<11> & !ram/RASEL + !cnt/Wait<0>.T = nAS_FSB & !cnt/Wait<0> & !fsb/ASrf; + cnt/Wait<0>.CLK = FCLK; // GCK + cnt/Wait<0>.EXP = A_FSB<11> & !ram/RASEL # ram/RASEL & A_FSB<3> GLOBALS | 1 | 2 | FCLK -MACROCELL | 1 | 11 | iobm/IOS_FSM_FFd1 +MACROCELL | 1 | 14 | iobm/IOS_FSM_FFd1 ATTRIBUTES | 8553216 | 0 OUTPUTMC | 4 | 5 | 2 | 5 | 16 | 5 | 15 | 5 | 12 INPUTS | 1 | iobm/IOS_FSM_FFd2 -INPUTMC | 1 | 1 | 16 +INPUTMC | 1 | 1 | 17 EQ | 2 | iobm/IOS_FSM_FFd1.D = iobm/IOS_FSM_FFd2; iobm/IOS_FSM_FFd1.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 1 | 16 | iobm/IOS_FSM_FFd2 +MACROCELL | 1 | 17 | iobm/IOS_FSM_FFd2 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 5 | 16 | 1 | 11 | 5 | 15 | 5 | 12 +OUTPUTMC | 4 | 5 | 16 | 1 | 14 | 5 | 15 | 5 | 12 INPUTS | 4 | IODONE | iobm/IOS_FSM_FFd3 | iobm/C8Mr | IOBERR -INPUTMC | 4 | 5 | 9 | 5 | 3 | 1 | 14 | 1 | 17 +INPUTMC | 4 | 5 | 9 | 5 | 3 | 1 | 16 | 0 | 16 EQ | 3 | iobm/IOS_FSM_FFd2.D = IOBERR & iobm/IOS_FSM_FFd3 & !iobm/C8Mr # IODONE & iobm/IOS_FSM_FFd3 & !iobm/C8Mr; iobm/IOS_FSM_FFd2.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 1 | 6 | iobs/IOACTr +MACROCELL | 1 | 9 | iobs/IOACTr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 2 | 5 | 7 | 2 | 2 | 13 | 7 | 8 +OUTPUTMC | 4 | 7 | 9 | 3 | 15 | 7 | 2 | 3 | 12 INPUTS | 1 | IOACT INPUTMC | 1 | 5 | 17 EQ | 2 | @@ -1255,21 +1170,21 @@ EQ | 2 | iobs/IOACTr.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 11 | iobs/Load1 +MACROCELL | 7 | 6 | iobs/Load1 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 0 | 7 | 0 | 6 | 5 | 13 -INPUTS | 18 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<14> | iobs/Sent | nWE_FSB | nAS_FSB | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<13> | iobs/TS_FSM_FFd1 | fsb/ASrf | cnt/WS<1>.EXP -INPUTMC | 6 | 2 | 15 | 7 | 2 | 5 | 13 | 2 | 5 | 3 | 9 | 2 | 10 +OUTPUTMC | 3 | 0 | 12 | 0 | 11 | 5 | 13 +INPUTS | 18 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<14> | iobs/Sent | nWE_FSB | nAS_FSB | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<13> | fsb/ASrf | iobs/TS_FSM_FFd1 | nRAMUWE_OBUF.EXP +INPUTMC | 6 | 7 | 17 | 3 | 15 | 5 | 13 | 2 | 17 | 7 | 9 | 7 | 7 INPUTP | 12 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 19 | 47 | 54 | 18 -IMPORTS | 1 | 2 | 10 +IMPORTS | 1 | 7 | 7 EQ | 34 | iobs/Load1.D = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & - !iobs/Sent & !nWE_FSB & !nAS_FSB & iobs/TS_FSM_FFd1 & + !iobs/Sent & !nWE_FSB & !nAS_FSB & iobs/TS_FSM_FFd2 & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & - !iobs/Sent & !nWE_FSB & !nAS_FSB & iobs/TS_FSM_FFd2 & + !iobs/Sent & !nWE_FSB & iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & @@ -1283,25 +1198,25 @@ EQ | 34 | A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & !iobs/Sent & !nWE_FSB & iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 -;Imported pterms FB3_11 +;Imported pterms FB8_8 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & + !iobs/Sent & !nWE_FSB & !nAS_FSB & iobs/TS_FSM_FFd1 & + nADoutLE1 # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & !iobs/Sent & !nWE_FSB & iobs/TS_FSM_FFd1 & fsb/ASrf & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & - !iobs/Sent & !nWE_FSB & iobs/TS_FSM_FFd2 & fsb/ASrf & - nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & !iobs/Sent & !nWE_FSB & iobs/TS_FSM_FFd1 & fsb/ASrf & nADoutLE1; iobs/Load1.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 1 | 4 | ram/DTACKr +MACROCELL | 1 | 7 | ram/DTACKr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 2 | 17 | 2 | 4 | 4 | 4 | 4 | 6 +OUTPUTMC | 4 | 0 | 0 | 0 | 10 | 4 | 4 | 4 | 6 INPUTS | 1 | nDTACK_FSB INPUTMC | 1 | 2 | 8 EQ | 2 | @@ -1309,42 +1224,40 @@ EQ | 2 | ram/DTACKr.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 4 | ram/RS_FSM_FFd5 +MACROCELL | 0 | 10 | ram/RS_FSM_FFd5 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 2 | 17 | 2 | 4 | 4 | 12 | 4 | 4 | 4 | 11 +OUTPUTMC | 5 | 0 | 0 | 0 | 10 | 4 | 12 | 4 | 4 | 4 | 11 INPUTS | 3 | ram/RS_FSM_FFd6 | ram/DTACKr | ram/RS_FSM_FFd5 -INPUTMC | 3 | 2 | 3 | 1 | 4 | 2 | 4 +INPUTMC | 3 | 7 | 10 | 1 | 7 | 0 | 10 EQ | 3 | ram/RS_FSM_FFd5.D = ram/RS_FSM_FFd6 # !ram/DTACKr & ram/RS_FSM_FFd5; ram/RS_FSM_FFd5.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 3 | ram/RS_FSM_FFd6 +MACROCELL | 7 | 10 | ram/RS_FSM_FFd6 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 6 | 2 | 6 | 2 | 4 | 4 | 12 | 1 | 3 | 4 | 11 | 2 | 2 -INPUTS | 10 | A_FSB<23> | A_FSB<22> | cs/nOverlay | nAS_FSB | ram/RS_FSM_FFd8 | ram/RASEN | fsb/ASrf | cnt/WS<2> | cnt/WS<0> | cnt/WS<1> -INPUTMC | 7 | 2 | 7 | 4 | 0 | 4 | 9 | 3 | 9 | 2 | 2 | 4 | 7 | 2 | 10 +OUTPUTMC | 5 | 7 | 8 | 0 | 10 | 4 | 12 | 0 | 4 | 4 | 11 +INPUTS | 7 | A_FSB<23> | A_FSB<22> | cs/nOverlay | nAS_FSB | ram/RS_FSM_FFd8 | ram/RASEN | fsb/ASrf +INPUTMC | 4 | 3 | 0 | 4 | 0 | 4 | 9 | 2 | 17 INPUTP | 3 | 36 | 30 | 54 -EXPORTS | 1 | 2 | 2 -EQ | 7 | +EQ | 5 | ram/RS_FSM_FFd6.D = !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & ram/RS_FSM_FFd8 & ram/RASEN # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & ram/RS_FSM_FFd8 & ram/RASEN & fsb/ASrf; ram/RS_FSM_FFd6.CLK = FCLK; // GCK - ram/RS_FSM_FFd6.EXP = nAS_FSB & cnt/WS<2> & !fsb/ASrf - # cnt/WS<0> & cnt/WS<1> & fsb/ASrf GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 17 | ram/RS_FSM_FFd7 +MACROCELL | 7 | 3 | ram/RS_FSM_FFd7 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 0 | 5 | 0 | 0 | 4 | 17 | 4 | 4 | 4 | 3 -INPUTS | 10 | RefUrg | ram/RefDone | ram/RS_FSM_FFd4 | A_FSB<22> | ram/RS_FSM_FFd8 | A_FSB<23> | ram/RASEN | nAS_FSB | fsb/ASrf | ram/RS_FSM_FFd3.EXP -INPUTMC | 7 | 0 | 11 | 0 | 5 | 2 | 17 | 4 | 0 | 4 | 9 | 3 | 9 | 0 | 0 -INPUTP | 3 | 30 | 36 | 54 -IMPORTS | 1 | 0 | 0 -EQ | 19 | +OUTPUTMC | 6 | 0 | 9 | 0 | 1 | 4 | 17 | 4 | 4 | 4 | 3 | 7 | 2 +INPUTS | 13 | RefUrg | ram/RefDone | ram/RS_FSM_FFd4 | A_FSB<22> | ram/RS_FSM_FFd8 | A_FSB<23> | ram/RASEN | A_FSB<21> | A_FSB<20> | cs/nOverlay | iobs/TS_FSM_FFd2 | nADoutLE1 | nRAS_OBUF.EXP +INPUTMC | 9 | 0 | 15 | 0 | 9 | 0 | 0 | 4 | 0 | 4 | 9 | 3 | 0 | 3 | 15 | 5 | 13 | 7 | 4 +INPUTP | 4 | 30 | 36 | 29 | 28 +EXPORTS | 1 | 7 | 2 +IMPORTS | 1 | 7 | 4 +EQ | 21 | ram/RS_FSM_FFd7.D = RefUrg & !ram/RefDone & ram/RS_FSM_FFd4 # A_FSB<23> & RefUrg & !ram/RefDone & ram/RS_FSM_FFd8 @@ -1352,34 +1265,46 @@ EQ | 19 | ram/RS_FSM_FFd8 # RefUrg & !ram/RefDone & ram/RS_FSM_FFd8 & !ram/RASEN +;Imported pterms FB8_5 # RefUrg & !ram/RefDone & nAS_FSB & ram/RS_FSM_FFd8 & !fsb/ASrf -;Imported pterms FB1_1 # A_FSB<23> & RefReq & !ram/RefDone & !nAS_FSB & - ram/RS_FSM_FFd8 & !ram/BACTr + ram/RS_FSM_FFd8 & !BACTr # A_FSB<23> & RefReq & !ram/RefDone & - ram/RS_FSM_FFd8 & !ram/BACTr & fsb/ASrf + ram/RS_FSM_FFd8 & !BACTr & fsb/ASrf # A_FSB<22> & RefReq & !ram/RefDone & !nAS_FSB & - ram/RS_FSM_FFd8 & !ram/BACTr + ram/RS_FSM_FFd8 & !BACTr # A_FSB<22> & RefReq & !ram/RefDone & - ram/RS_FSM_FFd8 & !ram/BACTr & fsb/ASrf; + ram/RS_FSM_FFd8 & !BACTr & fsb/ASrf; ram/RS_FSM_FFd7.CLK = FCLK; // GCK + ram/RS_FSM_FFd7.EXP = !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & + !iobs/TS_FSM_FFd2 & nADoutLE1 GLOBALS | 1 | 2 | FCLK -MACROCELL | 7 | 0 | IOL0 +MACROCELL | 3 | 8 | IOL0 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 4 | 5 | 8 | 7 | 0 | 5 | 7 | 7 | 17 -INPUTS | 9 | iobs/TS_FSM_FFd1 | iobs/Sent | iobs/TS_FSM_FFd2 | nADoutLE1 | nLDS_FSB | IOL0 | iobs/IOL1 | RA_11_OBUF$BUF0.EXP | EXP25_.EXP -INPUTMC | 8 | 2 | 5 | 2 | 15 | 7 | 2 | 5 | 13 | 7 | 0 | 0 | 7 | 7 | 1 | 7 | 17 +OUTPUTMC | 4 | 5 | 8 | 3 | 8 | 3 | 7 | 5 | 7 +INPUTS | 9 | iobs/TS_FSM_FFd1 | iobs/Sent | iobs/TS_FSM_FFd2 | nADoutLE1 | nLDS_FSB | IOL0 | iobs/IOL1 | N0.EXP | EXP11_.EXP +INPUTMC | 8 | 7 | 9 | 7 | 17 | 3 | 15 | 5 | 13 | 3 | 8 | 0 | 12 | 3 | 7 | 3 | 9 INPUTP | 1 | 49 -IMPORTS | 2 | 7 | 1 | 7 | 17 +IMPORTS | 2 | 3 | 7 | 3 | 9 EQ | 30 | !IOL0.T = iobs/TS_FSM_FFd1 # iobs/Sent & !iobs/TS_FSM_FFd2 & nADoutLE1 # nLDS_FSB & !IOL0 & nADoutLE1 # !nLDS_FSB & IOL0 & nADoutLE1 # iobs/IOL1 & IOL0 & !nADoutLE1 -;Imported pterms FB8_2 +;Imported pterms FB4_8 + # !iobs/IOL1 & !IOL0 & !nADoutLE1 + # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & + nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<20> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & nWE_FSB & + !iobs/TS_FSM_FFd2 & nADoutLE1 +;Imported pterms FB4_10 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & + !iobs/TS_FSM_FFd2 & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & !A_FSB<19> & !iobs/TS_FSM_FFd2 & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & !A_FSB<18> & @@ -1388,38 +1313,28 @@ EQ | 30 | !iobs/TS_FSM_FFd2 & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & !A_FSB<16> & !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & - !iobs/TS_FSM_FFd2 & nADoutLE1 -;Imported pterms FB8_18 - # !iobs/IOL1 & !IOL0 & !nADoutLE1 - # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & - nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<20> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & nWE_FSB & - !iobs/TS_FSM_FFd2 & nADoutLE1 -;Imported pterms FB8_17 +;Imported pterms FB4_11 # !A_FSB<23> & !A_FSB<22> & !A_FSB<13> & !A_FSB<14> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & !iobs/TS_FSM_FFd2 & nADoutLE1; IOL0.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 7 | 13 | IOU0 +MACROCELL | 3 | 3 | IOU0 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 4 | 5 | 10 | 7 | 13 | 5 | 9 | 7 | 12 -INPUTS | 9 | iobs/TS_FSM_FFd1 | iobs/Sent | iobs/TS_FSM_FFd2 | nADoutLE1 | nUDS_FSB | IOU0 | iobs/IOU1 | EXP24_.EXP | nBR_IOB_OBUF.EXP -INPUTMC | 8 | 2 | 5 | 2 | 15 | 7 | 2 | 5 | 13 | 7 | 13 | 0 | 6 | 7 | 12 | 7 | 14 +OUTPUTMC | 4 | 5 | 10 | 3 | 3 | 3 | 2 | 5 | 9 +INPUTS | 9 | iobs/TS_FSM_FFd1 | iobs/Sent | iobs/TS_FSM_FFd2 | nADoutLE1 | nUDS_FSB | IOU0 | iobs/IOU1 | EXP10_.EXP | nDoutOE_OBUF.EXP +INPUTMC | 8 | 7 | 9 | 7 | 17 | 3 | 15 | 5 | 13 | 3 | 3 | 0 | 11 | 3 | 2 | 3 | 4 INPUTP | 1 | 56 -IMPORTS | 2 | 7 | 12 | 7 | 14 +IMPORTS | 2 | 3 | 2 | 3 | 4 EQ | 30 | !IOU0.T = iobs/TS_FSM_FFd1 # iobs/Sent & !iobs/TS_FSM_FFd2 & nADoutLE1 # nUDS_FSB & !IOU0 & nADoutLE1 # !nUDS_FSB & IOU0 & nADoutLE1 # iobs/IOU1 & IOU0 & !nADoutLE1 -;Imported pterms FB8_13 +;Imported pterms FB4_3 # !iobs/IOU1 & !IOU0 & !nADoutLE1 # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & nADoutLE1 @@ -1429,120 +1344,103 @@ EQ | 30 | !iobs/TS_FSM_FFd2 & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & nWE_FSB & !iobs/TS_FSM_FFd2 & nADoutLE1 -;Imported pterms FB8_12 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<19> & - !iobs/TS_FSM_FFd2 & nADoutLE1 +;Imported pterms FB4_2 # !A_FSB<23> & !A_FSB<22> & !A_FSB<13> & !A_FSB<14> & !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & +;Imported pterms FB4_5 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<19> & !iobs/TS_FSM_FFd2 & nADoutLE1 -;Imported pterms FB8_15 # !A_FSB<23> & !A_FSB<22> & !A_FSB<18> & !iobs/TS_FSM_FFd2 & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & !A_FSB<17> & !iobs/TS_FSM_FFd2 & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & !A_FSB<16> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & !iobs/TS_FSM_FFd2 & nADoutLE1; IOU0.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 10 | cnt/WS<1> +MACROCELL | 2 | 13 | cnt/Wait<1> ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 6 | 2 | 10 | 2 | 2 | 2 | 9 | 2 | 3 | 2 | 17 | 2 | 11 -INPUTS | 19 | cnt/WS<0> | cnt/WS<1> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<13> | iobs/Sent | nWE_FSB | iobs/TS_FSM_FFd1 | fsb/ASrf | nADoutLE1 | iobs/TS_FSM_FFd2 | A_FSB<14> | cnt/WS<3>.EXP -INPUTMC | 8 | 4 | 7 | 2 | 10 | 2 | 15 | 2 | 5 | 3 | 9 | 5 | 13 | 7 | 2 | 2 | 9 -INPUTP | 11 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 18 | 47 | 19 -EXPORTS | 1 | 2 | 11 -IMPORTS | 1 | 2 | 9 -EQ | 17 | - !cnt/WS<1>.D = cnt/WS<0> & cnt/WS<1> - # !cnt/WS<0> & !cnt/WS<1> -;Imported pterms FB3_10 - # nAS_FSB & !fsb/ASrf; - cnt/WS<1>.CLK = FCLK; // GCK - cnt/WS<1>.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & - !iobs/Sent & !nWE_FSB & iobs/TS_FSM_FFd1 & fsb/ASrf & - nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & - !iobs/Sent & !nWE_FSB & iobs/TS_FSM_FFd2 & fsb/ASrf & - nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & - !iobs/Sent & !nWE_FSB & iobs/TS_FSM_FFd1 & fsb/ASrf & - nADoutLE1 +OUTPUTMC | 5 | 2 | 10 | 2 | 13 | 2 | 12 | 2 | 11 | 2 | 14 +INPUTS | 8 | cnt/Wait<0> | cnt/Wait<1> | nAS_FSB | fsb/ASrf | QoSReady | cnt/Wait<2> | cnt/Wait<3> | cnt/Wait<4> +INPUTMC | 7 | 4 | 7 | 2 | 13 | 2 | 17 | 2 | 14 | 2 | 12 | 2 | 11 | 2 | 10 +INPUTP | 1 | 54 +EXPORTS | 1 | 2 | 14 +EQ | 7 | + !cnt/Wait<1>.D = nAS_FSB & !fsb/ASrf + # cnt/Wait<0> & cnt/Wait<1> + # !cnt/Wait<0> & !cnt/Wait<1>; + cnt/Wait<1>.CLK = FCLK; // GCK + cnt/Wait<1>.EXP = QoSReady & fsb/ASrf + # !cnt/Wait<0> & !cnt/Wait<1> & !cnt/Wait<2> & + !cnt/Wait<3> & cnt/Wait<4> GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 2 | cnt/WS<2> +MACROCELL | 2 | 12 | cnt/Wait<2> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 4 | 2 | 9 | 2 | 3 | 2 | 17 | 2 | 1 -INPUTS | 10 | nAS_FSB | cnt/WS<0> | cnt/WS<1> | A_FSB<22> | A_FSB<17> | $OpTx$$OpTx$FX_DC$350_INV$537 | A_FSB<16> | A_FSB<13> | A_FSB<14> | ram/RS_FSM_FFd6.EXP -INPUTMC | 4 | 4 | 7 | 2 | 10 | 3 | 13 | 2 | 3 -INPUTP | 6 | 54 | 30 | 23 | 22 | 18 | 19 -EXPORTS | 1 | 2 | 1 -IMPORTS | 1 | 2 | 3 -EQ | 13 | - cnt/WS<2>.T = !nAS_FSB & cnt/WS<0> & cnt/WS<1> -;Imported pterms FB3_4 - # nAS_FSB & cnt/WS<2> & !fsb/ASrf - # cnt/WS<0> & cnt/WS<1> & fsb/ASrf; - cnt/WS<2>.CLK = FCLK; // GCK - cnt/WS<2>.EXP = A_FSB<22> & !A_FSB<17> & - !$OpTx$$OpTx$FX_DC$350_INV$537 - # A_FSB<22> & !A_FSB<16> & - !$OpTx$$OpTx$FX_DC$350_INV$537 - # A_FSB<22> & !A_FSB<13> & - !$OpTx$$OpTx$FX_DC$350_INV$537 - # A_FSB<22> & !A_FSB<14> & - !$OpTx$$OpTx$FX_DC$350_INV$537 +OUTPUTMC | 4 | 2 | 13 | 2 | 12 | 2 | 11 | 2 | 10 +INPUTS | 5 | nAS_FSB | cnt/Wait<0> | cnt/Wait<1> | fsb/ASrf | cnt/Wait<2> +INPUTMC | 4 | 4 | 7 | 2 | 13 | 2 | 17 | 2 | 12 +INPUTP | 1 | 54 +EQ | 4 | + cnt/Wait<2>.T = nAS_FSB & cnt/Wait<2> & !fsb/ASrf + # !nAS_FSB & cnt/Wait<0> & cnt/Wait<1> + # cnt/Wait<0> & cnt/Wait<1> & fsb/ASrf; + cnt/Wait<2>.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 1 | 12 | iobm/IORDREQr +MACROCELL | 2 | 11 | cnt/Wait<3> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 3 | 2 | 13 | 2 | 11 | 2 | 10 +INPUTS | 6 | nAS_FSB | cnt/Wait<3> | fsb/ASrf | cnt/Wait<0> | cnt/Wait<1> | cnt/Wait<2> +INPUTMC | 5 | 2 | 11 | 2 | 17 | 4 | 7 | 2 | 13 | 2 | 12 +INPUTP | 1 | 54 +EQ | 6 | + cnt/Wait<3>.T = nAS_FSB & cnt/Wait<3> & !fsb/ASrf + # !nAS_FSB & cnt/Wait<0> & cnt/Wait<1> & + cnt/Wait<2> + # cnt/Wait<0> & cnt/Wait<1> & cnt/Wait<2> & + fsb/ASrf; + cnt/Wait<3>.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 1 | 15 | iobm/IORDREQr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 9 | 5 | 11 | 5 | 7 | 5 | 9 | 5 | 2 | 5 | 0 | 5 | 17 | 5 | 15 | 5 | 12 | 3 | 4 +OUTPUTMC | 9 | 5 | 11 | 5 | 7 | 5 | 9 | 5 | 2 | 5 | 0 | 5 | 17 | 5 | 15 | 5 | 12 | 3 | 5 INPUTS | 1 | IORDREQ -INPUTMC | 1 | 2 | 14 +INPUTMC | 1 | 7 | 2 EQ | 2 | iobm/IORDREQr.D = IORDREQ; iobm/IORDREQr.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 0 | 1 | ram/RS_FSM_FFd2 +MACROCELL | 0 | 2 | ram/RS_FSM_FFd2 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 0 | 5 | 0 | 2 | 4 | 4 +OUTPUTMC | 3 | 0 | 9 | 0 | 3 | 4 | 4 INPUTS | 1 | ram/RS_FSM_FFd3 -INPUTMC | 1 | 0 | 0 +INPUTMC | 1 | 0 | 1 EQ | 2 | ram/RS_FSM_FFd2.D = ram/RS_FSM_FFd3; ram/RS_FSM_FFd2.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 0 | ram/RS_FSM_FFd3 +MACROCELL | 0 | 1 | ram/RS_FSM_FFd3 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 0 | 5 | 0 | 1 | 4 | 4 | 0 | 17 -INPUTS | 9 | ram/RS_FSM_FFd7 | A_FSB<23> | RefReq | ram/RefDone | nAS_FSB | ram/RS_FSM_FFd8 | ram/BACTr | fsb/ASrf | A_FSB<22> -INPUTMC | 6 | 0 | 17 | 0 | 12 | 0 | 5 | 4 | 0 | 3 | 6 | 3 | 9 -INPUTP | 3 | 36 | 54 | 30 -EXPORTS | 1 | 0 | 17 -EQ | 10 | +OUTPUTMC | 3 | 0 | 9 | 0 | 2 | 4 | 4 +INPUTS | 1 | ram/RS_FSM_FFd7 +INPUTMC | 1 | 7 | 3 +EQ | 2 | ram/RS_FSM_FFd3.D = ram/RS_FSM_FFd7; ram/RS_FSM_FFd3.CLK = FCLK; // GCK - ram/RS_FSM_FFd3.EXP = A_FSB<23> & RefReq & !ram/RefDone & !nAS_FSB & - ram/RS_FSM_FFd8 & !ram/BACTr - # A_FSB<23> & RefReq & !ram/RefDone & - ram/RS_FSM_FFd8 & !ram/BACTr & fsb/ASrf - # A_FSB<22> & RefReq & !ram/RefDone & !nAS_FSB & - ram/RS_FSM_FFd8 & !ram/BACTr - # A_FSB<22> & RefReq & !ram/RefDone & - ram/RS_FSM_FFd8 & !ram/BACTr & fsb/ASrf GLOBALS | 1 | 2 | FCLK MACROCELL | 5 | 15 | ALE0M ATTRIBUTES | 8553216 | 0 OUTPUTMC | 2 | 5 | 15 | 5 | 14 INPUTS | 11 | iobm/IOS_FSM_FFd7 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | ALE0M | nAoutOE | iobm/IORDREQr | iobm/IOWRREQr -INPUTMC | 11 | 5 | 2 | 5 | 3 | 1 | 10 | 1 | 9 | 5 | 0 | 1 | 11 | 1 | 16 | 5 | 15 | 3 | 1 | 1 | 12 | 1 | 8 +INPUTMC | 11 | 5 | 2 | 5 | 3 | 1 | 13 | 1 | 12 | 5 | 0 | 1 | 14 | 1 | 17 | 5 | 15 | 3 | 1 | 1 | 15 | 1 | 11 EQ | 14 | !ALE0M.D = iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 & nAoutOE @@ -1560,47 +1458,49 @@ EQ | 14 | ALE0M.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 2 | 14 | IORDREQ +MACROCELL | 7 | 13 | BACTr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 1 | 12 | 2 | 13 | 2 | 15 -INPUTS | 11 | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | A_FSB<22> | A_FSB<21> | iobs/Sent | fsb/ASrf | nADoutLE1 | A_FSB<20> | cs/nOverlay | nAS_FSB | EXP11_.EXP -INPUTMC | 7 | 2 | 5 | 7 | 2 | 2 | 15 | 3 | 9 | 5 | 13 | 2 | 7 | 2 | 13 -INPUTP | 4 | 30 | 29 | 28 | 54 -EXPORTS | 1 | 2 | 15 -IMPORTS | 1 | 2 | 13 -EQ | 23 | +OUTPUTMC | 7 | 4 | 1 | 4 | 8 | 4 | 6 | 4 | 11 | 4 | 15 | 4 | 5 | 7 | 4 +INPUTS | 2 | nAS_FSB | fsb/ASrf +INPUTMC | 1 | 2 | 17 +INPUTP | 1 | 54 +EQ | 2 | + !BACTr.D = nAS_FSB & !fsb/ASrf; + BACTr.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 7 | 2 | IORDREQ +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 2 | 1 | 15 | 7 | 2 +INPUTS | 9 | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | iobs/IOACTr | IORDREQ | nWE_FSB | nADoutLE1 | iobs/Sent | RA_11_OBUF$BUF0.EXP | ram/RS_FSM_FFd7.EXP +INPUTMC | 8 | 7 | 9 | 3 | 15 | 1 | 9 | 7 | 2 | 5 | 13 | 7 | 17 | 7 | 1 | 7 | 3 +INPUTP | 1 | 47 +IMPORTS | 2 | 7 | 1 | 7 | 3 +EQ | 15 | !IORDREQ.D = iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 -;Imported pterms FB3_14 # iobs/TS_FSM_FFd1 & iobs/IOACTr # iobs/TS_FSM_FFd2 & !IORDREQ # iobs/Sent & !iobs/TS_FSM_FFd2 & nADoutLE1 # !nWE_FSB & !iobs/TS_FSM_FFd2 & nADoutLE1 +;Imported pterms FB8_2 # !iobs/IORW1 & !iobs/TS_FSM_FFd2 & !nADoutLE1 -;Imported pterms FB3_13 # !A_FSB<23> & !A_FSB<22> & !iobs/TS_FSM_FFd2 & nADoutLE1 # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & nADoutLE1 +;Imported pterms FB8_4 # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & !iobs/TS_FSM_FFd2 & nADoutLE1; IORDREQ.CLK = FCLK; // GCK - IORDREQ.EXP = A_FSB<22> & A_FSB<21> & !iobs/Sent & - !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 - # A_FSB<22> & A_FSB<20> & !iobs/Sent & - !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 - # A_FSB<22> & !iobs/Sent & !cs/nOverlay & !nAS_FSB & - !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 - # A_FSB<22> & !iobs/Sent & !cs/nOverlay & - !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 GLOBALS | 1 | 2 | FCLK -MACROCELL | 7 | 8 | IOWRREQ +MACROCELL | 3 | 12 | IOWRREQ ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 7 | 8 | 1 | 8 -INPUTS | 13 | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | IOWRREQ | iobs/IOACTr | iobs/IORW1 | nADoutLE1 | A_FSB<23> | iobs/Sent | nWE_FSB | nAS_FSB | fsb/ASrf | nRAMUWE_OBUF.EXP | EXP22_.EXP -INPUTMC | 10 | 2 | 5 | 7 | 2 | 7 | 8 | 1 | 6 | 7 | 16 | 5 | 13 | 2 | 15 | 3 | 9 | 7 | 7 | 7 | 9 +OUTPUTMC | 2 | 3 | 12 | 1 | 11 +INPUTS | 13 | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | IOWRREQ | iobs/IOACTr | iobs/IORW1 | nADoutLE1 | A_FSB<23> | iobs/Sent | nWE_FSB | nAS_FSB | fsb/ASrf | EXP12_.EXP | EXP13_.EXP +INPUTMC | 10 | 7 | 9 | 3 | 15 | 3 | 12 | 1 | 9 | 3 | 16 | 5 | 13 | 7 | 17 | 2 | 17 | 3 | 11 | 3 | 13 INPUTP | 3 | 36 | 47 | 54 -IMPORTS | 2 | 7 | 7 | 7 | 9 +IMPORTS | 2 | 3 | 11 | 3 | 13 EQ | 35 | IOWRREQ.D = !iobs/TS_FSM_FFd1 & iobs/TS_FSM_FFd2 & IOWRREQ # iobs/TS_FSM_FFd2 & !iobs/IOACTr & IOWRREQ @@ -1610,7 +1510,7 @@ EQ | 35 | !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 # A_FSB<23> & !iobs/Sent & !nWE_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 -;Imported pterms FB8_8 +;Imported pterms FB4_12 # A_FSB<22> & A_FSB<21> & !iobs/Sent & !nWE_FSB & !nAS_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 # A_FSB<22> & A_FSB<21> & !iobs/Sent & !nWE_FSB & @@ -1621,7 +1521,7 @@ EQ | 35 | !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 # A_FSB<22> & !iobs/Sent & !cs/nOverlay & !nWE_FSB & !nAS_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 -;Imported pterms FB8_10 +;Imported pterms FB4_14 # A_FSB<22> & !iobs/Sent & !cs/nOverlay & !nWE_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & @@ -1639,55 +1539,9 @@ EQ | 35 | IOWRREQ.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 1 | QoSReady +MACROCELL | 0 | 8 | cnt/Er<0> ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 2 | 8 | 2 | 1 -INPUTS | 10 | A_FSB<23> | $OpTx$$OpTx$FX_DC$350_INV$537 | nWE_FSB | QoSReady | cnt/LTimer<0> | cnt/LTimer<1> | A_FSB<22> | A_FSB<20> | EXP10_.EXP | cnt/WS<2>.EXP -INPUTMC | 6 | 3 | 13 | 2 | 1 | 3 | 17 | 3 | 15 | 2 | 0 | 2 | 2 -INPUTP | 4 | 36 | 47 | 30 | 28 -IMPORTS | 2 | 2 | 0 | 2 | 2 -EQ | 36 | - QoSReady.D = A_FSB<23> & !$OpTx$$OpTx$FX_DC$350_INV$537 - # !cnt/LTimer<0> & !cnt/LTimer<1> - # !nWE_FSB & !$OpTx$$OpTx$FX_DC$350_INV$537 - # QoSReady & !$OpTx$$OpTx$FX_DC$350_INV$537 - # A_FSB<22> & A_FSB<20> & - !$OpTx$$OpTx$FX_DC$350_INV$537 -;Imported pterms FB3_1 - # A_FSB<22> & A_FSB<21> & - !$OpTx$$OpTx$FX_DC$350_INV$537 - # A_FSB<22> & A_FSB<19> & - !$OpTx$$OpTx$FX_DC$350_INV$537 - # A_FSB<22> & A_FSB<18> & - !$OpTx$$OpTx$FX_DC$350_INV$537 - # A_FSB<22> & A_FSB<15> & - !$OpTx$$OpTx$FX_DC$350_INV$537 - # A_FSB<22> & A_FSB<12> & - !$OpTx$$OpTx$FX_DC$350_INV$537 -;Imported pterms FB3_18 - # A_FSB<22> & !A_FSB<11> & - !$OpTx$$OpTx$FX_DC$350_INV$537 - # A_FSB<22> & !A_FSB<10> & - !$OpTx$$OpTx$FX_DC$350_INV$537 - # A_FSB<22> & A_FSB<9> & !A_FSB<8> & - !$OpTx$$OpTx$FX_DC$350_INV$537 - # cnt/WS<0> & cnt/WS<1> & cnt/WS<2> & cnt/WS<3> & - !$OpTx$$OpTx$FX_DC$350_INV$537 -;Imported pterms FB3_3 - # A_FSB<22> & !A_FSB<17> & - !$OpTx$$OpTx$FX_DC$350_INV$537 - # A_FSB<22> & !A_FSB<16> & - !$OpTx$$OpTx$FX_DC$350_INV$537 - # A_FSB<22> & !A_FSB<13> & - !$OpTx$$OpTx$FX_DC$350_INV$537 - # A_FSB<22> & !A_FSB<14> & - !$OpTx$$OpTx$FX_DC$350_INV$537; - QoSReady.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 0 | 4 | cnt/Er<0> -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 22 | 0 | 9 | 0 | 14 | 0 | 16 | 0 | 11 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 0 | 15 | 0 | 12 | 6 | 15 | 0 | 8 | 7 | 15 | 0 | 10 | 0 | 3 | 6 | 17 | 6 | 1 +OUTPUTMC | 25 | 2 | 15 | 2 | 0 | 0 | 13 | 6 | 15 | 6 | 17 | 0 | 15 | 2 | 1 | 6 | 16 | 6 | 14 | 6 | 13 | 2 | 9 | 2 | 7 | 2 | 6 | 2 | 5 | 2 | 4 | 2 | 3 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 12 | 6 | 0 | 6 | 2 | 0 | 7 | 0 | 14 | 2 | 2 INPUTS | 1 | E INPUTP | 1 | 37 EQ | 2 | @@ -1695,24 +1549,24 @@ EQ | 2 | cnt/Er<0>.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 9 | cnt/WS<3> +MACROCELL | 2 | 10 | cnt/Wait<4> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 3 | 2 | 9 | 2 | 17 | 2 | 10 -INPUTS | 6 | nAS_FSB | cnt/WS<3> | fsb/ASrf | cnt/WS<0> | cnt/WS<1> | cnt/WS<2> -INPUTMC | 5 | 2 | 9 | 3 | 9 | 4 | 7 | 2 | 10 | 2 | 2 +OUTPUTMC | 2 | 2 | 13 | 2 | 10 +INPUTS | 7 | nAS_FSB | cnt/Wait<4> | fsb/ASrf | cnt/Wait<0> | cnt/Wait<1> | cnt/Wait<2> | cnt/Wait<3> +INPUTMC | 6 | 2 | 10 | 2 | 17 | 4 | 7 | 2 | 13 | 2 | 12 | 2 | 11 INPUTP | 1 | 54 -EXPORTS | 1 | 2 | 10 -EQ | 5 | - cnt/WS<3>.T = nAS_FSB & cnt/WS<3> & !fsb/ASrf - # !nAS_FSB & cnt/WS<0> & cnt/WS<1> & cnt/WS<2> - # cnt/WS<0> & cnt/WS<1> & cnt/WS<2> & fsb/ASrf; - cnt/WS<3>.CLK = FCLK; // GCK - cnt/WS<3>.EXP = nAS_FSB & !fsb/ASrf +EQ | 6 | + cnt/Wait<4>.T = nAS_FSB & cnt/Wait<4> & !fsb/ASrf + # !nAS_FSB & cnt/Wait<0> & cnt/Wait<1> & + cnt/Wait<2> & cnt/Wait<3> + # cnt/Wait<0> & cnt/Wait<1> & cnt/Wait<2> & + cnt/Wait<3> & fsb/ASrf; + cnt/Wait<4>.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 1 | 15 | cnt/nIPL2r +MACROCELL | 0 | 6 | cnt/nIPL2r ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 7 | 14 | 7 | 15 +OUTPUTMC | 2 | 7 | 14 | 0 | 7 INPUTS | 1 | nIPL2 INPUTP | 1 | 146 EQ | 2 | @@ -1722,9 +1576,9 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 5 | 7 | iobm/DoutOE ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 3 | 5 | 7 | 3 | 4 | 5 | 8 +OUTPUTMC | 3 | 5 | 7 | 3 | 5 | 5 | 8 INPUTS | 10 | iobm/IOS_FSM_FFd7 | iobm/C8Mr | iobm/DoutOE | iobm/IOWRREQr | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | IOL0 | iobm/IORDREQr -INPUTMC | 10 | 5 | 2 | 1 | 14 | 5 | 7 | 1 | 8 | 5 | 3 | 1 | 10 | 1 | 9 | 5 | 0 | 7 | 0 | 1 | 12 +INPUTMC | 10 | 5 | 2 | 1 | 16 | 5 | 7 | 1 | 11 | 5 | 3 | 1 | 13 | 1 | 12 | 5 | 0 | 3 | 8 | 1 | 15 EXPORTS | 1 | 5 | 8 EQ | 13 | iobm/DoutOE.T = iobm/IOS_FSM_FFd7 & !iobm/C8Mr & !iobm/DoutOE & @@ -1742,9 +1596,9 @@ EQ | 13 | iobm/IORDREQr GLOBALS | 1 | 2 | C16M -MACROCELL | 1 | 13 | iobm/Er +MACROCELL | 0 | 5 | iobm/Er ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 5 | 4 | 0 | 13 | 5 | 6 | 5 | 5 +OUTPUTMC | 4 | 5 | 4 | 0 | 17 | 5 | 6 | 5 | 5 INPUTS | 1 | E INPUTP | 1 | 37 EQ | 2 | @@ -1754,9 +1608,9 @@ GLOBALS | 1 | 2 | C8M MACROCELL | 5 | 12 | iobm/IOS0 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 5 | 12 | 3 | 4 +OUTPUTMC | 2 | 5 | 12 | 3 | 5 INPUTS | 12 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd7 | iobm/C8Mr | nAoutOE | iobm/IORDREQr | iobm/IOWRREQr | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd2 | iobm/IOS0 -INPUTMC | 12 | 1 | 11 | 5 | 2 | 1 | 14 | 3 | 1 | 1 | 12 | 1 | 8 | 5 | 3 | 1 | 10 | 1 | 9 | 5 | 0 | 1 | 16 | 5 | 12 +INPUTMC | 12 | 1 | 14 | 5 | 2 | 1 | 16 | 3 | 1 | 1 | 15 | 1 | 11 | 5 | 3 | 1 | 13 | 1 | 12 | 5 | 0 | 1 | 17 | 5 | 12 EQ | 9 | iobm/IOS0.D = iobm/IOS_FSM_FFd1 # iobm/IOS_FSM_FFd7 & iobm/C8Mr @@ -1769,17 +1623,17 @@ EQ | 9 | iobm/IOS0.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 1 | 8 | iobm/IOWRREQr +MACROCELL | 1 | 11 | iobm/IOWRREQr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 8 | 5 | 11 | 5 | 2 | 5 | 0 | 5 | 16 | 5 | 15 | 5 | 7 | 5 | 12 | 3 | 4 +OUTPUTMC | 8 | 5 | 11 | 5 | 2 | 5 | 0 | 5 | 16 | 5 | 15 | 5 | 7 | 5 | 12 | 3 | 5 INPUTS | 1 | IOWRREQ -INPUTMC | 1 | 7 | 8 +INPUTMC | 1 | 3 | 12 EQ | 2 | iobm/IOWRREQr.D = IOWRREQ; iobm/IOWRREQr.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 1 | 7 | iobm/VPAr +MACROCELL | 1 | 10 | iobm/VPAr ATTRIBUTES | 8553216 | 0 OUTPUTMC | 1 | 5 | 1 INPUTS | 1 | nVPA_IOB @@ -1789,22 +1643,11 @@ EQ | 2 | !iobm/VPAr.CLK = C8M; // GCK GLOBALS | 1 | 2 | C8M -MACROCELL | 3 | 6 | ram/BACTr -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 7 | 4 | 1 | 4 | 8 | 0 | 0 | 4 | 11 | 4 | 15 | 4 | 5 | 4 | 6 -INPUTS | 2 | nAS_FSB | fsb/ASrf -INPUTMC | 1 | 3 | 9 -INPUTP | 1 | 54 -EQ | 2 | - !ram/BACTr.D = nAS_FSB & !fsb/ASrf; - ram/BACTr.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 3 | 12 | ALE0S +MACROCELL | 7 | 12 | ALE0S ATTRIBUTES | 8553216 | 0 OUTPUTMC | 1 | 5 | 14 INPUTS | 1 | iobs/TS_FSM_FFd2 -INPUTMC | 1 | 7 | 2 +INPUTMC | 1 | 3 | 15 EQ | 2 | ALE0S.D = iobs/TS_FSM_FFd2; ALE0S.CLK = FCLK; // GCK @@ -1814,7 +1657,7 @@ MACROCELL | 4 | 12 | RAMReady ATTRIBUTES | 8553216 | 0 OUTPUTMC | 1 | 2 | 7 INPUTS | 11 | ram/RS_FSM_FFd8 | ram/RS_FSM_FFd4 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd5 | ram/RS_FSM_FFd6 | A_FSB<22> | RefUrg | ram/RefDone | A_FSB<23> | ram/RASEN | RA_5_OBUF.EXP -INPUTMC | 9 | 4 | 0 | 2 | 17 | 0 | 2 | 2 | 4 | 2 | 3 | 0 | 11 | 0 | 5 | 4 | 9 | 4 | 11 +INPUTMC | 9 | 4 | 0 | 0 | 0 | 0 | 3 | 0 | 10 | 7 | 10 | 0 | 15 | 0 | 9 | 4 | 9 | 4 | 11 INPUTP | 2 | 30 | 36 IMPORTS | 1 | 4 | 11 EQ | 26 | @@ -1833,71 +1676,67 @@ EQ | 26 | !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd5 & !ram/RS_FSM_FFd6 & !fsb/ASrf # A_FSB<23> & RefReq & !ram/RefDone & !nAS_FSB & !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd5 & - !ram/RS_FSM_FFd6 & !ram/BACTr + !ram/RS_FSM_FFd6 & !BACTr # A_FSB<23> & RefReq & !ram/RefDone & !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd5 & - !ram/RS_FSM_FFd6 & !ram/BACTr & fsb/ASrf + !ram/RS_FSM_FFd6 & !BACTr & fsb/ASrf # A_FSB<22> & RefReq & !ram/RefDone & !nAS_FSB & !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd5 & - !ram/RS_FSM_FFd6 & !ram/BACTr + !ram/RS_FSM_FFd6 & !BACTr # A_FSB<22> & RefReq & !ram/RefDone & !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd5 & - !ram/RS_FSM_FFd6 & !ram/BACTr & fsb/ASrf; + !ram/RS_FSM_FFd6 & !BACTr & fsb/ASrf; RAMReady.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 3 | cnt/Er<1> +MACROCELL | 2 | 2 | cnt/Er<1> ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 21 | 0 | 9 | 0 | 14 | 0 | 16 | 0 | 11 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 0 | 15 | 0 | 12 | 6 | 15 | 0 | 8 | 7 | 15 | 0 | 10 | 6 | 17 | 6 | 1 +OUTPUTMC | 24 | 2 | 15 | 2 | 0 | 0 | 13 | 6 | 15 | 6 | 17 | 0 | 15 | 2 | 1 | 6 | 16 | 6 | 14 | 6 | 13 | 2 | 9 | 2 | 7 | 2 | 6 | 2 | 5 | 2 | 4 | 2 | 3 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 12 | 6 | 0 | 6 | 2 | 0 | 7 | 0 | 14 INPUTS | 1 | cnt/Er<0> -INPUTMC | 1 | 0 | 4 +INPUTMC | 1 | 0 | 8 EQ | 2 | cnt/Er<1>.D = cnt/Er<0>; cnt/Er<1>.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 11 | cnt/LTimerTC +MACROCELL | 2 | 17 | fsb/ASrf ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 7 | 15 | 0 | 10 -INPUTS | 12 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<10> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/LTimer<9> | cnt/LTimer<11> -INPUTMC | 12 | 3 | 17 | 3 | 15 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 -EQ | 5 | - cnt/LTimerTC.D = !cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<10> & - cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & - cnt/LTimer<6> & cnt/LTimer<7> & cnt/LTimer<8> & cnt/LTimer<9> & - cnt/LTimer<11>; - cnt/LTimerTC.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 3 | 9 | fsb/ASrf -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 38 | 3 | 1 | 3 | 14 | 2 | 15 | 2 | 7 | 7 | 15 | 4 | 14 | 7 | 11 | 3 | 10 | 2 | 8 | 2 | 6 | 4 | 17 | 2 | 16 | 4 | 8 | 4 | 7 | 2 | 11 | 2 | 3 | 0 | 17 | 6 | 0 | 7 | 12 | 3 | 13 | 0 | 0 | 2 | 12 | 7 | 8 | 2 | 9 | 3 | 6 | 4 | 11 | 4 | 16 | 4 | 1 | 2 | 14 | 6 | 16 | 2 | 10 | 4 | 5 | 4 | 6 | 4 | 15 | 7 | 3 | 7 | 7 | 7 | 9 | 7 | 17 -INPUTS | 1 | nAS_FSB -INPUTP | 1 | 54 -EQ | 2 | +OUTPUTMC | 38 | 7 | 17 | 4 | 1 | 3 | 11 | 3 | 0 | 2 | 1 | 3 | 13 | 4 | 14 | 7 | 11 | 3 | 10 | 2 | 8 | 7 | 8 | 3 | 15 | 3 | 17 | 4 | 8 | 4 | 7 | 7 | 6 | 7 | 10 | 7 | 0 | 3 | 7 | 3 | 2 | 2 | 13 | 2 | 12 | 2 | 11 | 7 | 13 | 7 | 1 | 3 | 12 | 2 | 10 | 4 | 11 | 4 | 16 | 2 | 16 | 4 | 5 | 4 | 6 | 4 | 15 | 4 | 17 | 7 | 4 | 7 | 7 | 7 | 16 | 2 | 0 +INPUTS | 18 | nAS_FSB | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<14> | A_FSB<12> | A_FSB<11> | A_FSB<10> | nWE_FSB | A_FSB<9> | A_FSB<8> +INPUTP | 18 | 54 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 21 | 18 | 19 | 17 | 15 | 13 | 47 | 12 | 11 +EXPORTS | 1 | 2 | 0 +EQ | 18 | fsb/ASrf.D = !nAS_FSB; !fsb/ASrf.CLK = FCLK; // GCK + fsb/ASrf.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & A_FSB<14> & A_FSB<12> & A_FSB<11> & A_FSB<10> & + !nWE_FSB & !nAS_FSB & A_FSB<9> + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & A_FSB<14> & A_FSB<12> & A_FSB<11> & A_FSB<10> & + !nWE_FSB & !nAS_FSB & A_FSB<8> + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & !A_FSB<14> & !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & + !nWE_FSB & !nAS_FSB & A_FSB<9> + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & !A_FSB<14> & !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & + !nWE_FSB & !nAS_FSB & A_FSB<8> GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 12 | iobs/Clear1 +MACROCELL | 7 | 15 | iobs/Clear1 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 5 | 13 | 2 | 13 -INPUTS | 10 | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | A_FSB<23> | A_FSB<22> | nADoutLE1 | nAS_FSB | fsb/ASrf | A_FSB<21> | A_FSB<20> | cs/nOverlay -INPUTMC | 5 | 2 | 5 | 7 | 2 | 5 | 13 | 3 | 9 | 2 | 7 -INPUTP | 5 | 36 | 30 | 54 | 29 | 28 -EXPORTS | 1 | 2 | 13 -EQ | 8 | +OUTPUTMC | 1 | 5 | 13 +INPUTS | 2 | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 +INPUTMC | 2 | 7 | 9 | 3 | 15 +EQ | 2 | iobs/Clear1.D = !iobs/TS_FSM_FFd1 & iobs/TS_FSM_FFd2; iobs/Clear1.CLK = FCLK; // GCK - iobs/Clear1.EXP = !A_FSB<23> & !A_FSB<22> & !iobs/TS_FSM_FFd2 & - nADoutLE1 - # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & - nADoutLE1 - # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & - !iobs/TS_FSM_FFd2 & nADoutLE1 GLOBALS | 1 | 2 | FCLK -MACROCELL | 1 | 5 | iobs/IODONEr +MACROCELL | 1 | 8 | iobs/IODONEr ATTRIBUTES | 8553216 | 0 OUTPUTMC | 1 | 4 | 14 INPUTS | 1 | IODONE @@ -1907,21 +1746,29 @@ EQ | 2 | iobs/IODONEr.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 8 | nRESout +MACROCELL | 7 | 16 | nRESout ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 3 | 7 -INPUTS | 2 | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 -INPUTMC | 2 | 7 | 15 | 0 | 10 -EQ | 2 | +OUTPUTMC | 2 | 3 | 7 | 7 | 17 +INPUTS | 12 | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | A_FSB<22> | A_FSB<21> | iobs/Sent | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | fsb/ASrf | nADoutLE1 | A_FSB<20> | cs/nOverlay | nAS_FSB +INPUTMC | 8 | 0 | 7 | 0 | 14 | 7 | 17 | 7 | 9 | 3 | 15 | 2 | 17 | 5 | 13 | 3 | 0 +INPUTP | 4 | 30 | 29 | 28 | 54 +EXPORTS | 1 | 7 | 17 +EQ | 8 | nRESout.D = cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2; nRESout.CLK = FCLK; // GCK + nRESout.EXP = A_FSB<22> & A_FSB<21> & !iobs/Sent & + !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 + # A_FSB<22> & A_FSB<20> & !iobs/Sent & + !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 + # A_FSB<22> & !iobs/Sent & !cs/nOverlay & !nAS_FSB & + !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 GLOBALS | 1 | 2 | FCLK -MACROCELL | 1 | 3 | ram/RASrf +MACROCELL | 0 | 4 | ram/RASrf ATTRIBUTES | 8553216 | 0 OUTPUTMC | 1 | 7 | 5 INPUTS | 1 | ram/RS_FSM_FFd6 -INPUTMC | 1 | 2 | 3 +INPUTMC | 1 | 7 | 10 EQ | 2 | ram/RASrf.D = ram/RS_FSM_FFd6; !ram/RASrf.CLK = FCLK; // GCK @@ -1931,7 +1778,7 @@ MACROCELL | 4 | 17 | ram/RASrr ATTRIBUTES | 8553216 | 0 OUTPUTMC | 2 | 7 | 5 | 4 | 0 INPUTS | 13 | ram/RS_FSM_FFd7 | RefUrg | ram/RefDone | ram/RS_FSM_FFd4 | ram/RS_FSM_FFd8 | ram/RS_FSM_FFd1 | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | cs/nOverlay | ram/RASEN | EXP19_.EXP -INPUTMC | 10 | 0 | 17 | 0 | 11 | 0 | 5 | 2 | 17 | 4 | 0 | 0 | 2 | 3 | 9 | 2 | 7 | 4 | 9 | 4 | 16 +INPUTMC | 10 | 7 | 3 | 0 | 15 | 0 | 9 | 0 | 0 | 4 | 0 | 0 | 3 | 2 | 17 | 3 | 0 | 4 | 9 | 4 | 16 INPUTP | 3 | 54 | 36 | 30 EXPORTS | 1 | 4 | 0 IMPORTS | 1 | 4 | 16 @@ -1951,15 +1798,15 @@ EQ | 31 | ram/RS_FSM_FFd8 & ram/RASEN ;Imported pterms FB5_16 # A_FSB<23> & RefReq & !ram/RefDone & !nAS_FSB & - ram/RS_FSM_FFd8 & !ram/BACTr + ram/RS_FSM_FFd8 & !BACTr # A_FSB<23> & RefReq & !ram/RefDone & - ram/RS_FSM_FFd8 & !ram/BACTr & fsb/ASrf + ram/RS_FSM_FFd8 & !BACTr & fsb/ASrf # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & ram/RS_FSM_FFd8 & ram/RASEN & fsb/ASrf # A_FSB<22> & RefReq & !ram/RefDone & !nAS_FSB & - ram/RS_FSM_FFd8 & !ram/BACTr + ram/RS_FSM_FFd8 & !BACTr # A_FSB<22> & RefReq & !ram/RefDone & - ram/RS_FSM_FFd8 & !ram/BACTr & fsb/ASrf; + ram/RS_FSM_FFd8 & !BACTr & fsb/ASrf; ram/RASrr.CLK = FCLK; // GCK ram/RASrr.EXP = RefUrg & !ram/RefDone & !ram/RS_FSM_FFd8 & !ram/RS_FSM_FFd1 @@ -1972,7 +1819,7 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 6 | 5 | RA_0_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 3 | ram/RASEL | A_FSB<1> | A_FSB<9> -INPUTMC | 1 | 2 | 6 +INPUTMC | 1 | 7 | 8 INPUTP | 2 | 149 | 12 EQ | 2 | RA<0> = ram/RASEL & A_FSB<1> @@ -1981,7 +1828,7 @@ EQ | 2 | MACROCELL | 6 | 8 | RA_10_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 3 | A_FSB<17> | ram/RASEL | A_FSB<7> -INPUTMC | 1 | 2 | 6 +INPUTMC | 1 | 7 | 8 INPUTP | 2 | 23 | 8 EQ | 2 | RA<10> = A_FSB<17> & !ram/RASEL @@ -1989,24 +1836,18 @@ EQ | 2 | MACROCELL | 6 | 1 | RA_1_OBUF ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 6 | 0 -INPUTS | 16 | A_FSB<10> | ram/RASEL | A_FSB<2> | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/TimerTC | cnt/IS_FSM_FFd2 | cnt/Er<0> | cnt/Er<1> -INPUTMC | 14 | 2 | 6 | 3 | 17 | 3 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 0 | 8 | 0 | 10 | 0 | 4 | 0 | 3 +INPUTS | 3 | A_FSB<10> | ram/RASEL | A_FSB<2> +INPUTMC | 1 | 7 | 8 INPUTP | 2 | 13 | 153 -EXPORTS | 1 | 6 | 0 -EQ | 6 | +EQ | 2 | RA<1> = A_FSB<10> & !ram/RASEL # ram/RASEL & A_FSB<2>; - RA_1_OBUF.EXP = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & - cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & cnt/LTimer<6> & - cnt/LTimer<7> & cnt/LTimer<8> & cnt/TimerTC & cnt/IS_FSM_FFd2 & - !cnt/Er<0> & cnt/Er<1> MACROCELL | 4 | 13 | RA_2_OBUF ATTRIBUTES | 264962 | 0 OUTPUTMC | 1 | 4 | 14 INPUTS | 5 | A_FSB<16> | ram/RASEL | A_FSB<7> | A_FSB<13> | A_FSB<5> -INPUTMC | 1 | 2 | 6 +INPUTMC | 1 | 7 | 8 INPUTP | 4 | 22 | 8 | 18 | 4 EXPORTS | 1 | 4 | 14 EQ | 4 | @@ -2018,8 +1859,8 @@ EQ | 4 | MACROCELL | 4 | 8 | RA_4_OBUF ATTRIBUTES | 264962 | 0 OUTPUTMC | 1 | 4 | 9 -INPUTS | 11 | RefUrg | ram/RefDone | nAS_FSB | ram/RS_FSM_FFd1 | fsb/ASrf | A_FSB<23> | RefReq | ram/RS_FSM_FFd4 | ram/BACTr | A_FSB<22> | cnt/WS<0>.EXP -INPUTMC | 8 | 0 | 11 | 0 | 5 | 0 | 2 | 3 | 9 | 0 | 12 | 2 | 17 | 3 | 6 | 4 | 7 +INPUTS | 11 | RefUrg | ram/RefDone | nAS_FSB | ram/RS_FSM_FFd1 | fsb/ASrf | A_FSB<23> | RefReq | ram/RS_FSM_FFd4 | BACTr | A_FSB<22> | cnt/Wait<0>.EXP +INPUTMC | 8 | 0 | 15 | 0 | 9 | 0 | 3 | 2 | 17 | 6 | 14 | 0 | 0 | 7 | 13 | 4 | 7 INPUTP | 3 | 54 | 36 | 30 EXPORTS | 1 | 4 | 9 IMPORTS | 1 | 4 | 7 @@ -2030,19 +1871,19 @@ EQ | 13 | RA_4_OBUF.EXP = RefUrg & !ram/RefDone & nAS_FSB & !ram/RS_FSM_FFd1 & !fsb/ASrf # A_FSB<23> & RefReq & !ram/RefDone & !nAS_FSB & - !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/BACTr + !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !BACTr # A_FSB<23> & RefReq & !ram/RefDone & - !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !BACTr & fsb/ASrf # A_FSB<22> & RefReq & !ram/RefDone & !nAS_FSB & - !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/BACTr + !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !BACTr # A_FSB<22> & RefReq & !ram/RefDone & - !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !BACTr & fsb/ASrf MACROCELL | 4 | 11 | RA_5_OBUF ATTRIBUTES | 264962 | 0 OUTPUTMC | 1 | 4 | 12 -INPUTS | 13 | RefUrg | ram/RefDone | nAS_FSB | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd5 | ram/RS_FSM_FFd6 | fsb/ASrf | A_FSB<23> | RefReq | ram/RS_FSM_FFd4 | ram/BACTr | A_FSB<22> | RA_11_OBUF.EXP -INPUTMC | 10 | 0 | 11 | 0 | 5 | 0 | 2 | 2 | 4 | 2 | 3 | 3 | 9 | 0 | 12 | 2 | 17 | 3 | 6 | 4 | 10 +INPUTS | 13 | RefUrg | ram/RefDone | nAS_FSB | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd5 | ram/RS_FSM_FFd6 | fsb/ASrf | A_FSB<23> | RefReq | ram/RS_FSM_FFd4 | BACTr | A_FSB<22> | RA_11_OBUF.EXP +INPUTMC | 10 | 0 | 15 | 0 | 9 | 0 | 3 | 0 | 10 | 7 | 10 | 2 | 17 | 6 | 14 | 0 | 0 | 7 | 13 | 4 | 10 INPUTP | 3 | 54 | 36 | 30 EXPORTS | 1 | 4 | 12 IMPORTS | 1 | 4 | 10 @@ -2054,22 +1895,22 @@ EQ | 17 | !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd5 & !ram/RS_FSM_FFd6 & !fsb/ASrf # A_FSB<23> & RefReq & !ram/RefDone & !nAS_FSB & !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd5 & - !ram/RS_FSM_FFd6 & !ram/BACTr + !ram/RS_FSM_FFd6 & !BACTr # A_FSB<23> & RefReq & !ram/RefDone & !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd5 & - !ram/RS_FSM_FFd6 & !ram/BACTr & fsb/ASrf + !ram/RS_FSM_FFd6 & !BACTr & fsb/ASrf # A_FSB<22> & RefReq & !ram/RefDone & !nAS_FSB & !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd5 & - !ram/RS_FSM_FFd6 & !ram/BACTr + !ram/RS_FSM_FFd6 & !BACTr # A_FSB<22> & RefReq & !ram/RefDone & !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd5 & - !ram/RS_FSM_FFd6 & !ram/BACTr & fsb/ASrf + !ram/RS_FSM_FFd6 & !BACTr & fsb/ASrf MACROCELL | 4 | 14 | RA_6_OBUF ATTRIBUTES | 264962 | 0 OUTPUTMC | 1 | 4 | 15 INPUTS | 17 | iobs/Sent | IONPReady | iobs/IODONEr | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<13> | nWE_FSB | A_FSB<14> | RA_2_OBUF.EXP -INPUTMC | 5 | 2 | 15 | 4 | 15 | 1 | 5 | 3 | 9 | 4 | 13 +INPUTMC | 5 | 7 | 17 | 4 | 15 | 1 | 8 | 2 | 17 | 4 | 13 INPUTP | 12 | 54 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 18 | 47 | 19 EXPORTS | 1 | 4 | 15 IMPORTS | 1 | 4 | 13 @@ -2090,7 +1931,7 @@ EQ | 12 | MACROCELL | 6 | 4 | RA_7_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 3 | A_FSB<14> | ram/RASEL | A_FSB<6> -INPUTMC | 1 | 2 | 6 +INPUTMC | 1 | 7 | 8 INPUTP | 2 | 19 | 6 EQ | 2 | RA<7> = A_FSB<14> & !ram/RASEL @@ -2099,7 +1940,7 @@ EQ | 2 | MACROCELL | 6 | 7 | RA_8_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 3 | A_FSB<18> | ram/RASEL | A_FSB<21> -INPUTMC | 1 | 2 | 6 +INPUTMC | 1 | 7 | 8 INPUTP | 2 | 24 | 29 EQ | 2 | RA<8> = A_FSB<21> & ram/RASEL @@ -2108,7 +1949,7 @@ EQ | 2 | MACROCELL | 6 | 10 | RA_9_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 3 | A_FSB<15> | ram/RASEL | A_FSB<8> -INPUTMC | 1 | 2 | 6 +INPUTMC | 1 | 7 | 8 INPUTP | 2 | 21 | 11 EQ | 2 | RA<9> = A_FSB<15> & !ram/RASEL @@ -2117,36 +1958,40 @@ EQ | 2 | MACROCELL | 2 | 16 | nROMWE_OBUF ATTRIBUTES | 264962 | 0 OUTPUTMC | 1 | 2 | 15 -INPUTS | 15 | nWE_FSB | nAS_FSB | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<13> | iobs/Sent | nADoutLE1 | fsb/ASrf | A_FSB<14> -INPUTMC | 3 | 2 | 15 | 5 | 13 | 3 | 9 -INPUTP | 12 | 47 | 54 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 18 | 19 +INPUTS | 19 | nWE_FSB | nAS_FSB | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<14> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<9> | fsb/ASrf | A_FSB<8> +INPUTMC | 1 | 2 | 17 +INPUTP | 18 | 47 | 54 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 21 | 18 | 19 | 17 | 15 | 13 | 12 | 11 EXPORTS | 1 | 2 | 15 -EQ | 13 | +EQ | 17 | !nROMWE = !nWE_FSB & !nAS_FSB; nROMWE_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & - !iobs/Sent & !nWE_FSB & !nAS_FSB & nADoutLE1 + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & A_FSB<14> & A_FSB<12> & A_FSB<11> & A_FSB<10> & + !nWE_FSB & A_FSB<9> & fsb/ASrf # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & - !iobs/Sent & !nWE_FSB & fsb/ASrf & nADoutLE1 + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & A_FSB<14> & A_FSB<12> & A_FSB<11> & A_FSB<10> & + !nWE_FSB & A_FSB<8> & fsb/ASrf # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & - !iobs/Sent & !nWE_FSB & !nAS_FSB & nADoutLE1 + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & !A_FSB<14> & !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & + !nWE_FSB & A_FSB<9> & fsb/ASrf # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & - !iobs/Sent & !nWE_FSB & fsb/ASrf & nADoutLE1 + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & !A_FSB<14> & !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & + !nWE_FSB & A_FSB<8> & fsb/ASrf MACROCELL | 5 | 14 | nADoutLE0_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 2 | ALE0M | ALE0S -INPUTMC | 2 | 5 | 15 | 3 | 12 +INPUTMC | 2 | 5 | 15 | 7 | 12 EQ | 1 | nADoutLE0 = !ALE0M & !ALE0S; MACROCELL | 4 | 4 | nCAS_OBUF ATTRIBUTES | 8684290 | 0 INPUTS | 9 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd1 | ram/DTACKr | ram/RS_FSM_FFd5 | RefUrg | ram/RS_FSM_FFd7 | EXP17_.EXP | nOE_OBUF.EXP -INPUTMC | 9 | 0 | 1 | 0 | 0 | 0 | 2 | 1 | 4 | 2 | 4 | 0 | 11 | 0 | 17 | 4 | 3 | 4 | 5 +INPUTMC | 9 | 0 | 2 | 0 | 1 | 0 | 3 | 1 | 7 | 0 | 10 | 0 | 15 | 7 | 3 | 4 | 3 | 4 | 5 IMPORTS | 2 | 4 | 3 | 4 | 5 EQ | 21 | nCAS.D = ram/RS_FSM_FFd1 @@ -2161,7 +2006,7 @@ EQ | 21 | # ram/RefDone & ram/RS_FSM_FFd7 # !RefUrg & !RefReq & ram/RS_FSM_FFd8 ;Imported pterms FB5_6 - # !RefUrg & ram/RS_FSM_FFd8 & ram/BACTr + # !RefUrg & ram/RS_FSM_FFd8 & BACTr # !A_FSB<23> & !A_FSB<22> & !RefUrg & ram/RS_FSM_FFd8 # !RefUrg & nAS_FSB & ram/RS_FSM_FFd8 & !fsb/ASrf @@ -2176,7 +2021,7 @@ MACROCELL | 5 | 16 | nDinLE_OBUF ATTRIBUTES | 8684290 | 0 OUTPUTMC | 1 | 5 | 17 INPUTS | 10 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | IOBERR | IODONE | iobm/IOS_FSM_FFd7 | iobm/IOWRREQr | nAoutOE | IOACT | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 -INPUTMC | 10 | 5 | 3 | 1 | 10 | 1 | 17 | 5 | 9 | 5 | 2 | 1 | 8 | 3 | 1 | 5 | 17 | 1 | 11 | 1 | 16 +INPUTMC | 10 | 5 | 3 | 1 | 13 | 0 | 16 | 5 | 9 | 5 | 2 | 1 | 11 | 3 | 1 | 5 | 17 | 1 | 14 | 1 | 17 EXPORTS | 1 | 5 | 17 EQ | 6 | !nDinLE.D = !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4; @@ -2190,8 +2035,8 @@ GLOBALS | 1 | 2 | C16M MACROCELL | 4 | 5 | nOE_OBUF ATTRIBUTES | 8684290 | 0 OUTPUTMC | 1 | 4 | 4 -INPUTS | 9 | RefUrg | ram/RS_FSM_FFd8 | ram/BACTr | A_FSB<23> | A_FSB<22> | nAS_FSB | fsb/ASrf | ram/RASEN | EXP18_.EXP -INPUTMC | 6 | 0 | 11 | 4 | 0 | 3 | 6 | 3 | 9 | 4 | 9 | 4 | 6 +INPUTS | 9 | RefUrg | ram/RS_FSM_FFd8 | BACTr | A_FSB<23> | A_FSB<22> | nAS_FSB | fsb/ASrf | ram/RASEN | EXP18_.EXP +INPUTMC | 6 | 0 | 15 | 4 | 0 | 7 | 13 | 2 | 17 | 4 | 9 | 4 | 6 INPUTP | 3 | 36 | 30 | 54 EXPORTS | 1 | 4 | 4 IMPORTS | 1 | 4 | 6 @@ -2199,9 +2044,9 @@ EQ | 13 | nOE.D = ;Imported pterms FB5_7 !nWE_FSB # nAS_FSB & !fsb/ASrf - # ram/DTACKr & ram/BACTr; + # ram/DTACKr & BACTr; nOE.CLK = FCLK; // GCK - nOE_OBUF.EXP = !RefUrg & ram/RS_FSM_FFd8 & ram/BACTr + nOE_OBUF.EXP = !RefUrg & ram/RS_FSM_FFd8 & BACTr # !A_FSB<23> & !A_FSB<22> & !RefUrg & ram/RS_FSM_FFd8 # !RefUrg & nAS_FSB & ram/RS_FSM_FFd8 & !fsb/ASrf @@ -2213,25 +2058,95 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 3 | 7 | N0 ATTRIBUTES | 265986 | 0 -INPUTS | 1 | nRESout -INPUTMC | 1 | 3 | 8 -EQ | 2 | +OUTPUTMC | 1 | 3 | 8 +INPUTS | 11 | nRESout | iobs/IOL1 | IOL0 | nADoutLE1 | nAS_FSB | iobs/TS_FSM_FFd2 | fsb/ASrf | A_FSB<23> | A_FSB<22> | A_FSB<20> | nWE_FSB +INPUTMC | 6 | 7 | 16 | 0 | 12 | 3 | 8 | 5 | 13 | 3 | 15 | 2 | 17 +INPUTP | 5 | 54 | 36 | 30 | 28 | 47 +EXPORTS | 1 | 3 | 8 +EQ | 9 | nRES = Gnd; nRES.OE = !nRESout; + N0.EXP = !iobs/IOL1 & !IOL0 & !nADoutLE1 + # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & + nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<20> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & nWE_FSB & + !iobs/TS_FSM_FFd2 & nADoutLE1 MACROCELL | 7 | 1 | RA_11_OBUF$BUF0 ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 7 | 0 -INPUTS | 12 | A_FSB<23> | A_FSB<22> | A_FSB<19> | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<21> | A_FSB<20> | cs/nOverlay | iobs/TS_FSM_FFd2.EXP -INPUTMC | 4 | 7 | 2 | 5 | 13 | 2 | 7 | 7 | 2 -INPUTP | 8 | 36 | 30 | 26 | 24 | 23 | 22 | 29 | 28 -EXPORTS | 1 | 7 | 0 -IMPORTS | 1 | 7 | 2 -EQ | 13 | - RA<11> = ;Imported pterms FB8_3 - A_FSB<20> & ram/RASEL +OUTPUTMC | 1 | 7 | 2 +INPUTS | 10 | A_FSB<19> | ram/RASEL | A_FSB<20> | iobs/IORW1 | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<23> | A_FSB<22> | nAS_FSB | fsb/ASrf +INPUTMC | 5 | 7 | 8 | 3 | 16 | 3 | 15 | 5 | 13 | 2 | 17 +INPUTP | 5 | 26 | 28 | 36 | 30 | 54 +EXPORTS | 1 | 7 | 2 +EQ | 7 | + RA<11> = A_FSB<20> & ram/RASEL # A_FSB<19> & !ram/RASEL; - RA_11_OBUF$BUF0.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<19> & + RA_11_OBUF$BUF0.EXP = !iobs/IORW1 & !iobs/TS_FSM_FFd2 & !nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !iobs/TS_FSM_FFd2 & + nADoutLE1 + # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & + nADoutLE1 + +MACROCELL | 5 | 13 | nADoutLE1_OBUF +ATTRIBUTES | 8815366 | 0 +OUTPUTMC | 26 | 7 | 17 | 3 | 16 | 5 | 13 | 3 | 15 | 7 | 6 | 3 | 8 | 3 | 3 | 7 | 2 | 3 | 12 | 2 | 9 | 3 | 0 | 3 | 1 | 3 | 2 | 3 | 4 | 3 | 7 | 3 | 9 | 3 | 10 | 3 | 11 | 3 | 13 | 3 | 14 | 3 | 17 | 7 | 0 | 7 | 1 | 7 | 3 | 7 | 7 | 7 | 16 +INPUTS | 3 | iobs/Clear1 | nADoutLE1 | iobs/Load1 +INPUTMC | 3 | 7 | 15 | 5 | 13 | 7 | 6 +EQ | 3 | + !nADoutLE1.D = iobs/Load1 + # !iobs/Clear1 & !nADoutLE1; + nADoutLE1.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 3 | 1 | nAoutOE_OBUF +ATTRIBUTES | 8815366 | 0 +OUTPUTMC | 13 | 5 | 1 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 2 | 5 | 0 | 5 | 17 | 5 | 15 | 5 | 12 | 3 | 1 | 3 | 5 | 5 | 16 | 3 | 2 +INPUTS | 10 | nBR_IOB | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | nAoutOE | A_FSB<23> | A_FSB<22> | A_FSB<13> | A_FSB<14> | iobs/TS_FSM_FFd2 | nADoutLE1 +INPUTMC | 6 | 7 | 14 | 0 | 7 | 0 | 14 | 3 | 1 | 3 | 15 | 5 | 13 +INPUTP | 4 | 36 | 30 | 18 | 19 +EXPORTS | 1 | 3 | 2 +EQ | 5 | + !nAoutOE.D = !nBR_IOB & cnt/IS_FSM_FFd1 & cnt/IS_FSM_FFd2 + # cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & !nAoutOE; + nAoutOE.CLK = FCLK; // GCK + nAoutOE_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<13> & !A_FSB<14> & + !iobs/TS_FSM_FFd2 & nADoutLE1 +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 3 | 5 | nDinOE_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 3 | 4 +INPUTS | 12 | A_FSB<23> | cs/nOverlay | nWE_FSB | nAS_FSB | A_FSB<22> | A_FSB<20> | A_FSB<21> | iobm/DoutOE | nAoutOE | iobm/IORDREQr | iobm/IOS0 | iobm/IOWRREQr +INPUTMC | 6 | 3 | 0 | 5 | 7 | 3 | 1 | 1 | 15 | 5 | 12 | 1 | 11 +INPUTP | 6 | 36 | 47 | 54 | 30 | 28 | 29 +EXPORTS | 1 | 3 | 4 +EQ | 8 | + !nDinOE = A_FSB<23> & cs/nOverlay & nWE_FSB & !nAS_FSB + # A_FSB<22> & A_FSB<21> & cs/nOverlay & nWE_FSB & + !nAS_FSB + # A_FSB<22> & A_FSB<20> & cs/nOverlay & nWE_FSB & + !nAS_FSB; + nDinOE_OBUF.EXP = iobm/DoutOE & !nAoutOE + # !iobm/IORDREQr & iobm/IOS0 & !iobm/IOWRREQr & + !nAoutOE + +MACROCELL | 3 | 4 | nDoutOE_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 3 | 3 +INPUTS | 12 | A_FSB<23> | A_FSB<22> | A_FSB<19> | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<21> | A_FSB<20> | cs/nOverlay | nDinOE_OBUF.EXP +INPUTMC | 4 | 3 | 15 | 5 | 13 | 3 | 0 | 3 | 5 +INPUTP | 8 | 36 | 30 | 26 | 24 | 23 | 22 | 29 | 28 +EXPORTS | 1 | 3 | 3 +IMPORTS | 1 | 3 | 5 +EQ | 14 | + !nDoutOE = ;Imported pterms FB4_6 + iobm/DoutOE & !nAoutOE + # !iobm/IORDREQr & iobm/IOS0 & !iobm/IOWRREQr & + !nAoutOE; + nDoutOE_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<19> & !iobs/TS_FSM_FFd2 & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & !A_FSB<18> & !iobs/TS_FSM_FFd2 & nADoutLE1 @@ -2242,80 +2157,11 @@ EQ | 13 | # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & !iobs/TS_FSM_FFd2 & nADoutLE1 -MACROCELL | 5 | 13 | nADoutLE1_OBUF -ATTRIBUTES | 8815366 | 0 -OUTPUTMC | 24 | 2 | 15 | 7 | 16 | 2 | 7 | 7 | 2 | 2 | 11 | 7 | 0 | 7 | 13 | 2 | 13 | 7 | 8 | 5 | 13 | 2 | 10 | 2 | 12 | 2 | 14 | 2 | 16 | 7 | 1 | 7 | 3 | 7 | 4 | 7 | 7 | 7 | 9 | 7 | 11 | 7 | 12 | 7 | 14 | 7 | 15 | 7 | 17 -INPUTS | 3 | iobs/Clear1 | nADoutLE1 | iobs/Load1 -INPUTMC | 3 | 2 | 12 | 5 | 13 | 2 | 11 -EQ | 3 | - !nADoutLE1.D = iobs/Load1 - # !iobs/Clear1 & !nADoutLE1; - nADoutLE1.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 3 | 1 | nAoutOE_OBUF -ATTRIBUTES | 8815366 | 0 -OUTPUTMC | 13 | 5 | 1 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 2 | 5 | 0 | 5 | 17 | 5 | 15 | 5 | 12 | 3 | 4 | 3 | 2 | 5 | 16 | 3 | 0 -INPUTS | 23 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<14> | A_FSB<11> | A_FSB<10> | nWE_FSB | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | A_FSB<9> | fsb/ASrf | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | A_FSB<8> | nAS_FSB | EXP13_.EXP -INPUTMC | 5 | 7 | 15 | 0 | 10 | 3 | 9 | 6 | 17 | 3 | 2 -INPUTP | 18 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 21 | 18 | 17 | 19 | 15 | 13 | 47 | 12 | 11 | 54 -EXPORTS | 1 | 3 | 0 -IMPORTS | 1 | 3 | 2 -EQ | 29 | - !nAoutOE.D = ;Imported pterms FB4_3 - !nBR_IOB & cnt/IS_FSM_FFd1 & cnt/IS_FSM_FFd2 - # cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & !nAoutOE; - nAoutOE.CLK = FCLK; // GCK - nAoutOE_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & - fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & - fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & - A_FSB<9> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & - fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & - fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 3 | 5 | nDinOE_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 6 | A_FSB<23> | nWE_FSB | nAS_FSB | A_FSB<22> | A_FSB<20> | A_FSB<21> -INPUTP | 6 | 36 | 47 | 54 | 30 | 28 | 29 -EQ | 3 | - !nDinOE = A_FSB<23> & nWE_FSB & !nAS_FSB - # A_FSB<22> & A_FSB<21> & nWE_FSB & !nAS_FSB - # A_FSB<22> & A_FSB<20> & nWE_FSB & !nAS_FSB; - -MACROCELL | 3 | 4 | nDoutOE_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 5 | iobm/DoutOE | nAoutOE | iobm/IORDREQr | iobm/IOS0 | iobm/IOWRREQr -INPUTMC | 5 | 5 | 7 | 3 | 1 | 1 | 12 | 5 | 12 | 1 | 8 -EQ | 3 | - !nDoutOE = iobm/DoutOE & !nAoutOE - # !iobm/IORDREQr & iobm/IOS0 & !iobm/IOWRREQr & - !nAoutOE; - MACROCELL | 7 | 5 | nRAMLWE_OBUF ATTRIBUTES | 264962 | 0 OUTPUTMC | 1 | 7 | 4 INPUTS | 10 | nWE_FSB | nLDS_FSB | ram/RASEL | ram/RASrf | ram/RASrr | A_FSB<23> | A_FSB<22> | cs/nOverlay | nAS_FSB | ram/RASEN -INPUTMC | 5 | 2 | 6 | 1 | 3 | 4 | 17 | 2 | 7 | 4 | 9 +INPUTMC | 5 | 7 | 8 | 0 | 4 | 4 | 17 | 3 | 0 | 4 | 9 INPUTP | 5 | 47 | 49 | 36 | 30 | 54 EXPORTS | 1 | 7 | 4 EQ | 5 | @@ -2327,16 +2173,111 @@ EQ | 5 | MACROCELL | 7 | 7 | nRAMUWE_OBUF ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 7 | 8 -INPUTS | 12 | A_FSB<22> | A_FSB<21> | iobs/Sent | nWE_FSB | nAS_FSB | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | nADoutLE1 | fsb/ASrf | A_FSB<20> | cs/nOverlay | EXP21_.EXP -INPUTMC | 7 | 2 | 15 | 2 | 5 | 7 | 2 | 5 | 13 | 3 | 9 | 2 | 7 | 7 | 6 -INPUTP | 5 | 30 | 29 | 47 | 54 | 28 -EXPORTS | 1 | 7 | 8 -IMPORTS | 1 | 7 | 6 +OUTPUTMC | 1 | 7 | 6 +INPUTS | 18 | nWE_FSB | nUDS_FSB | ram/RASEL | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<13> | iobs/Sent | nAS_FSB | iobs/TS_FSM_FFd1 | nADoutLE1 | fsb/ASrf | A_FSB<14> +INPUTMC | 5 | 7 | 8 | 7 | 17 | 7 | 9 | 5 | 13 | 2 | 17 +INPUTP | 13 | 47 | 56 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 18 | 54 | 19 +EXPORTS | 1 | 7 | 6 +EQ | 13 | + !nRAMUWE = !nWE_FSB & !nUDS_FSB & ram/RASEL; + nRAMUWE_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & + !iobs/Sent & !nWE_FSB & !nAS_FSB & iobs/TS_FSM_FFd1 & + nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & + !iobs/Sent & !nWE_FSB & iobs/TS_FSM_FFd1 & fsb/ASrf & + nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + !iobs/Sent & !nWE_FSB & iobs/TS_FSM_FFd1 & fsb/ASrf & + nADoutLE1 + +MACROCELL | 4 | 1 | nROMCS_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 4 | 0 +INPUTS | 12 | A_FSB<23> | RefReq | ram/RefDone | nAS_FSB | ram/RS_FSM_FFd4 | ram/RS_FSM_FFd1 | BACTr | fsb/ASrf | A_FSB<22> | cs/nOverlay | ram/RASEN | EXP16_.EXP +INPUTMC | 9 | 6 | 14 | 0 | 9 | 0 | 0 | 0 | 3 | 7 | 13 | 2 | 17 | 3 | 0 | 4 | 9 | 4 | 2 +INPUTP | 3 | 36 | 54 | 30 +EXPORTS | 1 | 4 | 0 +IMPORTS | 1 | 4 | 2 +EQ | 13 | + !nROMCS = ;Imported pterms FB5_3 + !cs/nOverlay + # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20>; + nROMCS_OBUF.EXP = A_FSB<23> & RefReq & !ram/RefDone & !nAS_FSB & + !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !BACTr + # A_FSB<23> & RefReq & !ram/RefDone & + !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !BACTr & fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & + !ram/RS_FSM_FFd4 & ram/RASEN & !ram/RS_FSM_FFd1 & fsb/ASrf + # A_FSB<22> & RefReq & !ram/RefDone & !nAS_FSB & + !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !BACTr + # A_FSB<22> & RefReq & !ram/RefDone & + !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !BACTr & fsb/ASrf + +MACROCELL | 6 | 11 | C25MEN_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 0 +EQ | 1 | + C25MEN = Vcc; + +MACROCELL | 3 | 2 | EXP10_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 3 | 3 +INPUTS | 12 | iobs/IOU1 | IOU0 | nADoutLE1 | nAS_FSB | iobs/TS_FSM_FFd2 | fsb/ASrf | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | nWE_FSB | nAoutOE_OBUF.EXP +INPUTMC | 6 | 0 | 11 | 3 | 3 | 5 | 13 | 3 | 15 | 2 | 17 | 3 | 1 +INPUTP | 6 | 54 | 36 | 30 | 29 | 28 | 47 +EXPORTS | 1 | 3 | 3 +IMPORTS | 1 | 3 | 1 EQ | 12 | - !nRAMUWE = ;Imported pterms FB8_7 - !nWE_FSB & !nUDS_FSB & ram/RASEL; - nRAMUWE_OBUF.EXP = A_FSB<22> & A_FSB<21> & !iobs/Sent & !nWE_FSB & + EXP10_.EXP = !iobs/IOU1 & !IOU0 & !nADoutLE1 + # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & + nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<20> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & nWE_FSB & + !iobs/TS_FSM_FFd2 & nADoutLE1 +;Imported pterms FB4_2 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<13> & !A_FSB<14> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + +MACROCELL | 3 | 9 | EXP11_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 3 | 8 +INPUTS | 10 | A_FSB<23> | A_FSB<22> | A_FSB<21> | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nVPA_FSB_OBUF.EXP +INPUTMC | 3 | 3 | 15 | 5 | 13 | 3 | 10 +INPUTP | 7 | 36 | 30 | 29 | 26 | 24 | 23 | 22 +EXPORTS | 1 | 3 | 8 +IMPORTS | 1 | 3 | 10 +EQ | 15 | + EXP11_.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<19> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<18> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<17> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<16> & + !iobs/TS_FSM_FFd2 & nADoutLE1 +;Imported pterms FB4_11 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<13> & !A_FSB<14> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & + !iobs/TS_FSM_FFd2 & nADoutLE1 + +MACROCELL | 3 | 11 | EXP12_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 3 | 12 +INPUTS | 11 | A_FSB<22> | A_FSB<21> | iobs/Sent | nWE_FSB | nAS_FSB | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | nADoutLE1 | fsb/ASrf | A_FSB<20> | cs/nOverlay +INPUTMC | 6 | 7 | 17 | 7 | 9 | 3 | 15 | 5 | 13 | 2 | 17 | 3 | 0 +INPUTP | 5 | 30 | 29 | 47 | 54 | 28 +EXPORTS | 1 | 3 | 12 +EQ | 10 | + EXP12_.EXP = A_FSB<22> & A_FSB<21> & !iobs/Sent & !nWE_FSB & !nAS_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 # A_FSB<22> & A_FSB<21> & !iobs/Sent & !nWE_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 @@ -2347,323 +2288,99 @@ EQ | 12 | # A_FSB<22> & !iobs/Sent & !cs/nOverlay & !nWE_FSB & !nAS_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 -MACROCELL | 4 | 1 | nROMCS_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 4 | 0 -INPUTS | 12 | A_FSB<23> | RefReq | ram/RefDone | nAS_FSB | ram/RS_FSM_FFd4 | ram/RS_FSM_FFd1 | ram/BACTr | fsb/ASrf | A_FSB<22> | cs/nOverlay | ram/RASEN | EXP16_.EXP -INPUTMC | 9 | 0 | 12 | 0 | 5 | 2 | 17 | 0 | 2 | 3 | 6 | 3 | 9 | 2 | 7 | 4 | 9 | 4 | 2 -INPUTP | 3 | 36 | 54 | 30 -EXPORTS | 1 | 4 | 0 -IMPORTS | 1 | 4 | 2 -EQ | 13 | - !nROMCS = ;Imported pterms FB5_3 - !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> - # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & !cs/nOverlay; - nROMCS_OBUF.EXP = A_FSB<23> & RefReq & !ram/RefDone & !nAS_FSB & - !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/BACTr - # A_FSB<23> & RefReq & !ram/RefDone & - !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & - !ram/RS_FSM_FFd4 & ram/RASEN & !ram/RS_FSM_FFd1 & fsb/ASrf - # A_FSB<22> & RefReq & !ram/RefDone & !nAS_FSB & - !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/BACTr - # A_FSB<22> & RefReq & !ram/RefDone & - !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - -MACROCELL | 6 | 11 | C25MEN_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 0 -EQ | 1 | - C25MEN = Vcc; - -MACROCELL | 6 | 17 | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 -ATTRIBUTES | 133888 | 0 -OUTPUTMC | 7 | 3 | 17 | 3 | 15 | 3 | 0 | 3 | 1 | 3 | 13 | 3 | 14 | 3 | 16 -INPUTS | 37 | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<10> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/LTimer<9> | cnt/LTimer<11> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<14> | A_FSB<11> | A_FSB<10> | nWE_FSB | nAS_FSB | A_FSB<8> | A_FSB<9> | cnt/LTimer<9>.EXP | cnt/LTimer<10>.EXP -INPUTMC | 19 | 0 | 8 | 0 | 4 | 0 | 3 | 3 | 17 | 3 | 15 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 7 | 15 | 0 | 10 | 6 | 0 | 6 | 16 -INPUTP | 18 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 21 | 18 | 17 | 19 | 15 | 13 | 47 | 54 | 11 | 12 -IMPORTS | 2 | 6 | 0 | 6 | 16 -EQ | 47 | - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1> - # !cnt/LTimer<0> & !cnt/LTimer<1> & !cnt/LTimer<10> & - !cnt/LTimer<2> & !cnt/LTimer<3> & !cnt/LTimer<4> & !cnt/LTimer<5> & - !cnt/LTimer<6> & !cnt/LTimer<7> & !cnt/LTimer<8> & !cnt/LTimer<9> & - !cnt/LTimer<11> & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & - !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & - A_FSB<9> - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & - !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & - A_FSB<8> - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & - A_FSB<8> -;Imported pterms FB7_1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & - fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & - fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & - fsb/ASrf -;Imported pterms FB7_17 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & - fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & - A_FSB<9>; - -MACROCELL | 3 | 13 | $OpTx$$OpTx$FX_DC$350_INV$537 -ATTRIBUTES | 133888 | 0 -OUTPUTMC | 5 | 2 | 1 | 2 | 0 | 2 | 2 | 2 | 17 | 3 | 14 -INPUTS | 22 | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<14> | A_FSB<11> | A_FSB<10> | nWE_FSB | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | A_FSB<9> | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | A_FSB<8> -INPUTMC | 4 | 3 | 9 | 7 | 15 | 0 | 10 | 6 | 17 -INPUTP | 18 | 54 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 21 | 18 | 17 | 19 | 15 | 13 | 47 | 12 | 11 -EXPORTS | 1 | 3 | 14 -EQ | 16 | - $OpTx$$OpTx$FX_DC$350_INV$537 = nAS_FSB & !fsb/ASrf; - $OpTx$$OpTx$FX_DC$350_INV$537.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & - fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & - fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & - fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - -MACROCELL | 2 | 0 | EXP10_ +MACROCELL | 3 | 13 | EXP13_ ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 1 -INPUTS | 8 | A_FSB<22> | A_FSB<21> | $OpTx$$OpTx$FX_DC$350_INV$537 | A_FSB<19> | A_FSB<18> | A_FSB<15> | A_FSB<12> | ram/RS_FSM_FFd4.EXP -INPUTMC | 2 | 3 | 13 | 2 | 17 -INPUTP | 6 | 30 | 29 | 26 | 24 | 21 | 17 -EXPORTS | 1 | 2 | 1 -IMPORTS | 1 | 2 | 17 -EQ | 19 | - EXP10_.EXP = A_FSB<22> & A_FSB<21> & - !$OpTx$$OpTx$FX_DC$350_INV$537 - # A_FSB<22> & A_FSB<19> & - !$OpTx$$OpTx$FX_DC$350_INV$537 - # A_FSB<22> & A_FSB<18> & - !$OpTx$$OpTx$FX_DC$350_INV$537 - # A_FSB<22> & A_FSB<15> & - !$OpTx$$OpTx$FX_DC$350_INV$537 - # A_FSB<22> & A_FSB<12> & - !$OpTx$$OpTx$FX_DC$350_INV$537 -;Imported pterms FB3_18 - # A_FSB<22> & !A_FSB<11> & - !$OpTx$$OpTx$FX_DC$350_INV$537 - # A_FSB<22> & !A_FSB<10> & - !$OpTx$$OpTx$FX_DC$350_INV$537 - # A_FSB<22> & A_FSB<9> & !A_FSB<8> & - !$OpTx$$OpTx$FX_DC$350_INV$537 - # cnt/WS<0> & cnt/WS<1> & cnt/WS<2> & cnt/WS<3> & - !$OpTx$$OpTx$FX_DC$350_INV$537 - -MACROCELL | 2 | 13 | EXP11_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 14 -INPUTS | 9 | iobs/TS_FSM_FFd1 | iobs/IOACTr | iobs/TS_FSM_FFd2 | IORDREQ | iobs/Sent | nADoutLE1 | nWE_FSB | iobs/IORW1 | iobs/Clear1.EXP -INPUTMC | 8 | 2 | 5 | 1 | 6 | 7 | 2 | 2 | 14 | 2 | 15 | 5 | 13 | 7 | 16 | 2 | 12 -INPUTP | 1 | 47 -EXPORTS | 1 | 2 | 14 -IMPORTS | 1 | 2 | 12 -EQ | 12 | - EXP11_.EXP = iobs/TS_FSM_FFd1 & iobs/IOACTr - # iobs/TS_FSM_FFd2 & !IORDREQ - # iobs/Sent & !iobs/TS_FSM_FFd2 & nADoutLE1 - # !nWE_FSB & !iobs/TS_FSM_FFd2 & nADoutLE1 - # !iobs/IORW1 & !iobs/TS_FSM_FFd2 & !nADoutLE1 -;Imported pterms FB3_13 - # !A_FSB<23> & !A_FSB<22> & !iobs/TS_FSM_FFd2 & - nADoutLE1 - # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & - nADoutLE1 - # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & - !iobs/TS_FSM_FFd2 & nADoutLE1 - -MACROCELL | 3 | 0 | EXP12_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 3 | 17 -INPUTS | 26 | cnt/LTimer<0> | cnt/LTimer<10> | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | cnt/LTimer<8> | cnt/LTimer<9> | cnt/LTimer<11> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<14> | A_FSB<11> | A_FSB<10> | nWE_FSB | nAS_FSB | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | A_FSB<8> | nAoutOE_OBUF.EXP -INPUTMC | 9 | 3 | 17 | 6 | 16 | 6 | 17 | 6 | 2 | 6 | 0 | 6 | 15 | 7 | 15 | 0 | 10 | 3 | 1 -INPUTP | 17 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 21 | 18 | 17 | 19 | 15 | 13 | 47 | 54 | 11 -EXPORTS | 1 | 3 | 17 -IMPORTS | 1 | 3 | 1 -EQ | 39 | - EXP12_.EXP = !cnt/LTimer<0> & cnt/LTimer<10> & - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !cnt/LTimer<0> & cnt/LTimer<8> & - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !cnt/LTimer<0> & cnt/LTimer<9> & - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !cnt/LTimer<0> & cnt/LTimer<11> & - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & - !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & - A_FSB<8> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 -;Imported pterms FB4_2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & - fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & - fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & - A_FSB<9> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & - fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & - fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - -MACROCELL | 3 | 2 | EXP13_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 3 | 1 -INPUTS | 4 | nBR_IOB | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | nAoutOE -INPUTMC | 4 | 7 | 14 | 7 | 15 | 0 | 10 | 3 | 1 -EXPORTS | 1 | 3 | 1 -EQ | 2 | - EXP13_.EXP = !nBR_IOB & cnt/IS_FSM_FFd1 & cnt/IS_FSM_FFd2 - # cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & !nAoutOE +OUTPUTMC | 1 | 3 | 12 +INPUTS | 17 | A_FSB<22> | iobs/Sent | cs/nOverlay | nWE_FSB | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | fsb/ASrf | nADoutLE1 | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<13> | nAS_FSB | A_FSB<14> +INPUTMC | 6 | 7 | 17 | 3 | 0 | 7 | 9 | 3 | 15 | 2 | 17 | 5 | 13 +INPUTP | 11 | 30 | 47 | 29 | 28 | 26 | 24 | 23 | 22 | 18 | 54 | 19 +EXPORTS | 1 | 3 | 12 +EQ | 14 | + EXP13_.EXP = A_FSB<22> & !iobs/Sent & !cs/nOverlay & !nWE_FSB & + !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 + # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & A_FSB<13> & !iobs/Sent & !nWE_FSB & + !nAS_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 + # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & A_FSB<13> & !iobs/Sent & !nWE_FSB & + !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 + # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & A_FSB<14> & !iobs/Sent & !nWE_FSB & + !nAS_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 + # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & A_FSB<14> & !iobs/Sent & !nWE_FSB & + !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 MACROCELL | 3 | 14 | EXP14_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 3 | 15 -INPUTS | 23 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<14> | A_FSB<11> | A_FSB<10> | nWE_FSB | nAS_FSB | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | A_FSB<9> | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | A_FSB<8> | fsb/ASrf | $OpTx$$OpTx$FX_DC$350_INV$537.EXP -INPUTMC | 5 | 7 | 15 | 0 | 10 | 6 | 17 | 3 | 9 | 3 | 13 -INPUTP | 18 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 21 | 18 | 17 | 19 | 15 | 13 | 47 | 54 | 12 | 11 +INPUTS | 9 | A_FSB<23> | A_FSB<22> | A_FSB<21> | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<20> | A_FSB<18> | A_FSB<17> | A_FSB<16> +INPUTMC | 2 | 3 | 15 | 5 | 13 +INPUTP | 7 | 36 | 30 | 29 | 28 | 24 | 23 | 22 EXPORTS | 1 | 3 | 15 -IMPORTS | 1 | 3 | 13 -EQ | 41 | - EXP14_.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & - !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & - A_FSB<9> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & - !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & - A_FSB<8> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & - fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & - A_FSB<9> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & - A_FSB<8> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 -;Imported pterms FB4_14 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & - fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & - fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & - fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 +EQ | 10 | + EXP14_.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<20> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<18> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<17> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<16> & + !iobs/TS_FSM_FFd2 & nADoutLE1 -MACROCELL | 3 | 16 | EXP15_ +MACROCELL | 3 | 17 | EXP15_ ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 3 | 17 -INPUTS | 8 | cnt/LTimer<0> | cnt/LTimer<3> | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<1>.EXP -INPUTMC | 8 | 3 | 17 | 6 | 13 | 6 | 17 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 3 | 15 -EXPORTS | 1 | 3 | 17 -IMPORTS | 1 | 3 | 15 -EQ | 21 | - EXP15_.EXP = !cnt/LTimer<0> & cnt/LTimer<3> & - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !cnt/LTimer<0> & cnt/LTimer<4> & - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !cnt/LTimer<0> & cnt/LTimer<5> & - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !cnt/LTimer<0> & cnt/LTimer<6> & - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !cnt/LTimer<0> & cnt/LTimer<7> & - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 -;Imported pterms FB4_16 +OUTPUTMC | 1 | 3 | 16 +INPUTS | 19 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<13> | iobs/Sent | nWE_FSB | iobs/IORW1 | nAS_FSB | iobs/TS_FSM_FFd1 | nADoutLE1 | iobs/TS_FSM_FFd2 | fsb/ASrf | A_FSB<14> | cs/nOverlay.EXP +INPUTMC | 7 | 7 | 17 | 3 | 16 | 7 | 9 | 5 | 13 | 3 | 15 | 2 | 17 | 3 | 0 +INPUTP | 12 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 18 | 47 | 54 | 19 +EXPORTS | 1 | 3 | 16 +IMPORTS | 1 | 3 | 0 +EQ | 25 | + EXP15_.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & + !iobs/Sent & !nWE_FSB & iobs/IORW1 & !nAS_FSB & + iobs/TS_FSM_FFd1 & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & - !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & - A_FSB<9> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & + !iobs/Sent & !nWE_FSB & iobs/IORW1 & iobs/TS_FSM_FFd2 & + fsb/ASrf & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & - A_FSB<8> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + !iobs/Sent & !nWE_FSB & iobs/IORW1 & !nAS_FSB & + iobs/TS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + !iobs/Sent & !nWE_FSB & iobs/IORW1 & iobs/TS_FSM_FFd1 & + fsb/ASrf & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + !iobs/Sent & !nWE_FSB & iobs/IORW1 & iobs/TS_FSM_FFd2 & + fsb/ASrf & nADoutLE1 +;Imported pterms FB4_1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & + !iobs/Sent & !nWE_FSB & iobs/IORW1 & iobs/TS_FSM_FFd1 & + fsb/ASrf & nADoutLE1 MACROCELL | 4 | 2 | EXP16_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 4 | 1 -INPUTS | 5 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | cs/nOverlay -INPUTMC | 1 | 2 | 7 +INPUTS | 5 | cs/nOverlay | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> +INPUTMC | 1 | 3 | 0 INPUTP | 4 | 36 | 30 | 29 | 28 EXPORTS | 1 | 4 | 1 EQ | 2 | - EXP16_.EXP = !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> - # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & !cs/nOverlay + EXP16_.EXP = !cs/nOverlay + # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> MACROCELL | 4 | 3 | EXP17_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 4 | 4 INPUTS | 6 | RefUrg | ram/RS_FSM_FFd4 | ram/RefDone | ram/RS_FSM_FFd8 | ram/RS_FSM_FFd7 | RefReq -INPUTMC | 6 | 0 | 11 | 2 | 17 | 0 | 5 | 4 | 0 | 0 | 17 | 0 | 12 +INPUTMC | 6 | 0 | 15 | 0 | 0 | 0 | 9 | 4 | 0 | 7 | 3 | 6 | 14 EXPORTS | 1 | 4 | 4 EQ | 5 | EXP17_.EXP = !RefUrg & ram/RS_FSM_FFd4 @@ -2675,20 +2392,20 @@ EQ | 5 | MACROCELL | 4 | 6 | EXP18_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 4 | 5 -INPUTS | 5 | nWE_FSB | nAS_FSB | fsb/ASrf | ram/DTACKr | ram/BACTr -INPUTMC | 3 | 3 | 9 | 1 | 4 | 3 | 6 +INPUTS | 5 | nWE_FSB | nAS_FSB | fsb/ASrf | ram/DTACKr | BACTr +INPUTMC | 3 | 2 | 17 | 1 | 7 | 7 | 13 INPUTP | 2 | 47 | 54 EXPORTS | 1 | 4 | 5 EQ | 3 | EXP18_.EXP = !nWE_FSB # nAS_FSB & !fsb/ASrf - # ram/DTACKr & ram/BACTr + # ram/DTACKr & BACTr MACROCELL | 4 | 16 | EXP19_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 4 | 17 INPUTS | 10 | A_FSB<23> | RefUrg | ram/RefDone | ram/RS_FSM_FFd8 | A_FSB<22> | ram/RASEN | nAS_FSB | fsb/ASrf | cs/nOverlay | IONPReady.EXP -INPUTMC | 7 | 0 | 11 | 0 | 5 | 4 | 0 | 4 | 9 | 3 | 9 | 2 | 7 | 4 | 15 +INPUTMC | 7 | 0 | 15 | 0 | 9 | 4 | 0 | 4 | 9 | 2 | 17 | 3 | 0 | 4 | 15 INPUTP | 3 | 36 | 30 | 54 EXPORTS | 1 | 4 | 17 IMPORTS | 1 | 4 | 15 @@ -2705,165 +2422,67 @@ EQ | 21 | ram/RS_FSM_FFd8 & ram/RASEN ;Imported pterms FB5_16 # A_FSB<23> & RefReq & !ram/RefDone & !nAS_FSB & - ram/RS_FSM_FFd8 & !ram/BACTr + ram/RS_FSM_FFd8 & !BACTr # A_FSB<23> & RefReq & !ram/RefDone & - ram/RS_FSM_FFd8 & !ram/BACTr & fsb/ASrf + ram/RS_FSM_FFd8 & !BACTr & fsb/ASrf # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & ram/RS_FSM_FFd8 & ram/RASEN & fsb/ASrf # A_FSB<22> & RefReq & !ram/RefDone & !nAS_FSB & - ram/RS_FSM_FFd8 & !ram/BACTr + ram/RS_FSM_FFd8 & !BACTr # A_FSB<22> & RefReq & !ram/RefDone & - ram/RS_FSM_FFd8 & !ram/BACTr & fsb/ASrf + ram/RS_FSM_FFd8 & !BACTr & fsb/ASrf -MACROCELL | 7 | 3 | EXP20_ +MACROCELL | 7 | 0 | EXP20_ ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 7 | 2 -INPUTS | 11 | nAS_FSB | iobs/TS_FSM_FFd2 | fsb/ASrf | nADoutLE1 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<16> | nWE_FSB | nRAS_OBUF.EXP -INPUTMC | 4 | 7 | 2 | 3 | 9 | 5 | 13 | 7 | 4 -INPUTP | 7 | 54 | 36 | 30 | 29 | 28 | 22 | 47 -EXPORTS | 1 | 7 | 2 -IMPORTS | 1 | 7 | 4 -EQ | 21 | - EXP20_.EXP = nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & - nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<20> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<16> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & nWE_FSB & - !iobs/TS_FSM_FFd2 & nADoutLE1 -;Imported pterms FB8_5 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<19> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<18> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<17> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<13> & !A_FSB<14> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & - !iobs/TS_FSM_FFd2 & nADoutLE1 - -MACROCELL | 7 | 6 | EXP21_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 7 | 7 -INPUTS | 3 | nWE_FSB | nUDS_FSB | ram/RASEL -INPUTMC | 1 | 2 | 6 -INPUTP | 2 | 47 | 56 -EXPORTS | 1 | 7 | 7 -EQ | 1 | - EXP21_.EXP = !nWE_FSB & !nUDS_FSB & ram/RASEL - -MACROCELL | 7 | 9 | EXP22_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 7 | 8 -INPUTS | 17 | A_FSB<22> | iobs/Sent | cs/nOverlay | nWE_FSB | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | fsb/ASrf | nADoutLE1 | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<13> | nAS_FSB | A_FSB<14> -INPUTMC | 6 | 2 | 15 | 2 | 7 | 2 | 5 | 7 | 2 | 3 | 9 | 5 | 13 -INPUTP | 11 | 30 | 47 | 29 | 28 | 26 | 24 | 23 | 22 | 18 | 54 | 19 -EXPORTS | 1 | 7 | 8 +OUTPUTMC | 1 | 7 | 17 +INPUTS | 18 | A_FSB<22> | iobs/Sent | cs/nOverlay | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | fsb/ASrf | nADoutLE1 | A_FSB<23> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<13> | nWE_FSB | nAS_FSB | A_FSB<14> +INPUTMC | 6 | 7 | 17 | 3 | 0 | 7 | 9 | 3 | 15 | 2 | 17 | 5 | 13 +INPUTP | 12 | 30 | 36 | 29 | 28 | 26 | 24 | 23 | 22 | 18 | 47 | 54 | 19 +EXPORTS | 1 | 7 | 17 EQ | 14 | - EXP22_.EXP = A_FSB<22> & !iobs/Sent & !cs/nOverlay & !nWE_FSB & - !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 - # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<13> & !iobs/Sent & !nWE_FSB & - !nAS_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 - # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<13> & !iobs/Sent & !nWE_FSB & - !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 - # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<14> & !iobs/Sent & !nWE_FSB & - !nAS_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 - # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<14> & !iobs/Sent & !nWE_FSB & + EXP20_.EXP = A_FSB<22> & !iobs/Sent & !cs/nOverlay & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & + !iobs/Sent & !nWE_FSB & !nAS_FSB & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & + !iobs/Sent & !nWE_FSB & fsb/ASrf & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + !iobs/Sent & !nWE_FSB & !nAS_FSB & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + !iobs/Sent & !nWE_FSB & fsb/ASrf & nADoutLE1 -MACROCELL | 7 | 10 | EXP23_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 7 | 11 -INPUTS | 2 | IOBERR | nBERR_FSB -INPUTMC | 2 | 1 | 17 | 7 | 11 -EXPORTS | 1 | 7 | 11 -EQ | 1 | - EXP23_.EXP = !IOBERR & nBERR_FSB - -MACROCELL | 7 | 12 | EXP24_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 7 | 13 -INPUTS | 12 | iobs/IOU1 | IOU0 | nADoutLE1 | nAS_FSB | iobs/TS_FSM_FFd2 | fsb/ASrf | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | nWE_FSB | nBERR_FSB_OBUF.EXP -INPUTMC | 6 | 0 | 6 | 7 | 13 | 5 | 13 | 7 | 2 | 3 | 9 | 7 | 11 -INPUTP | 6 | 54 | 36 | 30 | 29 | 28 | 47 -EXPORTS | 1 | 7 | 13 -IMPORTS | 1 | 7 | 11 -EQ | 16 | - EXP24_.EXP = !iobs/IOU1 & !IOU0 & !nADoutLE1 - # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & - nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<20> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & nWE_FSB & - !iobs/TS_FSM_FFd2 & nADoutLE1 -;Imported pterms FB8_12 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<19> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<13> & !A_FSB<14> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & - !iobs/TS_FSM_FFd2 & nADoutLE1 - -MACROCELL | 7 | 17 | EXP25_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 7 | 0 -INPUTS | 12 | iobs/IOL1 | IOL0 | nADoutLE1 | nAS_FSB | iobs/TS_FSM_FFd2 | fsb/ASrf | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | nWE_FSB | iobs/IORW1.EXP -INPUTMC | 6 | 0 | 7 | 7 | 0 | 5 | 13 | 7 | 2 | 3 | 9 | 7 | 16 -INPUTP | 6 | 54 | 36 | 30 | 29 | 28 | 47 -EXPORTS | 1 | 7 | 0 -IMPORTS | 1 | 7 | 16 -EQ | 12 | - EXP25_.EXP = !iobs/IOL1 & !IOL0 & !nADoutLE1 - # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & - nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<20> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & nWE_FSB & - !iobs/TS_FSM_FFd2 & nADoutLE1 -;Imported pterms FB8_17 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<13> & !A_FSB<14> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - -PIN | A_FSB<23> | 64 | 0 | N/A | 36 | 45 | 3 | 15 | 3 | 14 | 2 | 15 | 0 | 0 | 7 | 16 | 4 | 14 | 3 | 10 | 7 | 3 | 2 | 8 | 2 | 6 | 7 | 1 | 4 | 0 | 4 | 9 | 2 | 11 | 2 | 3 | 0 | 17 | 6 | 16 | 7 | 12 | 2 | 12 | 7 | 8 | 2 | 1 | 4 | 12 | 4 | 16 | 4 | 2 | 3 | 5 | 3 | 13 | 6 | 17 | 2 | 7 | 2 | 10 | 2 | 16 | 3 | 0 | 3 | 1 | 4 | 1 | 4 | 5 | 4 | 8 | 4 | 11 | 4 | 15 | 4 | 17 | 6 | 0 | 7 | 4 | 7 | 5 | 7 | 11 | 7 | 14 | 7 | 15 | 7 | 17 -PIN | A_FSB<22> | 64 | 0 | N/A | 30 | 50 | 3 | 15 | 3 | 14 | 2 | 15 | 2 | 2 | 7 | 16 | 4 | 14 | 3 | 10 | 7 | 3 | 2 | 8 | 2 | 6 | 7 | 1 | 4 | 0 | 4 | 9 | 2 | 11 | 2 | 3 | 0 | 17 | 6 | 16 | 7 | 12 | 2 | 12 | 7 | 7 | 2 | 1 | 4 | 12 | 4 | 16 | 4 | 2 | 3 | 5 | 3 | 13 | 6 | 17 | 0 | 0 | 2 | 0 | 2 | 7 | 2 | 10 | 2 | 14 | 2 | 16 | 2 | 17 | 3 | 0 | 3 | 1 | 4 | 1 | 4 | 5 | 4 | 8 | 4 | 11 | 4 | 15 | 4 | 17 | 6 | 0 | 7 | 4 | 7 | 5 | 7 | 9 | 7 | 11 | 7 | 14 | 7 | 15 | 7 | 17 -PIN | A_FSB<21> | 64 | 0 | N/A | 29 | 33 | 3 | 15 | 3 | 14 | 2 | 15 | 2 | 6 | 7 | 16 | 4 | 14 | 3 | 10 | 2 | 8 | 7 | 1 | 2 | 11 | 6 | 16 | 7 | 12 | 2 | 12 | 7 | 7 | 2 | 0 | 6 | 7 | 3 | 5 | 3 | 13 | 6 | 17 | 2 | 7 | 2 | 10 | 2 | 14 | 2 | 16 | 3 | 0 | 3 | 1 | 4 | 2 | 6 | 0 | 7 | 3 | 7 | 4 | 7 | 9 | 7 | 11 | 7 | 15 | 7 | 17 -PIN | A_FSB<20> | 64 | 0 | N/A | 28 | 34 | 3 | 15 | 3 | 14 | 2 | 15 | 2 | 6 | 7 | 16 | 4 | 14 | 3 | 10 | 4 | 10 | 2 | 8 | 7 | 1 | 2 | 11 | 6 | 16 | 7 | 12 | 2 | 12 | 7 | 7 | 2 | 1 | 6 | 0 | 3 | 5 | 3 | 13 | 6 | 17 | 2 | 7 | 2 | 10 | 2 | 14 | 2 | 16 | 3 | 0 | 3 | 1 | 4 | 2 | 7 | 2 | 7 | 3 | 7 | 4 | 7 | 9 | 7 | 11 | 7 | 15 | 7 | 17 -PIN | A_FSB<19> | 64 | 0 | N/A | 26 | 23 | 3 | 15 | 3 | 14 | 2 | 10 | 7 | 16 | 4 | 14 | 3 | 10 | 4 | 10 | 2 | 7 | 7 | 1 | 2 | 11 | 6 | 16 | 7 | 11 | 7 | 4 | 2 | 0 | 6 | 0 | 6 | 17 | 2 | 16 | 3 | 0 | 3 | 1 | 3 | 13 | 7 | 2 | 7 | 9 | 7 | 15 -PIN | A_FSB<18> | 64 | 0 | N/A | 24 | 22 | 3 | 15 | 3 | 14 | 2 | 10 | 7 | 16 | 4 | 14 | 3 | 10 | 2 | 7 | 7 | 1 | 2 | 11 | 6 | 16 | 7 | 9 | 7 | 4 | 2 | 0 | 6 | 7 | 6 | 17 | 2 | 16 | 3 | 0 | 3 | 1 | 3 | 13 | 6 | 0 | 7 | 14 | 7 | 15 -PIN | A_FSB<17> | 64 | 0 | N/A | 23 | 22 | 3 | 15 | 3 | 14 | 2 | 10 | 7 | 16 | 4 | 14 | 3 | 10 | 2 | 7 | 7 | 1 | 2 | 11 | 6 | 16 | 7 | 9 | 7 | 4 | 6 | 17 | 6 | 8 | 2 | 2 | 2 | 16 | 3 | 0 | 3 | 1 | 3 | 13 | 6 | 0 | 7 | 14 | 7 | 15 -PIN | A_FSB<16> | 64 | 0 | N/A | 22 | 22 | 3 | 15 | 3 | 14 | 2 | 10 | 7 | 16 | 4 | 14 | 3 | 10 | 2 | 7 | 7 | 1 | 2 | 11 | 6 | 16 | 7 | 9 | 7 | 3 | 6 | 17 | 4 | 13 | 2 | 2 | 2 | 16 | 3 | 0 | 3 | 1 | 3 | 13 | 6 | 0 | 7 | 14 | 7 | 15 -PIN | A_FSB<15> | 64 | 0 | N/A | 21 | 10 | 3 | 15 | 3 | 14 | 2 | 0 | 6 | 10 | 6 | 17 | 3 | 0 | 3 | 1 | 3 | 13 | 6 | 0 | 6 | 16 -PIN | A_FSB<13> | 64 | 0 | N/A | 18 | 20 | 3 | 15 | 3 | 14 | 2 | 10 | 7 | 16 | 4 | 14 | 2 | 7 | 6 | 0 | 2 | 11 | 6 | 16 | 7 | 11 | 7 | 4 | 6 | 17 | 4 | 13 | 2 | 2 | 2 | 16 | 3 | 0 | 3 | 1 | 3 | 13 | 7 | 9 | 7 | 15 -PIN | A_FSB<12> | 64 | 0 | N/A | 17 | 10 | 3 | 15 | 3 | 14 | 2 | 0 | 4 | 10 | 6 | 17 | 3 | 0 | 3 | 1 | 3 | 13 | 6 | 0 | 6 | 16 -PIN | C8M | 8256 | 0 | N/A | 35 | 10 | 1 | 14 | 5 | 1 | 1 | 17 | 5 | 9 | 5 | 4 | 0 | 13 | 5 | 6 | 5 | 5 | 1 | 13 | 1 | 7 -PIN | C16M | 4096 | 0 | N/A | 33 | 18 | 5 | 2 | 5 | 3 | 1 | 14 | 1 | 10 | 1 | 9 | 5 | 0 | 5 | 17 | 1 | 11 | 1 | 16 | 1 | 12 | 5 | 15 | 5 | 7 | 5 | 12 | 1 | 8 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 16 -PIN | A_FSB<14> | 64 | 0 | N/A | 19 | 20 | 3 | 15 | 3 | 14 | 2 | 10 | 7 | 16 | 4 | 14 | 2 | 7 | 6 | 0 | 2 | 11 | 6 | 16 | 7 | 11 | 7 | 4 | 6 | 17 | 6 | 4 | 2 | 2 | 2 | 16 | 3 | 0 | 3 | 1 | 3 | 13 | 7 | 9 | 7 | 15 -PIN | A_FSB<11> | 64 | 0 | N/A | 15 | 10 | 3 | 15 | 3 | 14 | 6 | 17 | 4 | 7 | 2 | 17 | 3 | 0 | 3 | 1 | 3 | 13 | 6 | 0 | 6 | 16 -PIN | A_FSB<10> | 64 | 0 | N/A | 13 | 10 | 3 | 15 | 3 | 14 | 6 | 17 | 6 | 1 | 2 | 17 | 3 | 0 | 3 | 1 | 3 | 13 | 6 | 0 | 6 | 16 -PIN | FCLK | 16384 | 0 | N/A | 42 | 73 | 3 | 17 | 3 | 15 | 0 | 9 | 0 | 14 | 0 | 16 | 2 | 15 | 0 | 11 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 0 | 15 | 0 | 12 | 6 | 15 | 0 | 8 | 2 | 7 | 7 | 16 | 0 | 5 | 4 | 15 | 0 | 7 | 0 | 6 | 7 | 11 | 3 | 10 | 7 | 14 | 2 | 8 | 2 | 6 | 2 | 5 | 7 | 2 | 4 | 0 | 7 | 15 | 0 | 10 | 2 | 17 | 4 | 9 | 0 | 2 | 4 | 7 | 1 | 6 | 2 | 11 | 1 | 4 | 2 | 4 | 2 | 3 | 0 | 17 | 7 | 0 | 7 | 13 | 2 | 10 | 2 | 2 | 0 | 1 | 0 | 0 | 2 | 14 | 7 | 8 | 2 | 1 | 0 | 4 | 2 | 9 | 1 | 15 | 3 | 6 | 3 | 12 | 4 | 12 | 0 | 3 | 3 | 11 | 2 | 12 | 1 | 5 | 3 | 8 | 4 | 17 | 4 | 5 | 5 | 13 | 3 | 1 | 3 | 9 | 1 | 3 | 4 | 4 -PIN | nWE_FSB | 64 | 0 | N/A | 47 | 27 | 3 | 15 | 3 | 14 | 2 | 10 | 7 | 16 | 4 | 14 | 2 | 7 | 6 | 0 | 2 | 11 | 6 | 16 | 7 | 12 | 2 | 13 | 7 | 8 | 2 | 1 | 2 | 16 | 3 | 13 | 3 | 5 | 7 | 5 | 7 | 6 | 6 | 17 | 3 | 0 | 3 | 1 | 4 | 6 | 7 | 3 | 7 | 7 | 7 | 9 | 7 | 15 | 7 | 17 -PIN | nAS_FSB | 64 | 0 | N/A | 54 | 43 | 3 | 15 | 3 | 14 | 2 | 15 | 2 | 7 | 7 | 16 | 4 | 14 | 7 | 11 | 3 | 10 | 7 | 3 | 2 | 8 | 2 | 6 | 4 | 17 | 3 | 1 | 4 | 8 | 4 | 7 | 2 | 11 | 2 | 3 | 0 | 17 | 6 | 16 | 7 | 12 | 0 | 0 | 2 | 2 | 2 | 12 | 7 | 8 | 2 | 9 | 3 | 6 | 4 | 11 | 3 | 9 | 4 | 16 | 2 | 16 | 4 | 1 | 3 | 0 | 3 | 5 | 6 | 17 | 3 | 13 | 2 | 14 | 4 | 5 | 4 | 6 | 4 | 15 | 7 | 5 | 7 | 7 | 7 | 9 | 7 | 17 -PIN | A_FSB<8> | 64 | 0 | N/A | 11 | 10 | 3 | 15 | 3 | 14 | 6 | 17 | 6 | 10 | 2 | 17 | 3 | 0 | 3 | 1 | 3 | 13 | 6 | 0 | 6 | 16 -PIN | A_FSB<9> | 64 | 0 | N/A | 12 | 9 | 3 | 15 | 3 | 14 | 6 | 17 | 6 | 5 | 2 | 17 | 3 | 1 | 3 | 13 | 6 | 0 | 6 | 16 -PIN | nBERR_IOB | 64 | 0 | N/A | 123 | 1 | 1 | 17 +PIN | A_FSB<23> | 64 | 0 | N/A | 36 | 42 | 7 | 17 | 2 | 15 | 3 | 17 | 3 | 0 | 3 | 16 | 4 | 14 | 3 | 10 | 7 | 0 | 2 | 8 | 7 | 8 | 3 | 15 | 4 | 0 | 4 | 9 | 7 | 6 | 7 | 10 | 7 | 3 | 3 | 7 | 3 | 2 | 7 | 1 | 3 | 12 | 4 | 12 | 4 | 16 | 4 | 2 | 3 | 5 | 2 | 1 | 2 | 7 | 2 | 14 | 2 | 16 | 2 | 17 | 3 | 1 | 3 | 4 | 3 | 9 | 3 | 14 | 4 | 1 | 4 | 5 | 4 | 8 | 4 | 11 | 4 | 15 | 4 | 17 | 7 | 4 | 7 | 5 | 7 | 7 +PIN | A_FSB<22> | 64 | 0 | N/A | 30 | 44 | 7 | 17 | 2 | 15 | 3 | 17 | 3 | 0 | 3 | 16 | 4 | 14 | 3 | 10 | 7 | 0 | 2 | 8 | 7 | 8 | 3 | 15 | 4 | 0 | 4 | 9 | 7 | 6 | 7 | 10 | 7 | 3 | 3 | 7 | 3 | 2 | 7 | 1 | 3 | 11 | 4 | 12 | 4 | 16 | 4 | 2 | 3 | 5 | 2 | 1 | 2 | 7 | 2 | 14 | 2 | 16 | 2 | 17 | 3 | 1 | 3 | 4 | 3 | 9 | 3 | 13 | 3 | 14 | 4 | 1 | 4 | 5 | 4 | 8 | 4 | 11 | 4 | 15 | 4 | 17 | 7 | 4 | 7 | 5 | 7 | 7 | 7 | 16 +PIN | A_FSB<21> | 64 | 0 | N/A | 29 | 28 | 7 | 17 | 2 | 15 | 3 | 17 | 3 | 0 | 3 | 16 | 4 | 14 | 3 | 10 | 2 | 8 | 3 | 14 | 7 | 6 | 3 | 4 | 3 | 2 | 7 | 0 | 3 | 11 | 6 | 7 | 3 | 5 | 2 | 1 | 2 | 7 | 2 | 9 | 2 | 14 | 2 | 16 | 2 | 17 | 3 | 9 | 3 | 13 | 4 | 2 | 7 | 3 | 7 | 7 | 7 | 16 +PIN | A_FSB<20> | 64 | 0 | N/A | 28 | 29 | 7 | 17 | 2 | 15 | 3 | 17 | 3 | 0 | 3 | 16 | 4 | 14 | 3 | 10 | 4 | 10 | 2 | 8 | 3 | 14 | 7 | 6 | 3 | 7 | 3 | 2 | 7 | 0 | 3 | 11 | 7 | 1 | 3 | 5 | 2 | 1 | 2 | 7 | 2 | 9 | 2 | 14 | 2 | 16 | 2 | 17 | 3 | 4 | 3 | 13 | 4 | 2 | 7 | 3 | 7 | 7 | 7 | 16 +PIN | A_FSB<19> | 64 | 0 | N/A | 26 | 20 | 7 | 7 | 2 | 15 | 7 | 1 | 3 | 16 | 4 | 14 | 3 | 10 | 4 | 10 | 2 | 7 | 3 | 13 | 7 | 6 | 3 | 4 | 3 | 0 | 3 | 9 | 2 | 1 | 2 | 9 | 2 | 14 | 2 | 16 | 2 | 17 | 3 | 17 | 7 | 0 +PIN | A_FSB<18> | 64 | 0 | N/A | 24 | 20 | 7 | 7 | 2 | 15 | 6 | 7 | 3 | 16 | 4 | 14 | 3 | 10 | 2 | 7 | 3 | 14 | 7 | 6 | 3 | 4 | 3 | 0 | 3 | 9 | 2 | 1 | 2 | 9 | 2 | 14 | 2 | 16 | 2 | 17 | 3 | 13 | 3 | 17 | 7 | 0 +PIN | A_FSB<17> | 64 | 0 | N/A | 23 | 20 | 7 | 7 | 2 | 15 | 6 | 8 | 3 | 16 | 4 | 14 | 3 | 10 | 2 | 7 | 3 | 14 | 7 | 6 | 3 | 4 | 3 | 0 | 3 | 9 | 2 | 1 | 2 | 9 | 2 | 14 | 2 | 16 | 2 | 17 | 3 | 13 | 3 | 17 | 7 | 0 +PIN | A_FSB<16> | 64 | 0 | N/A | 22 | 20 | 7 | 7 | 2 | 15 | 4 | 13 | 3 | 16 | 4 | 14 | 3 | 10 | 2 | 7 | 3 | 14 | 7 | 6 | 3 | 4 | 3 | 0 | 3 | 9 | 2 | 1 | 2 | 9 | 2 | 14 | 2 | 16 | 2 | 17 | 3 | 13 | 3 | 17 | 7 | 0 +PIN | A_FSB<15> | 64 | 0 | N/A | 21 | 6 | 2 | 15 | 6 | 10 | 2 | 1 | 2 | 14 | 2 | 16 | 2 | 17 +PIN | A_FSB<13> | 64 | 0 | N/A | 18 | 17 | 7 | 7 | 2 | 15 | 4 | 13 | 3 | 16 | 4 | 14 | 2 | 1 | 3 | 13 | 7 | 6 | 3 | 0 | 3 | 1 | 3 | 10 | 2 | 9 | 2 | 14 | 2 | 16 | 2 | 17 | 3 | 17 | 7 | 0 +PIN | C8M | 8256 | 0 | N/A | 35 | 10 | 1 | 16 | 5 | 1 | 0 | 16 | 5 | 9 | 5 | 4 | 0 | 17 | 5 | 6 | 5 | 5 | 0 | 5 | 1 | 10 +PIN | C16M | 4096 | 0 | N/A | 33 | 18 | 5 | 2 | 5 | 3 | 1 | 16 | 1 | 13 | 1 | 12 | 5 | 0 | 5 | 17 | 1 | 14 | 1 | 17 | 1 | 15 | 5 | 15 | 5 | 7 | 5 | 12 | 1 | 11 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 16 +PIN | A_FSB<14> | 64 | 0 | N/A | 19 | 16 | 7 | 7 | 2 | 15 | 6 | 4 | 3 | 16 | 4 | 14 | 2 | 1 | 3 | 13 | 7 | 6 | 2 | 17 | 3 | 1 | 3 | 10 | 2 | 9 | 2 | 14 | 2 | 16 | 3 | 17 | 7 | 0 +PIN | A_FSB<12> | 64 | 0 | N/A | 17 | 6 | 2 | 15 | 4 | 10 | 2 | 1 | 2 | 14 | 2 | 16 | 2 | 17 +PIN | A_FSB<11> | 64 | 0 | N/A | 15 | 6 | 2 | 15 | 4 | 7 | 2 | 1 | 2 | 14 | 2 | 16 | 2 | 17 +PIN | A_FSB<10> | 64 | 0 | N/A | 13 | 6 | 2 | 15 | 6 | 1 | 2 | 1 | 2 | 14 | 2 | 16 | 2 | 17 +PIN | FCLK | 16384 | 0 | N/A | 42 | 76 | 7 | 17 | 2 | 15 | 2 | 0 | 0 | 13 | 6 | 15 | 6 | 17 | 0 | 15 | 2 | 1 | 6 | 16 | 3 | 0 | 6 | 14 | 6 | 13 | 2 | 9 | 2 | 7 | 2 | 6 | 2 | 5 | 2 | 4 | 2 | 3 | 6 | 9 | 6 | 6 | 6 | 3 | 2 | 14 | 6 | 12 | 6 | 0 | 3 | 16 | 0 | 9 | 4 | 15 | 6 | 2 | 0 | 12 | 0 | 11 | 7 | 11 | 3 | 10 | 7 | 14 | 2 | 8 | 7 | 8 | 7 | 9 | 3 | 15 | 4 | 0 | 0 | 7 | 0 | 14 | 0 | 0 | 4 | 9 | 0 | 3 | 4 | 7 | 1 | 9 | 7 | 6 | 1 | 7 | 0 | 10 | 7 | 10 | 7 | 3 | 3 | 8 | 3 | 3 | 2 | 13 | 2 | 12 | 2 | 11 | 0 | 2 | 0 | 1 | 7 | 13 | 7 | 2 | 3 | 12 | 0 | 8 | 2 | 10 | 0 | 6 | 7 | 12 | 4 | 12 | 2 | 2 | 7 | 15 | 1 | 8 | 7 | 16 | 4 | 17 | 4 | 5 | 5 | 13 | 3 | 1 | 2 | 17 | 0 | 4 | 4 | 4 +PIN | nAS_FSB | 64 | 0 | N/A | 54 | 42 | 7 | 17 | 2 | 15 | 3 | 5 | 3 | 0 | 2 | 14 | 3 | 16 | 4 | 14 | 7 | 11 | 3 | 10 | 4 | 17 | 2 | 8 | 7 | 8 | 3 | 15 | 3 | 17 | 4 | 8 | 4 | 7 | 7 | 6 | 7 | 10 | 7 | 0 | 3 | 7 | 3 | 2 | 2 | 13 | 2 | 12 | 2 | 11 | 7 | 13 | 7 | 1 | 3 | 12 | 2 | 10 | 4 | 11 | 2 | 17 | 4 | 16 | 2 | 16 | 4 | 1 | 3 | 13 | 3 | 11 | 4 | 5 | 4 | 6 | 4 | 15 | 7 | 4 | 7 | 5 | 7 | 7 | 7 | 16 +PIN | nWE_FSB | 64 | 0 | N/A | 47 | 23 | 7 | 0 | 2 | 15 | 7 | 7 | 3 | 16 | 4 | 14 | 2 | 1 | 3 | 15 | 7 | 6 | 3 | 7 | 3 | 2 | 7 | 2 | 3 | 12 | 2 | 16 | 3 | 17 | 3 | 5 | 7 | 5 | 2 | 9 | 2 | 14 | 2 | 17 | 3 | 0 | 3 | 11 | 3 | 13 | 4 | 6 +PIN | A_FSB<9> | 64 | 0 | N/A | 12 | 6 | 2 | 15 | 6 | 5 | 2 | 1 | 2 | 14 | 2 | 16 | 2 | 17 +PIN | A_FSB<8> | 64 | 0 | N/A | 11 | 5 | 2 | 14 | 6 | 10 | 2 | 1 | 2 | 16 | 2 | 17 +PIN | nBERR_IOB | 64 | 0 | N/A | 123 | 1 | 0 | 16 PIN | nDTACK_IOB | 64 | 0 | N/A | 127 | 1 | 5 | 9 -PIN | nLDS_FSB | 64 | 0 | N/A | 49 | 3 | 0 | 7 | 7 | 0 | 7 | 5 -PIN | nUDS_FSB | 64 | 0 | N/A | 56 | 3 | 0 | 6 | 7 | 13 | 7 | 6 -PIN | E | 64 | 0 | N/A | 37 | 6 | 5 | 4 | 0 | 13 | 5 | 6 | 5 | 5 | 0 | 4 | 1 | 13 -PIN | nIPL2 | 64 | 0 | N/A | 146 | 1 | 1 | 15 -PIN | nVPA_IOB | 64 | 0 | N/A | 125 | 1 | 1 | 7 +PIN | nLDS_FSB | 64 | 0 | N/A | 49 | 3 | 0 | 12 | 3 | 8 | 7 | 5 +PIN | nUDS_FSB | 64 | 0 | N/A | 56 | 3 | 0 | 11 | 3 | 3 | 7 | 7 +PIN | E | 64 | 0 | N/A | 37 | 6 | 5 | 4 | 0 | 17 | 5 | 6 | 5 | 5 | 0 | 8 | 0 | 5 +PIN | nIPL2 | 64 | 0 | N/A | 146 | 1 | 0 | 6 +PIN | nVPA_IOB | 64 | 0 | N/A | 125 | 1 | 1 | 10 PIN | A_FSB<1> | 64 | 0 | N/A | 149 | 1 | 6 | 5 PIN | A_FSB<7> | 64 | 0 | N/A | 8 | 2 | 6 | 8 | 4 | 13 PIN | A_FSB<2> | 64 | 0 | N/A | 153 | 1 | 6 | 1 @@ -2905,4 +2524,4 @@ PIN | nRAMLWE | 536871040 | 0 | N/A | 104 PIN | nRAMUWE | 536871040 | 0 | N/A | 105 PIN | nROMCS | 536871040 | 0 | N/A | 58 PIN | C25MEN | 536871040 | 0 | N/A | 95 -PIN | nRES | 536870976 | 0 | N/A | 145 | 2 | 5 | 9 | 2 | 7 +PIN | nRES | 536870976 | 0 | N/A | 145 | 2 | 5 | 9 | 3 | 0 diff --git a/cpld/XC95144XL/WarpSE.nga b/cpld/XC95144XL/WarpSE.nga index 3013736..647ee68 100644 --- a/cpld/XC95144XL/WarpSE.nga +++ b/cpld/XC95144XL/WarpSE.nga @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6e -$7`:4`<,Fz_t)*@pUz'B]YCK-9>PIOT'161)L88;7)ATy&'KuR,ORTHN*<51]JJS"2;>$O>??4$NrW|!"Hx]r/JUQKC%164ZOI^-?8;#KWP348 JvSp-.D|Yv+FY]GG!528VCEZ);<7/G[TZVSW98=7)ATy&'KuR,ORTHN*<51]JJS"2;>$NT]Q_T^312>"Hx]r/(B~[x%D[[AE#;<:TECX+525-A]VXX]U9??5+OqV{ !Iw\q.MTRJL$273[LHQ,<9<"HV__uqjiwciidooSck{_0d8 JvSp-.D|Yv+FY]GG!528VCEZ);<7/S15>"Hx]r/(B~[x%D[[AE#;<:TECX+525-QLK23-Xnz)94(183>5/a3!:1<7>& )294?6.991#==>?:0234?7789#:?6&>1623>470890:=:>?)028,41783;<<=4>712*55=/91:;6<6?0;3;45/682"94=>52923>7>78 ;;7%=?0180456=;9:;%??4(2234?578908<=>& )1645<4=9:1?8>?)028,637839><=4<512*`>.3890?<=4;01+24>.189:1:=>?:7234,773!=;<=4801293567!8:0$4>?0;;345<>89:"=6>>;008554<9880=??=;009KPRW]]0YM1?>:1<1?45538>97<;=;041?41538297<7>;308654<:;80>>?;;319MKVR\3KTJ^L32282:7=5<;199?5=7391<7=51818>6=?2:116>54:29?>6=:2:156>5092>979>=;531?1453=99798=;421?0453<9978:=;471?0053<2:7;<46208214<><80:;?4739447=0:;1<8?58639437=00;1<5<572::36>>6:229>66:2::56>>0:222=67=;821?<75308974==;861?<3530<9749:;@NF4H1B.E0g?DJB8D$O>R^[_1f8EIC7E'N9S]ZP1e9BH@6J&M8T\YQ=d:COA5K)L;U[XR=k;@NF4H(C:VZ_S994AMG3I+W>3HFN<@ ]EF78EIC7[>1J@H>\.E:8EIC7['N:46OCE1Q-@710MAK>3:CM@<=FFGE_MYKK9:C\BVD;994o7LQISC>24;(WIM30MRH\B=32:a=FWOYI0GXNZH7=?0k;@]EWG:6:7$[MI74A^DPF9746m1JSK]M<01=*UGC12KTJ^L3152i5N_GQA8439&YKO56OPFR@?538c3HUM_O2>6?,SEA?e9B[CUE48=5"]OK9:C\BVD;914o7LQISC>2<;(WIM30MRH\B=3::a=FWOYI0<71.QCG<>GXNZH7=3m4A^DPF979&YKO56OPFR@?658c3HUM_O2=0?,SEA?>e9B[CUE4;;5"]OK9:C\BVD;:;4o7LQISC>16;(WIMh0MRH\B=00>48>3HUM_O2=3?f8EZ@TJ5882#^ND99B[CUE4;4h7LQISC>1:+VFL11JSK]M<2<`?DYA[K682#^ND99B[CUE4=4h7LQISC>7:+VFL11JSK]M<4<`?DYA[K6>2#^ND99B[CUE4?4h7LQISC>5:+VFL11JSK]M<6<`?DYA[K6<2#^ND99B[CUE414h7LQISC>;:+VFL11JSK]M<8<`?DYA[K622#^NDe9B[CUEW8:TEO[Id:C\BVDX98UBNXHk;@]EWGY6:VCIYKj4A^DPFZ74W@H^Ji5N_GQA[42XAK_Mh6OPFR@\50YNJ\Lo7LQISC]22ZOE]On0MRH\B^34[LDRNm1JSK]M_0:\MGSAl2KTJ^LP18]JFP@d3HUM_OQ>_H@VBa=FWOYIS?>PICWE`>GXNZHT>RGMUGf8EZ@TJV88SDLZFb9B[CUEW;UBNXHl;@]EWGY4W@H^Jn5N_GQA[1YNJ\Lh7LQISC]6[LDRNj1JSK]M_7]JFP@d3HUM_OQ8_H@VBf=FWOYIS5QFBTD`?DYA[KU2SDLZF79BkprHM:1IYK64BTQ\MK@H<2I::@64C04N*UGCm2I::@QFBTD,BFJLn2I::@QFBTD,BFJL%?1H>8CJN`9@60KBF'ZJHo5L24OFJZIE]On0O?;BEO]LFP@)Ll1H>8CJN^MAQC(C9l1H>8CJN^MAQC(C:m1H>8CJN^MAQC(V;2I3A:5L8L,SEA>C_X8:T"HV__QV\5c=BPY;;S#KWP^RW[7`P.DZS[URX=8h0IU^>0^,F\UYs{`gyicobee]maqYf3LR[=C_X8;T"HV__QV\5c=BPY;:S#KWP^RW[7`1^,F\UYs{`gyicobee]maqYf3LR[=?Q!EYRe?@^W9;U%IU^PPU]3b>C_X88T"HV__QV\5c=BPY;9S#KWP^RW[7`R JXQ]SPZ5a3LR[=?Q!EYR\TQY3n2OS\<2^,F\UYs{`gyicobee]maqYf3LR[=>Q!EYRe?@^W9:U%IU^PPU]3b>C_X89T"HV__QV\54dC_X8>T"HV__QV\14dC_X8?T"HV__QV\14dV$NT]Q{shoqakgjmmUeiyQn;DZS52Y)MQZm7HV_16]-A]VXX]U;j6KWP05\*@^WWY^T=k5JXQ34[+C_XVZ_S?h4EYR23Z(BPYU[XR=i;DZS52Y)MQZT\YQ;1c9F\U70W'OS\Rz|ilpfjdkblVdnxRo4EYR28^,F\UYW\V:m7HV_19]-A]VXX]U:j6KWP0:\*@^WWY^T>9^,F\UYW\V9m7HV_18]-A]VXX]U?j6KWP0;\*@^WWY^T9=Q!EYR\TQY7n2OS\?>P.DZS[URX9o1NT]C_X;8T"HV__QV\7c=BPY89S#KWP^RW[17e3LR[>?Q!EYR\pvojzldjahjPndv\e>C_X;9T"HV_1c9F\U44W'OS\Rz|ilpfjdkblVdnxRo4EYR10Z(BPYl0IU^=4^,F\UYW\V:m7HV_25]-A]VXX]U:j6KWP36\*@^WWY^T>k5JXQ07[+C_XVZ_S>h4EYR10Z(BPYU[XR:i;DZS61Y)MQZT\YQ:1c9F\U43W'OS\Rz|ilpfjdkblVdnxRo4EYR11Z(BPYl0IU^=5^,F\UYW\V:m7HV_24]-A]VXX]U:j6KWP37\*@^WWY^T>k5JXQ06[+C_XVZ_S>h4EYR11Z(BPYU[XR:i;DZS60Y)MQZT\YQ:1c9F\U42W'OS\Rz|ilpfjdkblVdnxR84E^KAQC1Ail;1BB>5FN118MK733@D:<95FN037?LH6:=1BB<=;;HL201=NF8??7D@>659JJ4133@D:495FN0;0?LH5<2CE>=:4IO020>OI:;>0EC<<4:KM61286GA2768MK40<2CE>5:4IO0:7>OI;=1BB>>;;HL056=NF=90EC;<;HL57>OI?:1BB5=4IO;:?LHN\Z^JXH;4INC@P2=NGHI_"I64INC@P+B602CDMNZ!D3f8MJGD\'N9S]ZP0e9JKDES&M8T\YQ>d:KLEFR)L;U[XRj4INC@P+B5WY^T8i5FO@AW*A4XX]U>;6G@ABV-U<=NGHI_"_KH6:KLF@TU02CDNH\].E;8MJDBZ[$O=45FOCGQV+B502CDNH\].Pc8MJDBZ[$YIJl4IN@FVW(U[]L:46G@BDPQ[qunejxnmykk_qpo`Z0OHD9$O=:5FOM2-@7eOHD9$O>R^[_0a8MJJ7&M8T\YQ=c:KLH5(C:VZ_S>m4INN3*A4XX]U?o6G@L1,G6ZVSW2CD@= ^8:KLH5(UMN;>7DAC0^vpmheumh~nhRv`r^;8MJHWZlkouo5FOORQadb~&Mi0EB@_Rdcg}+B6k2CDB]\jae{-@7b2:KLJUTbims%IU^PPU]157=NGGZYiljv.DZS[URX;880EB@_Rdcg}+C_XVZ_S9?j;HMMTWcflp$NT]Q{shoqakgjmmUeiyQm;HMMTWcflp$Zh6G@NQPfea)ZLM<7DA]DSGR=>OHZMXN]#Jn;HMQ@WCV&M;j7DA]DSGR*A4a3@EYH_K^.E0\TQY7n2CD^I\JQ/F1[URX9k1BC_J]EP,F\U773@EYH_K^.DZS[URX88:0EB\KRDS-A]VXX]U:==5FOSFQAT(BPYU[XR<>0:KLVATBY'OS\R^[_23`?LIUL[OZ"HV__uqjiwciidooSck{_89JKWBUMX$Zn6G@REPFU+TBO=1BCX>9;HMV4+B03@E^<#J>7:KLQ5(C:j1BCX>!D3]SPZ6d3@E^<#J=_QV\5f=NG\:%H?Q_T^0`?LIR8'N9S]ZP3b9JKP6)L;U[XR:l;HMV4+B5WY^T9n5FOT2-@7YW\V<=7DAZ0/S;?LIR8'XNK<;4INW3[qunejxnmykk_ymq[2=NG^XYI\74INUQV@W)Lh1BCZ\]EP,G5d=NG^XYI\ K2g9JKRTUMX$O>R^[_1d8MJQUZL[%H?Q_T^3e?LIPZ[OZ"IOH_[XN]#J=_QV\7c=NG^XYI\ K2^RW[1`H7=2D;(BKl;O2-FP@HM'E^X95A0/F6?K6)L8?0B= K259M4+W03G:%X_][3:L352=IM]]D^F=4OOG1?JT43F__?6^ND59SEWR03YCEBLCJa:RJJZDR[@NSn6^FN^@VWKGJM?1[ig`d99SkwrWi}b37\a\Rdcg}d=VgZXnmiw!Dc9RkVTbims%H4QnQQadb~&M8T\YQ9a:SlWWcflp$Zo6_`SSgb`|(UMN?0^L2?>89QE969&YKO:6\N<02=e>TF48:5"]OK8:PB847=87<0^L2>1?c8VD:697$[MI;4R@>2:<=UI5;5"]OK5:PB878>3[K7>3 _AE78VD:4601YM1=1.QCG1>TF4=427_O34?,SEA3245]A=7=*UGC=2XJ0;06;SC?2;(WIM?0^L28>89QE919&YKO96\N<9<:?WG;07$[MI;4R@>::<=UI535"]OK8:PBIWcflpk0^LC]e`fz*AdTFE[ojht K2^RW[4773[KF^hoky/F1[URX:8:0^LC]e`fz*A4XX]U8==5]ALPfea)L;U[XR:>0:PBIWcflp$O>R^[_4c8VDKUmhnr"\m4R@OQadb~&[OL56\N_1]LFP@e3[KTTFW9UDNXH!D0a8VDY7WFH^J#J=109QEZ6XGK_M"I<<4R@]24ZIE]O$O>R^[_131?WGX99UDNXH!D3]SPZ7d3[KT==Q@BTD-Uc=UIV;:SBLZF%@VB5763[KT=2:PB[47XGK_M(O[I0/F156=UIV;:SBLZF%@VB5(BPY;37_OP10]LFP@#J\L;"HV__QV\44>199QEZ76WFH^J)LZF1,F\UYW\V8:46\N_03\KGSA,K_M<#KWP^RW[67?3[KT=_N@VB!DRN9$Zo6\N_03\KGSA,Xi0^LQ>1^MAQC(Cl2XJS6\N_03\KGSA&M8T\YQ>e:PB[47XGK_M"HV_129QEZ76WFH^J#KWP^RW[5743[KT=TFW8UDNXH!D0a8VDY6WFH^J#J=109QEZ7XGK_M"I6\N_5]LFP@)MQZT\YQ?139QEZ2XGK_M"HV__QV\5442:PB[1YHJ\L%IU^PPU]75`=UIV>TCO[I.DZS[qune{oem`kk_ogw[g=UIV>TCO[I.P;8VDY2WFH^Jo5]A^7\KGSA&Mi0^LQ:_N@VB+B6k2XJS8Q@BTD-@7bSBLZF/G[T44SBLZF/G[TZVSW9;97_OP5^MAQC(BPYU[XR?>2:PB[0YHJ\L%IU^PPU]157=UIV?TCO[I.DZS[URX;880^LQ:_N@VB+C_XVZ_S9?j;SC\1ZIE]O$NT]Q{shoqakgjmmUeiyQm;SC\1ZIE]O$Z56\N_7]LFP@e3[KT:RAMUG,Gg>TFW?UDNXH!D0a8VDY1WFH^J#J=d:PB[3YHJ\L%IU^>2:PB[3YHJ\L%IU^PPU]357=UIVTFW?UDNXH!EYR\TQY39l1YMR8POCWE*@^WW}ybakaalgg[kcsWk1YMR8POCWE*T?;SC\3ZIE]O$O>R^[_0`8VDY0WFH^J#_6;SC\TFW1UDNXH!D3]SPZ6692XJS5Q@BTD-@7YW\V;i7_OP8^MAQC(V12XJS4Q@BTDa?WGX1VEIYK Kc:PB[TFW0UDNXH!Q29QWQ03[omYi!CD:8V``Rzn$O56\jfTpd*A7>3[omYi!D3:8V``Rzn$Zm6\jfTpd*WC@;2YNX45\euwsLjjc;2^YE95[YQG`?PUBZV\B_DLCE89UM@QX\PZN?6Xlc79TewvTMh1\m~\E/lde0=Pam~c>n5WC87201^K%8:&X\??0!*Pt`cc(Ocgi7.Yesqjkk&4&9#?7UJBL0f8\LJNFQ'SHO.?.0"PPPD'8';+M^MFI29[KW0^c`V^bahMgebig?]boW]cfiIkcax33?]bjWDkacXjrrklj46/F2g>ei|&Oy0=0!D3`8gkr(M{6;2#_k;blw+@t;87$YIJ74cov,Aw:66k1hby!Jr=3=*Ae>/F2g>ei|&Oy0<0!D3`8gkr(M{6:2#_k;blw+@t;97$YIJh4cov,MVYA[DUMJi?>1:amp*OTWOYFSKHk1/F26>ei|&CXSK]B_GDg5+B69;1hby!FS^DPIZ@Al8$O><=4cov,MVYA[DUMJi?!EYR2<>ei|&CXSK]B_GDg5+C_XVZ_S=?7;blw+LUXNZGTJKj>.DZS[URX9820ocz IR]EWHYANm;%IU^PPU]15==df}%B_RH\M^DE`4(BPYU[XR==4:amp*OTWOYFSKHk1/G[TZrtadxnblcjd^lfpZ763jd#D]PFRO\BCb6&X;87n`{/HQ\BVKXNOn:"_KH219`jq)N[VLXARHId0]wwlkdzlkiiQwos]e?fhs'@YTJ^CPFGf154=df}%B_RH\M^DE`7(C9;1hby!FS^DPIZ@Al;$O=<<4cov,MVYA[DUMJi/F24>ei|&F_e`k}<1<-@4773jd#AZfmdp?4;(C:8?0ocz LUknaw:76'N9S]ZP0078gkr(D]cfi2?>/F1[URX98?0ocz LUknaw:76'N9S]ZP2078gkr(D]cfi2?>/F1[URX;8?0ocz LUknaw:76'N9S]ZP4078gkr(D]cfi2?>/F1[URX=8?0ocz LUknaw:76'N9S]ZP6g9`jq)K\`gn~1>1.P32?fhs'E^bah|30?,QABc24;773jd#AZfmdp?558)L8;0ocz LUknaw:687$O=0?,G6ZVSW9;=7n`{/MVji`t;994%H?Q_T^322>ei|&F_e`k}<02=*A4XX]U9=?5lnu-OPlkbz5;;2#KWP058gkr(D]cfi2>0?,F\UYW\V::;6mat.NWmhcu48:5"HV__QV\57524;(BPYUdc}eocnaaYim}U:<6mat.NWmhcu48:5"\?=;blw+IRnelx7==0!RDE2b>ei|&F_e`k}<02=[qunejxnmykk_ymq[`=df}%GXdcjr=32:4625;(C981hby!CThofv9766'N:=<5lnu-OPlkbz5;:2#J=179`jq)K\`gn~1?>>/F1[URX88<0ocz LUknaw:697$O>R^[_035?fhs'E^bah|310<-@7YW\V8:<6mat.NWmhcu48;5"\?=;blw+IRnelx7=<0!RDE2b>ei|&F_e`k}<03=[qunejxnmykk_ymq[a=df}%GXdcjr=3=b>ei|&F_e`k}<0<-@462:+B6991hby!CThofv979&M8:96mat.NWmhcu484%H?Q_T^221>ei|&F_e`k}<0<-@7YW\V;:96mat.NWmhcu484%H?Q_T^021>ei|&F_e`k}<0<-@7YW\V9:=6mat.NWmhcu484%IU^>6:amp*JSadoy0<0!EYR\TQY79?1hby!CThofv979&LR[S]ZP1308gkr(D]cfi2>>/G[TZrtadxnblcjd^lfpZ`2:+W692iex"B[ilgq848)ZLMo7n`{/MVji`t;:7l0ocz LUknaw:56'N:<6mat.NWmhcu4;4%H3 K2078gkr(D]cfi2=>/F1[URX88?0ocz LUknaw:56'N9S]ZP1078gkr(D]cfi2=>/F1[URX:o1hby!CThofv949&X;:7n`{/MVji`t;:7$YIJ?j;blw+IRnelx7>3Q{sho`v`gsmmUscQk;blw+IRnelx7?3h4cov,HQojm{682#J>0:amp*JSadoy0>0!D033?fhs'E^bah|33?,G6430:+B5WY^T<<;4cov,HQojm{682#J=_QV\5430:+B5WY^T>k5lnu-OPlkbz595"\?>;blw+IRnelx7?3 ]EF3f?fhs'E^bah|33?]wwlkdzlkiiQwos]g?fhs'E^bah|34?d8gkr(D]cfi2;>/F24>ei|&F_e`k}<5<-@4773jd#AZfmdp?0;(C:8?0ocz LUknaw:36'N9S]ZP0078gkr(D]cfi2;>/F1[URX98?0ocz LUknaw:36'N9S]ZP2g9`jq)K\`gn~1:1.P32?fhs'E^bah|34?,QAB7b3jd#AZfmdp?0;Ys{`gh~ho{ee]{kwYc3jd#AZfmdp?1;`6:+B682iex"B[ilgq808)L8;;7n`{/MVji`t;=7$O><;4cov,HQojm{6>2#J=_QV\4436:+B5WY^T=<;4cov,HQojm{6>2#J=_QV\6c=df}%GXdcjr=7=*T763jd#AZfmdp?1;(UMN;n7n`{/MVji`t;=7UdclrdcwaaYg{Uo7n`{/MVji`t;>7l0ocz LUknaw:16'N:<6mat.NWmhcu4?4%H/F1[URX88?0ocz LUknaw:16'N9S]ZP1078gkr(D]cfi29>/F1[URX:o1hby!CThofv909&X;:7n`{/MVji`t;>7$YIJ?j;blw+IRnelx7:3Q{sho`v`gsmmUscQk;blw+IRnelx7;3h4cov,HQojm{6<2#J>0:amp*JSadoy0:0!D033?fhs'E^bah|37?,G6434:+B5WY^T<<;4cov,HQojm{6<2#J=_QV\5434:+B5WY^T>k5lnu-OPlkbz5=5"\?>;blw+IRnelx7;3 ]EF3f?fhs'E^bah|37?]wwlkdzlkiiQwos]g?fhs'E^bah|38?d8gkr(D]cfi27>/F24>ei|&F_e`k}<9<-@4773jd#AZfmdp?<;(C:8?0ocz LUknaw:?6'N9S]ZP0078gkr(D]cfi27>/F1[URX98?0ocz LUknaw:?6'N9S]ZP2g9`jq)K\`gn~161.P32?fhs'E^bah|38?,QAB7b3jd#AZfmdp?<;Ys{`gh~ho{ee]{kwYc3jd#AZfmdp?=;`::+B682iex"B[ilgq8<8)L8;;7n`{/MVji`t;17$O><;4cov,HQojm{622#J=_QV\443::+B5WY^T=<;4cov,HQojm{622#J=_QV\647::+C_X8<0ocz LUknaw:>6'OS\R^[_135?fhs'E^bah|39?,F\UYW\V;::6mat.NWmhcu404%IU^PPU]167=df}%GXdcjr=;=*@^WW}ybakaalgg[kcsWo1hby!CThofv9?9&X;:7n`{/MVji`t;17$YIJ?j;blw+IRnelx753Q{sho`v`gsmmUscQl;blw+IRnelx_Oh5lnu-OPlkbz]I%Hk5lnu-OPlkbz]I%H0:amp*JSadoyXN ]EF0f?fhs'E^bah|P1^llp5679&iex"B[ilgq[4Yig}:;<ei|&F_e`k}_0]mkq6788%hby!CThofvZ7Xff~;<=?PD3,G57`?1^F1*A44<2iex"B[ilgq[4Yig}:;<#J=_QV\562?1^F1*A4XX]U9?95lnu-OPlkbzV;Tbbz?013,gkr(D]cfiQ>_omw4566WM8%H?Q_T^100>ei|&F_e`k}_0]mkq6788%hby!CThofvZ7Xff~;<=?PD3,G6ZVSW=9?7n`{/MVji`tX9Vddx=>?1.amp*JSadoyS_omw4566'jd#AZfmdp\5Zhh|9:;=RJ=.E0\TQY1:l1hby!CThofvZ7Xff~;<=? cov,HQojm{U:Sca{0122[A4)Y::0ocz LUknawY6Wge<=>>/blw+IRnelxT=R``t1235ZB5&\CFo6mat.Vji`t;87l0ocz Thofv969&JOn7n`{/Uknaw:76'Nm7n`{/Uknaw:76'N:j6mat.Vji`t;87$O>h5lnu-Wmhcu494%]<>4cov,Plkbz5:5"_KH1e9`jq)Sadoy0=0Ptrkngwcf|lnTtb|Pc:amp*Rnelx7=3h4cov,Plkbz5;5"NKj;blw+Qojm{6:2#Ji;blw+Qojm{6:2#J>f:amp*Rnelx7=3 K2068gkr(\`gn~1?1.E0\TQY79=1hby![ilgq848)L;U[XR?>4:amp*Rnelx7=3 K2^RW[7c2:+TBOj1hby![ilgq878a3jd#Ygbes>1:+EBm2iex"Zfmdp?6;(Cn2iex"Zfmdp?6;(C9o1hby![ilgq878)L;;?7n`{/Uknaw:56'N9S]ZP0068gkr(\`gn~1<1.E0\TQY69=1hby![ilgq878)L;U[XR<>4:amp*Rnelx7>3 K2^RW[6c1:+TBOj1hby![ilgq868a3jd#Ygbes>0:+EBm2iex"Zfmdp?7;(Cn2iex"Zfmdp?7;(C9o1hby![ilgq868)L;;?7n`{/Uknaw:46'N9S]ZP0068gkr(\`gn~1=1.E0\TQY69=1hby![ilgq868)L;U[XR<>4:amp*Rnelx7?3 K2^RW[6c0:+TBO8n0ocz Thofv959W}yban|jaugg[}iuWk1hby![ilgqPFcei|&^bah|[C/PFC<=df}%\_1>1b:amp*QT494%Hn5lnu-TW969&M;h7n`{/VQ?4;(C:m1hby!XS=2=*@^W9;1hby!XS=2=*@^WWY^T<<<4cov,SV:76'OS\R^[_03f?fhs'^Y7<3 JXQ]wwlkumgkfiiQaeu]a?fhs'^Y7<3 ^d:amp*QT494%^HI>a:amp*QT494Tx~gbcsgbp`bXpfxT56mat.UP848e3jd#Z]31?,Gg>ei|&]X0<0!D0a8gkr(_Z6:2#J=109`jq)P[5;5"I6mat.UP848)MQZT\YQ?139`jq)P[5;5"HV__QV\544>/G[TZVSW;;n7n`{/VQ?5;(BPYUdc}eocnaaYim}Ui7n`{/VQ?5;(Vl2iex"Y\<0<-V@A>3jd#Z]32?`8gkr(_Z692#Jl;blw+RU;:7$O=n5lnu-TW949&M8:=6mat.UP878)L;U[XR>>1:amp*QT4;4%H?Q_T^3g?fhs'^Y7>3 JXQ31?fhs'^Y7>3 JXQ]SPZ66:2iex"Y\<3<-A]VXX]U:=?5lnu-TW949&LR[S]ZP2008gkr(_Z692#KWP^RW[67b3jd#Z]32?,F\UYs{`gyicobee]maqYe3jd#Z]32?,R`>ei|&]X0?0!RDE2e>ei|&]X0?0Ptrkngwcf|lnTtb|P9:amp*QT4:4i7n`{/VQ?7;(Ck2iex"Y\<2<-@4e/F154=df}%\_1=1.E0\TQY7981hby!XS=1=*A4XX]U:=<5lnu-TW959&M8T\YQ=d:amp*QT4:4%IU^>e:amp*QT4:4%IU^Ptrknv`hfelnTbhzPb:amp*QT4:4%]i5lnu-TW959&[OL=l5lnu-TW959W}yban|jaugg[}iuWh1hby!aIQN1vf=df}%eE]B=r/Fg?fhs'gC[@?|!D0f8gkr(f@ZG> K2b9`jq)iAYF9~#_j;blw+kOWD;x%^HIm;bq,jJpbzekrh6m|/oMuawjfq'Nn7n} nNtfvig~&M;n7n} nNtfvig~&M8:?6m|/oMuawjfq'N9S]ZP0018gv)iGoy`lw!D3]SPZ7a3jy$bBxjrmcz*@^W9=1h"`@vdpoe|(BPYU[XR>>4:ap+kIqm{fju#KWP^RW[4733jy$bBxjrmcz*@^WWY^T><:4cr-mKscudhs%IU^PPU]065=d{&dDzh|cax,F\UYs{`gyicobee]maqYc3jy$bBxjrmcz*T`Jz'N:o6g`bl-@3:+Bc3`eia"K\<1<-@4b2:klfh)B[5:5"Iohjd%N_1>1.Pg8mjdj'LY7<3 ]EF3a?liee&OX0=0Ptrkngwcf|lnTtb|Pa:klfh)B[5;5o6g`bl-FW979&Mn0eblb/DQ?5;(C9m1bcoc ER>2:+B59;1bcoc ER>2:+B5WY^T<<<4in`n+@U;97$O>R^[_031?liee&OX0<0!D3]SPZ46:2cdn`!JS=3=*A4XX]U8o6g`bl-FW979&Xo0eblb/DQ?5;(UMNk0eblb/DQ?6;eohjd%N_1<1.E3g?liee&OX0?0!D331?liee&OX0?0!D3]SPZ66:2cdn`!JS=0=*A4XX]U:=?5foco,AV:56'N9S]ZP2b9jkgk(MZ692#_j;hmai*CT4;4%^HI>b:klfh)B[585Sy}fmbpfeqccWqeySl5foco,AV:46j1bcoc ER>0:+Bc3`eia"K\<2<-@4b2:klfh)B[595"I/F1[URX;j1bcoc ER>0:+Wb3`eia"K\<2<-V@A6j2cdn`!JS=1=[qunejxnmykk_ymq[2=ngkg$I74in`n+@t)Lh1bcoc Es,G5d=ngkg$I K289jkgk(M{$Zn6g`bl-Fv+TBOm1bcoc INPGV@Wun2cdn`!FOSFQATt)L8:0eblb/HMQ@WCVz'N:==5foco,MJTCZL[y"Iohjd%BC^>m;hmai*OH[9$Oo6g`bl-JKV6)L8i0eblb/HMP4+B5981bcoc INQ3*A4XX]U;=<5foco,MJU7&M8T\YQ>109jkgk(AFY;"I5foco,MJUXNZGTJKj>.E37?liee&CD_RH\M^DE`4(C98>0eblb/HMP[CUJWOLo=#J=129jkgk(AFYTJ^CPFGf2*T723`eia"G@S^DPIZ@Al8$YIJ?>;hmai*OH[VLXARHId330?liee&CD_RH\M^DE`7(C9=1bcoc INQ\BVKXNOn9"I?>4:klfh)NGZUM_@QIFe0-@77>3`eia"G@S^DPIZ@Al;$O>R^[_13:?liee&CD_RH\M^DE`7(C:VZ_S%H??<;hmai*OH[VLXARHId5,R50=ngkg$EB]PFRO\BCb3&[OL=<5foco,MJUXNZGTJKj:129jkgk(AFYTJ^CPFGf6*A733`eia"G@S^DPIZ@Al<$O=<:4in`n+LITWOYFSKHk5/F156=ngkg$EB]PFRO\BCb2&X;>7damm.KLWZ@TEVLMh8 ]EF32?liee&CD_RH\M^DE`3743`eia"G@S^DPIZ@Al?$O=95foco,MJUXNZGTJKj9.E320>ohjd%BC^QISL]EBa0)L;;27damm.KLWZ@TEVLMh; K2^RW[57>3`eia"G@S^DPIZ@Al?$O>R^[_030?liee&CD_RH\M^DE`3(V9<1bcoc INQ\BVKXNOn="_KH109jkgk(AFYTJ^CPFGf456=ngkg$EB]PFRO\BCb0&M;?7damm.KLWZ@TEVLMh: K1068mjdj'@EXSK]B_GDg3+B5901bcoc INQ\BVKXNOn<"I189jkgk(AFYTJ^CPFGf4*A4XX]U9=>5foco,MJUXNZGTJKj8.P36?liee&CD_RH\M^DE`2(UMNn0eblb/HMTVWCVzo1bcoc INUQV@Wu&M;;7damm.KLSWTBY{$O=<>4in`n+LIPZ[OZ~#J=f:klfh)NG^XYI\|!Q038mjdj'@E\^_K^r/PFC<=ngkg$Z]O}b:klfh)QXHx%Hn5foco,RUGu&M;h7damm.TSEw(C:k1bcoc VQCq*Tb.DZS[URX88>0ebl|/Bnfew7)MQZT\YQ>159jkgu(Keoj~< JXQ]SPZ4582cdn~!Lldcq5+C_XV~xe`|jn`of`Zhb|Vn0ebl|/Bnfew7)Yo1bco} Cmgbv4(UMNh0ebl|/HMBGQtc3`ei"G@ABVq*Acohjz%BCIAAEs,Gb>ohjz%BCIAAEs,G5c=ngky$EBJ@NDp-@7cohjz%BCA?!CD`8mjdt'@EG=#Jl;hmaw*OHD8$O=n5focq,MJJ6&M8i7dams.KLH4(Vl2cdn~!FOM3-V@Af3`ei"G@RV3`?lie{&CD^Z?!De9jkgu(AFX\=#J>d:klfv)NG[]:"I<>2:klfv)NG[]:"Iohjz%BC_Y>.E0\TQY3m2cdn~!FOSU2*@^W9o1bco} INPT5+C_XV~xe`|jn`of`Zhb|Vi0ebl|/HMQS4(Vm2cdn~!FOSU2*WC@9k1bco} INPT5Zrtadiyilzjd^zlvZ?.SGDe>ohjz%Gclj>c:klfv)Kghn:"Ij4in`p+Iifl8$O=i5focq,Hjgc9'N9=?5focq,Hjgc9'N9S]ZP0008mjdt'Eejh< K2^RW[4753`ei"B`ae3-@7YW\V8:>6g`br-Okdb6&M8T\YQ<139jkgu(Dfko=#J=_QV\0443:klfv)S[VLXARHId0,G64>6g`br-WWZ@TEVLMh< ^159jkgu(\ZUM_@QIFe3-V@A682cdn~![S^DPIZ@Al;;97dams.VP[CUJWOLo>#J>3:klfv)S[VLXARHId3,G545#KWP^RW[4423`ei"Z\_GQN[C@c:'OS\Rz|ilpfjdkblVdnxR?=;hmaw*RTWOYFSKHk2/S20>ohjz%__RH\M^DE`7(UMN30bLJ`uuNF4a=iIMe~xAK?.QCGa>hFLf@H>POCWE55=iIMe~xAK?_N@VB+B692dJHb{{LD2\KGSA&M;:=6`NDnwwH@6XGK_M"I<>0:lB@jssDL:TCO[I.P;8jDBh}}FN=i5aAEmvpIC6&YKOi6`NDnwwH@7XGK_M==5aAEmvpIC6WFH^J#J>1:lB@jssDL;TCO[I.E325>hFLf@H?POCWE*A46>2dJHb{{LD3\KGSA&M8T\YQ?179mEAir|EO:SBLZF/F1[URX98:0bLJ`uuNF5ZIE]O$Z=?5aAEmvpIC6WFH^J#\JG69mEVYA[Kh0bL]PFR@-TDBd3gKXSK]M_H@VB2=iIZUBCOl4n@Q\MJD)XHNj7cO\_HMAkprc3gKXSDAMotv'K@ehF[VCDNb{{.SGDb>hF[VCDNb{{.UPPP2=iIfCHl4n@mvpJC)XHNh7cO`uuMF[JDRNl1eMb{{OD]LFP@)Lo1eMb{{OD]LFP@)L8l0bLaztNG\KGSA&M8:<6`NotvLAZIE]O$NT]?:;oClqqIBWFH^J#KWP^RW[5723gKdyyAJ_N@VB+C_XVZ_S?:;oClqqIBWFH^J#KWP^RW[1463gKdyyAJ_N@VB+C_XV~xe`|jn`of`Zhb|Vo0bLaztNG\KGSA&X;;7cO`uuMF[JDRN'XNK45aBDPQ[CUEl2dII_\PFR@-TDBb3gHN^_QISC]LFP@682dII_\PFR@\KGSA&M;:7cLJRS]EWGYHJ\L%H;o@FVWYA[KUDNXH!D335?kDBZ[UM_OQ@BTD-@7YW\V:::6`MESP\BVDXGK_M"I<<4nCGQVZ@TJVEIYK JXQ34?kDBZ[UM_OQ@BTD-A]VXX]U;=:5aBDPQ[CUEWFH^J#KWP^RW[4703gHN^_QISC]LFP@)MQZT\YQ=229mF@TUWOYISBLZF/G[TZrtadxnblcjd^lfpZ773gHN^_QISC]LFP@)Y880bOK]R^DPFZIE]O$YIJ?=;o@FVWYA[KUDNXH!UHO:?kDBZ[UBCOj4nCGQVZOHJ'ZJHh5aBDPQ[LIEW@H^J:5aBS]JKGdhEZVCDNRAMUG,G6ZVSW9;?7cL]_HMA[JDRN'N9S]ZP1028jGTXAFHTCO[I.DZS50=iJ[UBCOQ@BTD-A]VXX]U;=85aBS]JKGYHJ\L%IU^PPU]250=iJ[UBCOQ@BTD-A]VXX]U9><5aBS]JKGYHJ\L%IU^Ptrknv`hfelnTbhzPe:lAVZOHJVEIYK ^119mFWYNGKUDNXH!RDE2`>hEZVCDNRAMUG]wwlkdzlkiiQwos]7?kEF[11eOL]!P@F:?kEF[VEIYKl4nBCP[JDRN'Nh7cMNS^MAQC(C9j1eOL]POCWE*A4692dHM^Q@BTD-@7YW\V::=6`LAR]LFP@)L;U[XR?>1:l@EVYHJ\L%H?Q_T^025>hDIZUDNXH!D3]SPZ5692dHM^Q@BTD-@7YW\V>:=6`LAR]LFP@)L;U[XR;>1:l@EVYHJ\L%H?Q_T^4a?kEF[VEIYK ^d:l@EVYHJ\L%^HIn;oFWEFMXNZHn7cJ[ABI\BVD)XHNm7cJ[ABI\BVDXGK_M=<5aDUC@OZ@TJVEIYK*^109m@QGDCVLXNRAMUG,G57=iL]KHGRH\B^MAQC(C9880bIZNCJ]EWGYHJ\L%H??8;oFWEFMXNZHTCO[I.E0\TQY79>1eHYOLK^DPFZIE]O$O>R^[_034?kBSIJATJ^LPOCWE*A4XX]U9=:5aDUC@OZ@TJVEIYK K2^RW[6703gN_MNEPFR@\KGSA&M8T\YQ;169m@QGDCVLXNRAMUG,G6ZVSW<;:7cJ[ABI\BVDXGK_M"\?<;oFWEFMXNZHTCO[I.SGDe>hC\HI@SDAMe:lGPDELW@EI"]OKf:lGPDELW@EISDLZF79m@lhKMh1eHd`CE/RB@g=iL`dGIRAMUGf8jAoiDLUDNXH!Dd9m@lhKMVEIYK K1d9m@lhKMVEIYK K2g9m@lhKMVEIYK JXQ37?kBnfEOTCO[I.DZS[URX88>0bIgaLD]LFP@)MQZT\YQ>159m@lhKMVEIYK JXQ]SPZ4582dOecBJ_N@VB+C_XV~xe`|jn`of`Zhb|Vn0bIgaLD]LFP@)Yo1eHd`CE^MAQC(UMN<0bIgaODc8jAoiGL$[MIl4nEkmK@YHJ\Lo7cJfnNG\KGSA&Mo0bIgaOD]LFP@)L8o0bIgaOD]LFP@)L;;87cJfnNG\KGSA&M8T\YQ?129m@lhHMVEIYK K2^RW[4743gNbbBKPOCWE*A4XX]U9h6`KioMF[JDRN'[<7cJ`uuMFf>hCg|~DI#^NDb9m@jssGLUDNXHj;oFlqqIBWFH^J#Ji;oFlqqIBWFH^J#J>f:lGkprHMVEIYK K2068jAir|FOTCO[I.E0\TQY79=1eHb{{OD]LFP@)L;U[XR?j;oFlqqIBWFH^J#_:;oKSH7?#^ND`9mMUJ5W@H^J55aLEQ\BVDd3gFO_RH\B/RB@a=iDMYTJ^LPICWE<>hKLZUBCOm4nMFP[LIE&YKOn6`CDR]JKGir|l1e@I]PIN@lqq"HMm1e@I]PIN@lqq"V9<1e@I]PIN@lqq(E]OEN"B[[d:lO@VYNGKe~x#Jj;oNGWZOHJf"I?j;oNGWZOHJf"I<>3:lO@VYNGKe~x#J=_QV\4454nMFP[LIEg|~%X_][3:lLA2=iGL$[MI64nNG\KGSAi2dDIRAMUG,Gf>hHMVEIYK K1c9mK@YHJ\L%H?m4nNG\KGSA&LR[=<5aOD]LFP@)MQZT\YQ?109mK@YHJ\L%IU^PPU]254=iGLUDNXH!EYR\TQY5981eCHQ@BTD-A]VXX]U8=<5aOD]LFP@)MQZT\YQ;1e9mK@YHJ\L%IU^Ptrknv`hfelnTbhzPa:lLAZIE]O$Zo6`@E^MAQC(UMN=0b_OBLVGa?kTFEE]N"]OKc:lQEHJPMVEIYKk4nSCNHRCXGK_M"Ih4nSCNHRCXGK_M"I?i;oPBIIQBWFH^J#J=119mVDKK_LUDNXH!EYR21>hUIDF\IRAMUG,F\UYW\V::96`]ALNTAZIE]O$NT]Q_T^321>hUIDF\IRAMUG,F\UYW\V89=6`]ALNTAZIE]O$NT]Q{shoqakgjmmUeiyQj;oPBIIQBWFH^J#_8;oPBIPQBj2dYM@[XE/RB@f=iZHG^[HQ@BTDf?kTFE\]NSBLZF/Fe?kTFE\]NSBLZF/F2b>hUID_\IRAMUG,G646hUID_\IRAMUG,R0>hUIZ20b_O\.QCG=>hUIZUDNXHm;oPBWZIE]O$Oo6`]AR]LFP@)L8i0b_O\_N@VB+B5l2dYM^Q@BTD-A]V6:2dYM^Q@BTD-A]VXX]U;=?5aR@Q\KGSA&LR[S]ZP1008jWGTWFH^J#KWP^RW[7753gXJ_RAMUG,F\UYW\V9:>6`]AR]LFP@)MQZT\YQ;1d9mVDUXGK_M"HV__uqjiwciidooSck{_c9mVDUXGK_M"\:4nSGP<>hUMZ$[MI94nSGPkpr>3gXN_b{{.Ec8jWCTg|~%HhUGDIXSBLZF/G[TZVSW9;?7c\@MBQ\KGSA&LR[S]ZP1068jWIJKZUDNXH!EYR\TQY59=1e^BCLS^MAQC(BPYU[XR=>4:lQKHETWFH^J#KWP^RW[1473gXDAN]POCWE*@^WW}ybakaalgg[kcsWm1e^BCLS^MAQC(V>2dYC@YJa:lQKHQB&YKOn6`]OLUF[JDRNm1e^BCXE^MAQC(Cm2dYC@YJ_N@VB+B6m2dYC@YJ_N@VB+B5n2dYC@YJ_N@VB+C_X8>0b_ABWD]LFP@)MQZT\YQ?159mVJKPMVEIYK JXQ]SPZ76<2dYC@YJ_N@VB+C_XVZ_S??;;oPLIRCXGK_M"HV__QV\776>3:lV@VYNGKe~x#J=_QV\545hQEHUBCO _AE`8jSKFW@EIcxzj;oTNEZOHJf(BKk;oTNEZOHJf(\?:;oTNEZOHJf"O[IOD,LQQbtfe&HJOY|!D3`8vdk(JHI_~#_k;scn+GGD\{$YIJo4r`o,@QGDC{i0~lc DUC@Ow(Cl2xja"J[ABIq*A7c3{kf#IZNCJp-@7etfe&N_MNE}.SGD=>tfe&XJ_HBm;scn+WGTME$Oo6|nm.PBW@J)L8i0~lc R@QFH+B5981ym`!]ARGO*A4XX]U;=<5}al-QEVCK&M8T\YQ>109qeh)UIZOG"Itfe&XJ_HB!EYR26>tfe&XJ_HB!EYR\TQY79;1ym`!]ARGO*@^WWY^T=c:pbi*TF[LD%H??>;scn+WGTMG$O>R^[_132?wgj'[KXIC K2^RW[4763{kf#_O\EO,G6ZVSW;;:7ob/SCPAK(C:VZ_S>?>;scn+WGTMG$O>R^[_532?wgj'[KXIC K2^RW[0d;scn+WGTz{$O>R^[_3f8vdk(ZHYy~#KWP008vdk(ZHYy~#KWP^RW[5753{kf#_O\rs,F\UYW\V;:>6|nm.PBWwt)MQZT\YQ=1d9qeh)UIZxy"HV__uqjiwciidooSck{_c9qeh)UIZxy"\j4r`o,VDUuz'XNKk5}al-QWZ@TEVLMh;scn+WUXNZGTJKj>.E31?wgj'[YTJ^CPFGf2*A76:2xja"\\_GQN[C@c9'N9=<5}al-QWZ@TEVLMh< ^129qeh)U[VLXARHId0,QAB`6|nm.PP[CUJWOLo>#J>139qeh)U[VLXARHId3,G647>8:pbi*TTWOYFSKHk3/G[TZVSW8;37ob/SQ\BVKXNOn8"HV__QV\64>6|nm.PP[CUJWOLo8#J=129qeh)U[VLXARHId5,F\U7?3{kf#_]PFRO\BCb3&LR[S]ZP00:8vdk(ZZUM_@QIFe6-A]VXX]U:=55}al-QWZ@TEVLMh9 JXQ]SPZ4602xja"\\_GQN[C@c<'OS\R^[_207?wgj'[YTJ^CPFGf7*@^WW}ybakaalgg[kcsW8;0~lc RR]EWHYANm>%]<=4r`o,VVYA[DUMJi:!RDEe?wgj'[YTJ^CPFGf654=uid%Y_RH\M^DE`0(C9;1ym`!]S^DPIZ@Al<$O=<<4r`o,VVYA[DUMJi;!D334?wgj'[YTJ^CPFGf6*A4XX]U;=:5}al-QWZ@TEVLMh8 K2^RW[4763{kf#_]PFRO\BCb2&X;87ob/SQ\BVKXNOn>"_KHf:pbi*TTWOYFSKHk6038vdk(ZZUM_@QIFe4-@447:pbi*TTWOYFSKHk7/F1[URX88=0~lc RR]EWHYANm=%H?Q_T^323>tfe&XXSK]B_GDg3+B5WY^T><94r`o,VVYA[DUMJi9!D3]SPZ56?2xja"\\_GQN[C@c?'N9S]ZP4058vdk(ZZUM_@QIFe5-@7YW\V?:=6|nm.PP[CUJWOLo;#_>3:pbi*TTWOYFSKHk7/PFCc=uid%Y_RH\M^DE`=763{kf#_]PFRO\BCb?&M;97ob/SQ\BVKXNOn3"I?>2:pbi*TTWOYFSKHk8/F152=uid%Y_RH\M^DE`=(C:VZ_S=?8;scn+WUXNZGTJKj7.E0\TQY69>1ym`!]S^DPIZ@Al1$O>R^[_334?wgj'[YTJ^CPFGf;*A4XX]U8=:5}al-QWZ@TEVLMh5 K2^RW[1703{kf#_]PFRO\BCb?&M8T\YQ:169qeh)U[VLXARHId9,G6ZVSW?;:7ob/SQ\BVKXNOn3"\?<;scn+WUXNZGTJKj7.SGDf>tfe&XnjIaaee9qeh)UmoNdbh Ke:pbi*TbnMeei#J>e:pbi*TbnMeei#J=129qeh)UmoNdbh K2^RW[5743{kf#_kiDnlf*A4XX]U:h6|nm.PfbAiim'[m7ob/Sge@jhb&[OL96xnltg~DEv?=1>0LMv=a58E>7<6sZn86?o8:`8271d68o0::5<7{o0a5?70j6<=;b02e>40?:11]>lj52zw2`6<63|;o87>4}%0b5?7c:2.:i>4=a79a6d5=83>=689n:474M4f82.95k4=a29Yfc<5s;k1=h4ri8694?"6m?02?6`>e483?>o>93:1(;2d:i84=;:k;`?6=,8o=64=4n0g6>6=e78:7>h6m<0?76g77;29 4c12090b5$0g5><56:54i9394?"6m?02?6`>e48;?>o0l3:1(;2d:i84m;:k`f?6=3`i;6=44i6d94?"6m?02?6`>e48:?>o013:1(;2d:i84k;:k206<722c=>=4?::k7b2<722cj>7>5$0g5><56k54i`694?"6m?02?6`>e48f?>o2:00;66g:5c83>>o2080;66g:eg83>>oc03:17d;8e;29?l06l3:17d6m:18'5`0=1:1e=h;51798md6=83.:i;463:l2a0<6821b5;4?:%3f2??43g;n97?:;:k:42<3`3j6=4+1d49=6=i9l?1=>54i8a94?"6m?02?6`>e4826>=n1l0;6)?j6;;0?k7b=3;:76a90283>>i3nh0;66a:8583>>i2n;0;66a:1983>>i19;0;66a:bd83>>i2:j0;66a=0083>!7b>3;mm6`>e48;?>i2f783>!7b>3;mm6`>e48a?>i6n10;6)?j6;3ee>h6m<0:76a>fe83>!7b>3;mm6`>e48b?>i6no0;6)?j6;3ee>h6m<0276a=0283>!7b>3;mm6`>e484?>i58<0;6)?j6;3ee>h6m<0=76a=0683>!7b>3;mm6`>e486?>i5800;6)?j6;3ee>h6m<0?76a=0c83>!7b>3;mm6`>e480?>i58m0;6)?j6;3ee>h6m<0976a:e383>>i3m?0;66a:3e83>>i2=l0;66a:9483>>-2kj0;66a>fc83>!7b>3;mm6`>e483?>i3mm0;66a:0883>>i2>k0;66a:7483>>i2i=0;66a:b183>>i2l=0;66al4;29?g?2290:6=4?{%0bg?7b:2B9m=5f1d094?"5ij0:i?5+1e396g=#9l>1>o54}c`7>5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+637951=#>;>1=95r}|8yg?5290:6=4?{%0bg?7b:2B9m=5f1d094?"5ij0:i?5+1e3962=#9l>1>:54}c`0>5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+637951=#>;>1=95r}|8yg?7290:6=4?{%0bg?7b:2B9m=5f1d094?"5ij0:i?5+1e3960=#9l>1>854}c`1>5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+637951=#>;>1=95r}|8yg>b290:6=4?{%0bg?7b:2B9m=5f1d094?"5ij0:i?5+1e3961=#9l>1>954}c`2>5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+637951=#>;>1=95r}|8yg>f290:6=4?{%0bg?7b:2B9m=5f1d094?"5ij0:i?5+1e3966=#9l>1>>54}cce>5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+637951=#>;>1=95r}|8yg>?290:6=4?{%0bg?7b:2B9m=5f1d094?"5ij0:i?5+1e3967=#9l>1>?54}ccf>5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+637951=#>;>1=95r}|8yg>1290:6=4?{%0bg?7b:2B9m=5f1d094?"5ij0:i?5+1e3964=#9l>1><54}ccg>5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+637951=#>;>1=95r}|8yg>3290:6=4?{%0bg?7b:2B9m=5f1d094?"5ij0:i?5+1e3965=#9l>1>=54}cc`>5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+637951=#>;>1=95r}|8yg>5290:6=4?{%0bg?7b:2B9m=5f1d094?"5ij0:i?5+1e395c=#9l>1=k54}cca>5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+637951=#>;>1=95r}|8yg1b290:6=4?{%0bg?7b:2B9m=5f1d094?"5ij0:i?5+1e395f=#9l>1=n54}cc:>5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+637951=#>;>1=95r}|8yg1d290:6=4?{%0bg?7b:2B9m=5f1d094?"5ij0:i?5+1e395g=#9l>1=o54}cc;>5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+637951=#>;>1=95r}|8yged290:6=4?{%0bg?7b:2B9m=5f1d094?"5ij0:i?5+1e396<=#9l>1>454}cag>5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+637951=#>;>1=95r}|8ygeb29096=4?{%0bg?db3A8j<6g>4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=991/:?:5119~yx{e09K6dd<^;kn6:3:8 4c32;207pll2;296?6=8r.9mn4me:J1e5=n9=31<7*=ab820<=N5i91b=9750;&1ef<6<010c:18'6de=9l;0D?om;W0ba?7|D;h;64381!05=3;;7)8=4;33?x{zu2wi4=4?:083>5}#:hi1=h<4H3c3?l7b:3:1(?ol:0g1?!7c93;o7)?j4;3g?>{eih0;6?4?:1y'6de=jl1C>l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3;?7)8=4;37?x{zu2wi;l4?:083>5}#:hi1=h<4H3c3?l7b:3:1(?ol:0g1?!7c93?j7)?j4;7b?>{ei>0;6?4?:1y'6de=jl1C>l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3;?7)8=4;37?x{zu2wi;54?:083>5}#:hi1=h<4H3c3?l7b:3:1(?ol:0g1?!7c93?97)?j4;71?>{ei?0;6?4?:1y'6de=jl1C>l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3;?7)8=4;37?x{zu2wi=9:50;394?6|,;kh6o6m;0;6)"6l809h6*>e581`>=zj8>>6=4=:183!4fk3hn7Ee09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1==5+636955=zutw0qo?;6;296?6=8r.9mn4me:J1e5=n9=31<7*=ab820<={e>;;1<7?50;2x 7gd28o97E4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=9=1/:?:5159~yx{m47>51;294~"5ij0:i?5G2`28m4c5290/>lm51d08 4b62:80(l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3;?7)8=4;37?x{zu2wim>4?:083>5}#:hi1=h<4H3c3?l7b:3:1(?ol:0g1?!7c93>>7)?j4;66?>{ejk0;6?4?:1y'6de=jl1C>l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3;?7)8=4;37?x{zu2wim84?:083>5}#:hi1=h<4H3c3?l7b:3:1(?ol:0g1?!7c93>j7)?j4;6b?>{ejj0;6?4?:1y'6de=jl1C>l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3;?7)8=4;37?x{zu2wi9?o50;394?6|,;kh6o6m;0;6)"6l80?i6*>e587a>=zj<8i6=4=:183!4fk3hn7Ee09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1=95+636951=zutw0qo?kd;296?6=8r.9mn4me:J1e5=n9=31<7*=ab820<=0qpsr;|`61f<7280;6=u+2`a95`4<@;k;7d?j2;29 7gd28o97)?k1;73?!7b<3?;76sm54f94?4=83:p(?ol:cg8L7g73`;?57>5$3c`>42>32e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*924820>"1:=0:86sr}|9~f0>5290:6=4?{%0bg?7b:2B9m=5f1d094?"5ij0:i?5+1e3974=#9l>1?<54}c7;7?6=:3:1N5i91b=9750;&1ef<6<010c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>742<,?8?6<:4}|~?xd2n90;6<4?:1y'6de=9l80D?o?;h3f6?6=,;kh6t$3c`>gc<@;k;7d?;9;29 7gd28>276a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560<6<2.=>94>4:~yx=zjm31<7?50;2x 7gd28o97E4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=9=1/:?:5159~yx{51;294~"5ij0:i?5G2`28m4c5290/>lm51d08 4b62l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3;?7)8=4;37?x{zu2wi:o6m;0;6)"6l80?j6*>e587b>=zj?;m6=4=:183!4fk3hn7Ee09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1=95+636951=zutw0qo6l:182>5<7s-8jo7?j2:J1e5=n9l81<7*=ab82a7=#9m;19k5+1d691c=e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1=95+636951=zutw0qoo>:182>5<7s-8jo7?j2:J1e5=n9l81<7*=ab82a7=#9m;1?55+1d697==e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1=95+636951=zutw0qo78:182>5<7s-8jo7?j2:J1e5=n9l81<7*=ab82a7=#9m;1:=5+1d6925=6=4=:183!4fk3hn7Ee09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1=95+636951=zutw0qo76:182>5<7s-8jo7?j2:J1e5=n9l81<7*=ab82a7=#9m;1:<5+1d6924=e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1=95+636951=zutw0qo7m:182>5<7s-8jo7?j2:J1e5=n9l81<7*=ab82a7=#9m;1:?5+1d6927=e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1=95+636951=zutw0qo7k:182>5<7s-8jo7?j2:J1e5=n9l81<7*=ab82a7=#9m;1>;5+1d6963=e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1=95+636951=zutw0qo7i:182>5<7s-8jo7?j2:J1e5=n9l81<7*=ab82a7=#9m;1?=5+1d6975=e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1=95+636951=zutw0qo8?4;295?6=8r.9mn4>e39K6d61b<3th=<>4?:283>5}#:hi1>4k4H3c3?l7313:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;9:T207<5s-<997?=;%410?753twvq6gk4;29 7gd2m>0Z?oj:0yO6g6=9r\o;7a2<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th?jo4?:083>5}#:hi1=h<4H3c3?j7b:3:1(?ol:0g1?!7c93??7)?j4;77?>{e6*925826>{zut1bh94?:%0bg?b33_8ji7?tL3`3>4}Ql>09w)?j8;f7?S73:38p(;<::c9'272=j2wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e=1?1<7?50;2x 7gd28o97E329086=4?{%0bg?4>m2B9m=5f15;94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=30Z<:=:3y'273=9;1/:?:5139~yx{1<7*=ab8g0>P5il0:wAg=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f0`4290:6=4?{%0bg?7b:2B9m=5`1d094?"5ij0:i?5+1e3916=#9l>19>54}c7e6?6=;3:142>3_;?>744<,?8?6<<4}|~?lb3290/>lm5d59U6dc=9rF9n=4>{Wf4>7}#9l21h95Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c72=?6=93:1:5`8 4c32=h07pl:1983>7<729q/>lm5bd9K6d626=4+2`a951?<3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;31?!05<3;97psr}:a245=83;1<7>t$3c`>4c53A8j<6a>e383>!4fk3;n>6*>d086a>"6m=0>i65rb731>5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+637957=#>;>1=?5r}|8yg3en3:1=7>50z&1ef<6m;1C>l>4o0g1>5<#:hi1=h<4$0f2>11<,8o?6994;|`6f`<72;0;6=u+2`a9f`=O:h:0e<:6:18'6de=9=307b?j1;29 7gd28o:7[{e=;n1<7?50;2x 7gd28o97E4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=9;1/:?:5139~yx{7>51;294~"5ij0:i?5G2`28k4c5290/>lm51d08 4b62:k0(l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3;97)8=4;31?x{zu2wi99j50;394?6|,;kh6i6m;0;6)"6l809i6*>e581a>=zj<>h6=4=:183!4fk3hn7Ee09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1=?5+636957=zutw0qo?i7;295?6=8r.9mn4>e39K6d64$0g7>16<3th:j;4?:383>5}#:hi1nh5G2`28m42>290/>lm515;8?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997?=;%410?753twvq6sm1g;94?7=83:p(?ol:0g1?M4f82e:i?4?:%0bg?7b:2.:h<4;2:&2a1<3:21vn5<7s-8jo7lj;I0b4>o6<00;6)=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5139'272=9;1vqps4}c3ea?6=93:1:2g8 4c32:o07pl>fe83>7<729q/>lm5bd9K6d626=4+2`a951?<3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;31?!05<3;97psr}:a656=83;1<7>t$3c`>4c53A8j<6a>e383>!4fk3;n>6*>d080g>"6m=08o65rb0de>5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+637957=#>;>1=?5r}|8yg47<3:1=7>50z&1ef<6m;1C>l>4o0g1>5<#:hi1=h<4$0f2>6?<,8o?6>74;|`146<72;0;6=u+2`a9f`=O:h:0e<:6:18'6de=9=307b?j1;29 7gd28o:7[{e:9<1<7?50;2x 7gd28o97E4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=9;1/:?:5139~yx{51;294~"5ij0:i?5G2`28k4c5290/>lm51d08 4b62:n0(0;6?4?:1y'6de=jl1C>l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3;97)8=4;31?x{zu2wi>=o50;394?6|,;kh6i6m;0;6)"6l808j6*>e580b>=zj;:26=4=:183!4fk3hn7Ee09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1=?5+636957=zutw0qoe39K6d617<3th95}#:hi1nh5G2`28m42>290/>lm515;8?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997?=;%410?753twvq6sm21g94?7=83:p(?ol:0g1?M4f82e:i?4?:%0bg?7b:2.:h<4;3:&2a1<3;21vn?>k:181>5<7s-8jo7lj;I0b4>o6<00;6)=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5139'272=9;1vqps4}c7f7?6=93:1:268 4c32:>07pl:e383>7<729q/>lm5bd9K6d626=4+2`a951?<3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;31?!05<3;97psr}:a0`1=83;1<7>t$3c`>4c53A8j<6a>e383>!4fk3;n>6*>d0862>"6m=0>:65rb5g5>5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+637957=#>;>1=?5r}|8yg34m3:1=7>50z&1ef<6m;1C>l>4o0g1>5<#:hi1=h<4$0f2>60<,8o?6>84;|`67a<72;0;6=u+2`a9f`=O:h:0e<:6:18'6de=9=307b?j1;29 7gd28o:7[{e=4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=9;1/:?:5139~yx{51;294~"5ij0:i?5G2`28k4c5290/>lm51d08 4b62:=0(l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3;97)8=4;31?x{zu2wi9nj50;394?6|,;kh6-6m;0;6)"6l80>o6*>e586g>=zj489U6dc=9rF9n=4>{Wf4>7}#9l21=974V061>7}#>;?1=?5+636957=zutw0ei:50;&1ef{M0a4?7|^m=1>v*>e98g0>P6<;09w)8=5;`8 3432k1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj8lh6=4>:183!4fk3;n>6F=a19l5`4=83.9mn4>e39'5a7=7>50z&1ef5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422880(;<;:008yx{z3th?ih4?:083>5}#:hi1=h<4H3c3?j7b:3:1(?ol:0g1?!7c93?>7)?j4;76?>{e6*925826>{zut1vn8>n:182>5<7s-8jo7?j2:J1e5=h9l81<7*=ab82a7=#9m;1955+1d691==52;294~"5ij0ii6F=a19j51?=83.9mn4>4898k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6<<4$707>444<729q/>lm51d08L7g73f;n>7>5$3c`>4c53-;o=7;m;%3f0?3e32wi9;l50;094?6|,;kh6ok4H3c3?l7313:1(?ol:06:?>i6m80;6)P5il0:wAe09U514=:r.=>84>2:&561<6:2wvqp5rb455>5<6290;w)N5i91d=h<50;&1ef<6m;1/=i?5589'5`2==010qo;85;296?6=8r.9mn4me:J1e5=n9=31<7*=ab820<=376sm5`694?4=83:p(?ol:cg8L7g73`;?57>5$3c`>42>32e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*924826>"1:=0:>6sr}|9~f0d6290:6=4?{%0bg?7b:2B9m=5`1d094?"5ij0:i?5+1e390<=#9l>18454}c7a4?6=:3:1N5i91b=9750;&1ef<6<010c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>744<,?8?6<<4}|~?xd2l<0;6<4?:1y'6de=9l80D?o?;n3f6?6=,;kh6t$3c`>gc<@;k;7d?;9;29 7gd28>276a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560<6:2.=>94>2:~yx=zjj?1<7?50;2x 7gd28o97Et$3c`>gc<@;k;7d?;9;29 7gd28>276a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560<6:2.=>94>2:~yx=zj?:<6=4=:183!4fk3hn7Ee09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi:=;50;094?6|,;kh6ok4H3c3?l7313:1(?ol:06:?>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d7<729q/>lm5bd9K6d626=4+2`a951?<3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c43N5i91b=9750;&1ef<6<010c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f37629086=4?{%0bg?4f12B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4c9U514=:r.=>84>4:&561<6<2wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e>9l1<7850;2x 7gd28><7E{M0a4?7|^8n;6?u+1d:951?<^8>96?u+6379f>"1:=0i7psr}:kg4?6=,;kh6i>4V3cf>4}K:k:1=vX>d181!7b03n;7[?;2;0x 3422k1/:?:5b:~yx=nl80;6)a=zutF9mk4;{W0:`?5|^m?1>v*9228g5>"4m00=>85rVe496~"1::0:845+3d;92736?u+6319`4=#;l31:?;4}Wf5>7}#>;91=974$2g:>3433t.=n54>3:U6a7<,:o26;<:;|Tg2?4|,?886i>4$2g:>3423t.=n54l;|T2a2<5s_n>6?u+6319`4=#;l31:?;4}%4a52z&2a=<51j1]=9<52z&560<6?2.=>94>7:~yI4fn3;pZ?o::3yU`0<5s-<9?7<6c:&0a<<1:<1v(;l7:058yx{P6<;09w)8=5;34?!05<3;<7psrL3ce>4}Q:h?1>vXk5;0x 3442;2o7)=j9;411>{#>k21=:5r}|9l5`7=83.9mn4>e098yg7303:1=7>50z&1ef<5j:1C>l>4o0g2>5<#:hi1=h?4;|`1e7<7280;6=u+2`a95a`<@;k;7b?j1;29 7gd28o:76sm61;94?5=83:p(?ol:3c:?M4f82c:8l4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820d=Q9=81>v*9248a?!05<3h0qpsr;h37f?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{5<6290;w)N5i91d=h?50;&1ef<6m810qo8?c;292?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742f3_;?>740<,?8?6<84}|~?l73j3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924822>"1:=0::6sr}|9j500=83.9mn4>489K6dd<^;kn6P5il0:wA609U514=:r.=>84>6:&561<6>2wvqp5f17694?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<8;;W376?4|,?8>6<84$707>4050z&1ef<0=2B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=9?1/:?:5179~yx{i6=4+2`a951?<^;kn6:6X>4381!05=3;=7)8=4;35?x{zu2c::<4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9?;0Z<:=:3y'273=9?1/:?:5179~yx{o6><0;6)P5il0:wA649U514=:r.=>84>6:&561<6>2wvqp5f17494?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?<0Z<:=:3y'273=9?1/:?:5179~yx{5<4290;w)N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+6379f>"1:=0i7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c424?6=;3:1N5i91b=9o50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422l1/:?:5e:~yx=n9=h1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742e3_;?>7`=#>;>1i6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi8kk50;094?6|,;kh6ok4H3c3?l7313:1(?ol:06:?>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d7<729q/>lm5bd9K6d626=4+2`a951?<3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c6e`?6=:3:1N5i91b=9750;&1ef<6<010c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f1`a29096=4?{%0bg?db3A8j<6g>4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e=9=1<7850;2x 7gd28><7E{M0a4?7|^8n;6?u+1d:951?<^8>96?u+6379f>"1:=0i7psr}:kg4?6=,;kh6i>4V3cf>4}K:k:1=vX>d181!7b03n;7[?;2;0x 3422k1/:?:5b:~yx=nl80;6)a=zutF9mk4;{W0:`?5|^m?1>v*9228g5>"4m00=>85rVe496~"1::0:845+3d;92736?u+6319`4=#;l31:?;4}Wf5>7}#>;91=974$2g:>3433t.=n54>3:U6a7<,:o26;<:;|Tg2?4|,?886i>4$2g:>3423t.=n54l;|T2a2<5s_n>6?u+6319`4=#;l31:?;4}%4a52z&2a=<51j1]=9<52z&560<6?2.=>94>7:~yI4fn3;pZ?o::3yU`0<5s-<9?7<6c:&0a<<1:<1v(;l7:058yx{P6<;09w)8=5;34?!05<3;<7psrL3ce>4}Q:h?1>vXk5;0x 3442;2o7)=j9;411>{#>k21=:5r}|9l5`7=83.9mn4>e098yg3783:1?7>50z&1ef<5i01C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422k1/:?:5b:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560;:a155=83<1<7>t$3c`>23<@;k;7d?;a;29 7gd28>27E{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637953=#>;>1=;5r}|8m431290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;35?!05<3;=7psr}:k224<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651738R4252;q/:?;5179'272=9?1vqps4i047>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?94:T207<5s-<997?9;%410?713twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06b>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?9;%410?713twvq6g>4c83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=;5+636953=zutw0e<;9:18'6de=9=30D?om;W0ba?7|D;h;6:6X>4381!05=3;=7)8=4;35?x{zu2c::<4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9?;0Z<:=:3y'273=9?1/:?:5179~yx{i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d2<729q/>lm5749K6d6j6=4+2`a951?<@;ki7[o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637953=#>;>1=;5r}|8m431290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;35?!05<3;=7psr}:k224<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651738R4252;q/:?;5179'272=9?1vqps4i047>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?94:T207<5s-<997?9;%410?713twvq6g>6483>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;;4V061>7}#>;?1=;5+636953=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f065290?6=4?{%0bg?7b82B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4c9U514=:r.=>84m;%410?d27[2\:8?4={%411?d<,?8?6o5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn8>7:180>5<7s-8jo79:;I0b4>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+6379a>"1:=0n7psr}:k20g<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5e:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{52;294~"5ij0ii6F=a19j51?=83.9mn4>4898k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn868:181>5<7s-8jo7lj;I0b4>o6<00;6)=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>32e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`6=6<72?0;6=u+2`a9511<@;k;7)?9a;3:?l7313:1(?ol:06:?S4fm3;p@?l?:0yU5a6=:r.:i54>489U514=:r.=>84m;%410?dlk51zN1f5<6s_;o<7a6<^8>96?u+6379f>"1:=0i7psr}:kg5?6=,;kh6i?4V3cf>7}K:k:1=vX>d181!7b03n:7[?;2;0x 3422m1/:?:5d:~yI4fn3>pZ?7k:2yU`0<5s-<9?7j>;%1f=?05=2w]h;4={%417?7312.8i449249~ 3d?2890q[<6d;1xRa3=:r.=>>4k1:&0a<<1:<1vZi852z&566<6<01/?h756368y!0e03;87pX=9e80Sb22;q/:?=5d09'7`?=>;?0q[j9:3y'275=l91/?h756378y!0e03i0q[?j7;0xRa3=:r.=>>4k1:&0a<<1:<1v(;l7:0:8yx{P6<;09w)8=5;34?!05<3;<7psrL3ce>4}Q:h?1>vXk5;0x 3442;3h7)=j9;411>{#>k21=:5r}|9j6=b=83.9mn4=8e9U6dc=:rF9n=4>{W3g4?4|,8o36?6k;W376?4|,?8>6<94$707>41lh51zT1e0<5s_n>6?u+63196=b<,:o26;<:;|&5f=<6?2wvq6a>e083>!4fk3;n=65rb4:b>5<4290;w)N5i91b=9o50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422k1/:?:5b:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560;:a1=b=8391<7>t$3c`>23<@;k;7d?;a;29 7gd28>27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a1=c=8391<7>t$3c`>23<@;k;7d?;a;29 7gd28>27[o6P5il0:wA4c9U514=:r.=>84>6:&561<6>2wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e=1l1<7=50;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3;=7)8=4;35?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924822>"1:=0::6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi94>50;194?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?9;%410?713twvq6g>4c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;35?!05<3;=7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0::6*925822>{zut1b=8850;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228<0(;<;:048yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c7;g?6=>3:142f3_;?>7g=#>;>1n6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1n6*9258a?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*9248a?!05<3h0qpsr;h355?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e=0>1<7=50;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;I0bf>P5il0:wA4`9U514=:r.=>84j;%410?c27E5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c7e2?6=:3:1N5i91b=9750;&1ef<6<010c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f0`329096=4?{%0bg?db3A8j<6g>4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e=o?1<7<50;2x 7gd2ko0D?o?;h37=?6=,;kh6<:6;:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th>j:4?:383>5}#:hi1nh5G2`28m42>290/>lm515;8?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo8?1;292?6=8r.9mn4>469K6d6<,85<#:hi1=974V3cf>4}K:k:1=vX>d181!7b03;?56X>4381!05=3h0(;<;:c9~yx{P5il0:wA84m;%410?d:18'6de=l81]>lk52zN1f5<6s_;o<7a7<^8>96?u+6379`>"1:=0o7psrL3ce>1}Q:0n1?vXk5;0x 3442m;0(>k6:706?xPc>38p(;<<:06:?!5b13<996s+6c:956=z^;3o6>uYd481!05;3n:7)=j9;411>{Ql?09w)8=3;37=>"4m00=>95r$7`;>45v*9228g4>"4m00=>85r$7`;>f=z^8o<6?uYd481!05;3n:7)=j9;411>{#>k21=55r}|9j6{W3g4?4|,8o36?7l;W376?4|,?8>6<94$707>41lh51zT1e0<5s_n>6?u+63196!4fk383h6X=ad81I4e83;pZ=:1n0Z<:=:3y'273=9>1/:?:5169~yxJ5io0:w[>4=8e9'7`?=>;?0q)8m8;34?x{z3f;n=7>5$3c`>4c632wi9k650;194?6|,;kh6?o6;I0b4>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+6379f>"1:=0i7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c7e=?6=93:1:18'6de=9l;07pl:fc83>6<729q/>lm5749K6d6j6=4+2`a951?<^;kn6=9=h0Z<:=:3y'273=j2.=>94m;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d6<729q/>lm5749K6d6j6=4+2`a951?<^;kn64381!05=3;=7)8=4;35?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`6ba<72:0;6=u+2`a930=O:h:0e<:n:18'6de=9=30Z?oj:0yO6g6=9r\o;742f3_;?>740<,?8?6<84}|~?l73j3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?9;%410?713twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&5604?:1y'6de=?<1C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228<0(;<;:048yx{z3`;?n7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;35?!05<3;=7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th>jk4?:583>5}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<84$707>4027[o6=?0;6)N5ik1]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+637953=#>;>1=;5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn8hn:185>5<7s-8jo7?j0:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5b:&5614c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<63:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997l4$707>g=zutw0e<8>:18'6de=9=30Z?oj:0yO6g6=9r\o;74063_;?>7g=#>;>1n6sr}|9j532=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;:4V061>7}#>;?1n6*9258a?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`547<72:0;6=u+2`a930=O:h:0e<:n:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3o0(;<;:d9~yx{i6=4+2`a951?<@;ki7[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn?j9:181>5<7s-8jo7lj;I0b4>o6<00;6)=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5619:k20<<72-8jo7?;9:T1e`<6sE8i<7?tV0f3>7}#9l21=974V061>7}#>;?1n6*9258a?x{zu2co<7>5$3c`>a6<^;kn6!4fk3n:7[52z&2a=v*922820<=#;l31:?;4}%4a7}#>;91h<5+3d;92734j53zTg1?4|,?886i?4$2g:>3423t\o:7a6<,:o26;<:;|&5f=7}#>;91h<5+3d;9273k3:1(?ol:3;`?S4fm38p@?l?:0yU5a6=:r.:i54=9b9U514=:r.=>84>7:&561<6?2wvqAk2.8i449249~ 3d?28=0qps4i3:g>5<#:hi1>5j4V3cf>7}K:k:1=vX>d181!7b0383h6X>4381!05=3;<7)8=4;34?x{zD;km6j6=4+2`a951?<^;kn6=9=h0Z<:=:3y'273=j2.=>94m;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d4<729q/>lm52c18L7g73f;n=7>5$3c`>4c632wi>io50;194?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi>il50;194?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi>im50;194?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?9;%410?713twvq6g>4c83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=;5+636953=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f7bc290?6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=;5+636953=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>740<,?8?6<84}|~?l72>3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924822>"1:=0::6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi>ik50;694?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820d=Q9=81>v*924822>"1:=0::6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=;5+636953=zutw0e<;9:18'6de=9=30Z?oj:0yO6g6=9r\o;74313_;?>740<,?8?6<84}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo42f3_;?>740<,?8?6<84}|~?l73j3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?9;%410?713twvq6g>5783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560<6>2.=>94>6:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5179'272=9?1vqps4i075>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228<0(;<;:048yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c0g=?6=03:142f3_;?>7g=#>;>1n6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1n6*9258a?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*9248a?!05<3h0qpsr;h355?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{=9??0Z<:=:3y'273=j2.=>94m;|~y>o6>?0;6)P5il0:wA679U514=:r.=>84m;%410?d7>50z&1ef5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj::86=4=:183!4fk3hn7Ee09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi?=650;494?6|,;kh6<:8;I0b4>"6>h0:56g>4883>!4fk3;?56X=ad82I4e83;pZ=9=30Z<:=:3y'273=j2.=>94m;|~y>oc83:1(?ol:e28R7gb28qG>o>51zT2`5<5s-;n47j?;W376?4|,?8>6o5+6369f>{zut1bh<4?:%0bg?b63_8ji74}Q9m:1>v*>e98g5>P6<;09w)8=5;f8 3432m1vqpB=ag87S4>l39pZi;52z&566>4>489'7`?=>;?0q)8m8;30?xP51m08w[j::3y'275=l81/?h756378ySb12;q/:?=515;8 6c>2?8?7p*9b9827>{Q:0n1?vXk5;0x 3442m;0(>k6:706?xPc>38p(;<<:e28 6c>2?8>7p*9b98`?xP6m>09w[j::3y'275=l81/?h756378y!0e03;37psr;h0:g?6=,;kh6?7l;W0ba?4|D;h;6{zuE8jj7?tV3c6>7}Ql<09w)8=3;0:g>"4m00=>85r$7`;>41l;52zTg1?4|,?886?6k;%1f=?05=2w/:o65169~yx=h9l;1<7*=ab82a4=53;294~"5ij09m45G2`28m42f290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615<7s-8jo79:;I0b4>o6P5il0:wA4`9U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn>>?:180>5<7s-8jo79:;I0b4>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637953=#>;>1=;5r}|8m42e290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;35?!05<3;=7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th8<<4?:283>5}#:hi1;85G2`28m42f290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;35?!05<3;=7psr}:k20g<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5179'272=9?1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj::96=4;:183!4fk3=>7E{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637953=#>;>1=;5r}|8m431290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>6<84$707>4050z&1ef<6m91C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422k1/:?:5b:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5b:&5615783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f6632909=7>50z&1ef<0=2B9m=5f15c94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>64727E{zut1b=8850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+637954=#>;>1=<5r}|8m406290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>64727[;|~y>o6><0;6)P5il0:wA649U514=:r.=>84>1:&561<692wvqp5f17494?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?<0Z<:=:3y'273=981/:?:5109~yx{4381!05=3;:7)8=4;32?x{zu2c::44?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9822<=Q9=81>v*924825>"1:=0:=6sr}|9j51e=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9m4V061>7}#>;?1=<5+636954=zutw0e<:k:18'6de=9=30Z?oj:0yO6g6=9r\o;742c3_;?>747<,?8?6;%410?763twvq6g>4g83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9<:1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74373_;?>747<,?8?6{M0a4?7|^m=1>v*>e98214=Q9=81>v*924825>"1:=0:=6sr}|9j504=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=8<4V061>7}#>;?1=<5+636954=zutw0e<;<:18'6de=9=30Z?oj:0yO6g6=9r\o;74343_;?>741<,?8?6<94}|~?l72<3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?:4:T207<5s-<997?8;%410?703twvq6g>5483>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=8;4V061>7}#>;?1=:5+636952=zutw0e<;8:18'6de=9=30Z?oj:0yO6g6=9r\o;74303_;?>7g=#>;>1n6sr}|9j50>=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=864V061>7}#>;?1n6*9258a?x{zu2c:944?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9821<=Q9=81>v*9248a?!05<3h0qpsr;h36e?6=,;kh6<:6;W0ba?7|D;h;6m6X>4381!05=3h0(;<;:c9~yx{=994m;|~y>o6=m0;6)P5il0:wA5e9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;i;W376?4|,?8>6o5+6369f>{zut1b=;>50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9536<^8>96?u+6379f>"1:=0i7psr}:k227<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::?5Y15096~"1:<0i7)8=4;`8yx{z3`;=?7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;357>P6<;09w)8=5;`8 3432k1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj::>6=4=1;294~"5ij0<96F=a19j51g=83.9mn4>489K6dd<^;kn6P5il0:wA4c9U514=:r.=>84>1:&561<692wvqp5f14494?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9<<0Z<:=:3y'273=981/:?:5109~yx{4381!05=3;:7)8=4;32?x{zu2c::84?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98220=Q9=81>v*924825>"1:=0:=6sr}|9j530=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;84V061>7}#>;?1=<5+636954=zutw0e<88:18'6de=9=30Z?oj:0yO6g6=9r\o;74003_;?>747<,?8?6;%410?763twvq6g>6883>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>01]=9<52z&560<692.=>94>1:~yx=n9=i1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742d3_;?>747<,?8?6{M0a4?7|^m=1>v*>e9820a=Q9=81>v*924825>"1:=0:=6sr}|9j51c=83.9mn4>489K6dd<^;kn6P5il0:wA4g9U514=:r.=>84>1:&561<692wvqp5f14294?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<;?;W376?4|,?8>64727E{zut1b=8<50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9504<^8>96?u+637954=#>;>1=<5r}|8m434290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<;<;W376?4|,?8>6<94$707>4127[o6=<0;6)N5ik1]>lk51zN1f5<6s_n<6?u+1d:9503<^8>96?u+637952=#>;>1=:5r}|8m430290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<;8;W376?4|,?8>6o5+6369f>{zut1b=8650;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:950><^8>96?u+6379f>"1:=0i7psr}:k21<<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:945Y15096~"1:<0i7)8=4;`8yx{z3`;>m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;36e>P6<;09w)8=5;`8 3432k1vqps4i07a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?i7[?;2;0x 3422k1/:?:5b:~yx=n95e83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=m1]=9<52z&560g=zutw0e<;i:18'6de=9=30Z?oj:0yO6g6=9r\o;743a3_;?>7g=#>;>1n6sr}|9j536=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;>4V061>7}#>;?1n6*9258a?x{zu2c::?4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98227=Q9=81>v*9248a?!05<3h0qpsr;h357?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{5<4290;w)N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+6379f>"1:=0i7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c144?6=:3:1N5i91b=9750;&1ef<6<010c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f61029086=4?{%0bg?4f12B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4c9U514=:r.=>84>4:&561<6<2wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e;><1<7850;2x 7gd28><7E{M0a4?7|^8n;6?u+1d:951?<^8>96?u+6379f>"1:=0i7psr}:kg4?6=,;kh6i>4V3cf>4}K:k:1=vX>d181!7b03n;7[?;2;0x 3422k1/:?:5b:~yx=nl80;6)a=zutF9mk4;{W0:`?5|^m?1>v*9228g5>"4m00=>85rVe496~"1::0:845+3d;92736?u+6319`4=#;l31:?;4}Wf5>7}#>;91=974$2g:>3433t.=n54>3:U6a7<,:o26;<:;|Tg2?4|,?886i>4$2g:>3423t.=n54>3:U5`1=:r\o97a7<,:o26;<:;|&5f=<602wvq6g=9b83>!4fk382o6X=ad81I4e83;pZ=:0i0Z<:=:3y'273=9>1/:?:5169~yxJ5io0:w[>4=9b9'7`?=>;?0q)8m8;34?x{z3`83h7>5$3c`>7>c3_8ji74}Q9m:1>v*>e981v*924823>"1:=0:;6sr}M0bb?7|^;k>6?uYd481!05;383h6*lm51d38?xd4?;0;6>4?:1y'6de=:h30D?o?;h37e?6=,;kh6<:6;I0bf>P5il0:wA4`9U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn>9<:182>5<7s-8jo755;294~"5ij0<96F=a19j51g=83.9mn4>489K6dd<^;kn64381!05=3;=7)8=4;35?x{zu2c:9;4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9<<0Z<:=:3y'273=9?1/:?:5179~yx{;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{53;294~"5ij0<96F=a19j51g=83.9mn4>489K6dd<^;kn64381!05=3;=7)8=4;35?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`03=<72;0;6=u+2`a9f`=O:h:0e<:6:18'6de=9=307b?j1;29 7gd28o:7[50z&1ef<6<>1C>l>4$04b>4?26=4+2`a951?<^;kn6P6<;09w)8=5;`8 3432k1vqps4ie294?"5ij0o<6X=ad82I4e83;pZ=l91]=9<52z&560lm5d09U6dc=:rF9n=4>{W3g4?4|,8o36i?4V061>7}#>;?1h6*9258g?x{zD;km69uY28f97~Pc=38p(;<<:e38 6c>2?8>7pXk6;0x 34428>27)=j9;411>{#>k21=>5rV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3;?56*v*9228g5>"4m00=>85rVe496~"1::0o<6*v*9228g5>"4m00=>85r$7`;>4>k2\:8?4={%411?703-<987?8;|~H7ga28q]>l;52zTg1?4|,?886?7l;%1f=?05=2w/:o65169~yx=n:1n1<7*=ab81vB=b182S7c838p(k6:706?x"1j10:;6sr}:m2a4<72-8jo7?j1:9~f61f29086=4?{%0bg?4f12B9m=5f15c94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6o5+6369f>{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th8;o4?:083>5}#:hi1>o=4H3c3?j7b93:1(?ol:0g2?>{e;>n1<7=50;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3;=7)8=4;35?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924822>"1:=0::6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi?:k50;194?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820d=Q9=81>v*924822>"1:=0::6sr}|9j51d=83.9mn4>489K6dd<^;kn6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{54;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=;5+636953=zutw0e<:m:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;=7)8=4;35?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924822>"1:=0::6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi?:m50;694?6|,;kh6o6P5il0:wA4`9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a72?=8391<7>t$3c`>23<@;k;7d?;a;29 7gd28>27E{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637953=#>;>1=;5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn>6=:181>5<7s-8jo7lj;I0b4>o6<00;6)=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5619:k20<<72-8jo7?;9:T1e`<6sE8i<7?tV0f3>7}#9l21=974V061>7}#>;?1n6*9258a?x{zu2co<7>5$3c`>a6<^;kn6!4fk3n:7[52z&2a=v*922820<=#;l31:?;4}%4a7}#>;91h<5+3d;92734j53zTg1?4|,?886i?4$2g:>3423t\o:7a6<,:o26;<:;|&5f=<6;2w]=h952zTg1?4|,?886i?4$2g:>3423t.=n54>8:~y>o51j0;6)P5il09wA1vqpB=ag82S4f=38pZi;52z&566<51j1/?h756378y!0e03;<7psr;h0;`?6=,;kh6?6k;W0ba?4|D;h;6{zuE8jj7?tV3c6>7}Ql<09w)8=3;0;`>"4m00=>85r$7`;>41:18'6de=9l;07pl<8583>6<729q/>lm52`;8L7g73`;?m7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f6>2290:6=4?{%0bg?4e;2B9m=5`1d394?"5ij0:i<54}c1;3?6=;3:1N5i91b=9o50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228<0(;<;:048yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9=h1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742e3_;?>740<,?8?6<84}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo=79;290?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5179'272=9?1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228<0(;<;:048yx{z3`;>:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;35?!05<3;=7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th84l4?:583>5}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<84$707>4027E{zut1b=8850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+637953=#>;>1=;5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn>69:186>5<7s-8jo7?j0:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5b:&5614c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<63:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997l4$707>g=zutw0e<8>:18'6de=9=30Z?oj:0yO6g6=9r\o;74063_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi?5=50;194?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820d=Q9=81>v*924822>"1:=0::6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=;5+636953=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f1e529096=4?{%0bg?db3A8j<6g>4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;37?!05<3;?7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th?oh4?:783>5}#:hi1=994H3c3?!71i3;27d?;9;29 7gd28>27[52z&2a=<6<01]=9<52z&560lm5d19U6dc=9rF9n=4>{W3g4?4|,8o36i>4V061>7}#>;?1n6*9258a?x{zu2co=7>5$3c`>a7<^;kn6?uC2c295~P6l909w)?j8;f2?S73:38p(;<::e9'272=l2wvqAl39pZi;52z&566>4>489'7`?=>;>0q)8m8;30?xP51m08w[j::3y'275=l81/?h756378ySb12;q/:?=5d19'7`?=>;?0q)8m8;a8yS7b?38pZi;52z&5665<#:hi1>4m4V3cf>7}K:k:1=vX>d181!7b0382o6X>4381!05=3;<7)8=4;34?x{zD;km65j50;&1ef<50m1]>lk52zN1f5<6s_;o<77>c3_;?>741<,?8?6<94}|O6d`=9r\9m84={Wf6>7}#>;91>5j4$2g:>3423t.=n54>7:~y>i6m80;6)=zj=i86=4<:183!4fk38j56F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1n6*9258a?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{h87>51;294~"5ij09n>5G2`28k4c6290/>lm51d38?xd3k?0;6>4?:1y'6de=?<1C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422k1/:?:5b:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&5600;6>4?:1y'6de=?<1C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422k1/:?:5b:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228:0(;<;:028yx{z3`;?n7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;35?!05<3;=7psr}:k213<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5179'272=9?1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj=i26=48:183!4fk3=>7E{zut1b=9l50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228:0(;<;:028yx{z3`;>:7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560<6>2.=>94>6:~yx=n9?;1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74063_;?>740<,?8?6<84}|~?l71<3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98221=Q9=81>v*924822>"1:=0::6sr}|9j533=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;;4V061>7}#>;?1=;5+636953=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f1ef290<6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>0:~yx=n9=h1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742e3_;?>740<,?8?6<84}|~?l72>3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997?9;%410?713twvq6g>6083>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1=;5+636953=zutw0e<8;:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;=7)8=4;35?x{zu2c::84?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98220=Q9=81>v*924822>"1:=0::6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi8nl50;:94?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997??;%410?773twvq6g>4c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>0:~yx=n9<<1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74313_;?>746<,?8?6<>4}|~?l7193:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*924822>"1:=0::6sr}|9j532=83.9mn4>489K6dd<^;kn6P5il0:wA649U514=:r.=>84>6:&561<6>2wvqp5f17494?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?<0Z<:=:3y'273=9?1/:?:5179~yx{5{M0a4?7|^m=1>v*>e9820d=Q9=81>v*924824>"1:=0:<6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1==5+636955=zutw0e<;9:18'6de=9=30D?om;W0ba?7|D;h;6:6X>4381!05=3;;7)8=4;33?x{zu2c::<4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9?;0Z<:=:3y'273=9?1/:?:5179~yx{o6><0;6)N5ik1]>lk51zN1f5<6s_n<6?u+1d:9533<^8>96?u+637953=#>;>1=;5r}|8m401290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<89;W376?4|,?8>6<84$707>4050z&1ef<6m91C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422k1/:?:5b:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5b:&5615783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560g=zutw0e<8;:18'6de=9=30Z?oj:0yO6g6=9r\o;74033_;?>7g=#>;>1n6sr}|9j533=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;;4V061>7}#>;?1n6*9258a?x{zu2c::;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98223=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{52;294~"5ij0ii6F=a19j51?=83.9mn4>4898k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn<9j:180>5<7s-8jo7?j0:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5b:&5614c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0qo?8c;292?6=8r.9mn4>469K6d6<,85<#:hi1=974V3cf>4}K:k:1=vX>d181!7b03;?56X>4381!05=3h0(;<;:c9~yx{P5il0:wA84m;%410?d:18'6de=l81]>lk52zN1f5<6s_;o<7a7<^8>96?u+6379`>"1:=0o7psrL3ce>1}Q:0n1?vXk5;0x 3442m;0(>k6:706?xPc>38p(;<<:06:?!5b13<996s+6c:956=z^;3o6>uYd481!05;3n:7)=j9;411>{Ql?09w)8=3;37=>"4m00=>95r$7`;>45v*9228g4>"4m00=>85r$7`;>f=z^8o<6?uYd481!05;3n:7)=j9;411>{#>k21=55r}|9j6{W3g4?4|,8o36?7l;W376?4|,?8>6<94$707>41lh51zT1e0<5s_n>6?u+63196!4fk383h6X=ad81I4e83;pZ=:1n0Z<:=:3y'273=9>1/:?:5169~yxJ5io0:w[>4=8e9'7`?=>;?0q)8m8;34?x{z3f;n=7>5$3c`>4c632wi=:650;194?6|,;kh6?o6;I0b4>o6P5il0:wA4`9U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn<96:182>5<7s-8jo753;294~"5ij0<96F=a19j51g=83.9mn4>489K6dd<^;kn6P5il0:wA4c9U514=:r.=>84>0:&561<682wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e9>n1<7=50;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3;=7)8=4;35?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924822>"1:=0::6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi=:h50;094?6|,;kh6ok4H3c3?l7313:1(?ol:06:?>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d8783>7<729q/>lm5bd9K6d626=4+2`a951?<3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c3;f?6=;3:142f3_;?>7g=#>;>1n6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1n6*9258a?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`2<<<72?0;6=u+2`a9511<@;k;7)?9a;3:?l7313:1(?ol:06:?S4fm3;p@?l?:0yU5a6=:r.:i54>489U514=:r.=>84m;%410?dlk51zN1f5<6s_;o<7a6<^8>96?u+6379f>"1:=0i7psr}:kg5?6=,;kh6i?4V3cf>7}K:k:1=vX>d181!7b03n:7[?;2;0x 3422m1/:?:5d:~yI4fn3>pZ?7k:2yU`0<5s-<9?7j>;%1f=?05=2w]h;4={%417?7312.8i449249~ 3d?2890q[<6d;1xRa3=:r.=>>4k1:&0a<<1:<1vZi852z&566<6<01/?h756368y!0e03;87pX=9e80Sb22;q/:?=5d09'7`?=>;?0q[j9:3y'275=l91/?h756378y!0e03i0q[?j7;0xRa3=:r.=>>4k1:&0a<<1:<1v(;l7:0:8yx{P6<;09w)8=5;34?!05<3;<7psrL3ce>4}Q:h?1>vXk5;0x 3442;3h7)=j9;411>{#>k21=:5r}|9j6=b=83.9mn4=8e9U6dc=:rF9n=4>{W3g4?4|,8o36?6k;W376?4|,?8>6<94$707>41lh51zT1e0<5s_n>6?u+63196=b<,:o26;<:;|&5f=<6?2wvq6a>e083>!4fk3;n=65rb0:3>5<4290;w)N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+6379f>"1:=0i7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c3;5?6=93:1:18'6de=9l;07pl>8283>6<729q/>lm5749K6d6j6=4+2`a951?<@;ki7[o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637955=#>;>1==5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn<6;:180>5<7s-8jo79:;I0b4>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637955=#>;>1==5r}|8m42e290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;33?!05<3;;7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th:484?:783>5}#:hi1;85G2`28m42f290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;35?!05<3;=7psr}:k20g<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5179'272=9?1vqps4i075>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997?9;%410?713twvq6g>6083>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1=;5+636953=zutw0e<8;:18'6de=9=30Z?oj:0yO6g6=9r\o;74033_;?>740<,?8?6<84}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo?72;290?6=8r.9mn4>e19K6d6j6=4+2`a951?<^;kn6=9=h0Z<:=:3y'273=j2.=>94m;|~y>o6=?0;6)P5il0:wA579U514=:r.=>84m;%410?d50z&1ef<0=2B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=9?1/:?:5179~yx{i6=4+2`a951?<^;kn6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{55;294~"5ij0<96F=a19j51g=83.9mn4>489K6dd<^;kn64381!05=3;<7)8=4;34?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924823>"1:=0:;6sr}|9j537=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1=:5+636952=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f7?229096=4?{%0bg?db3A8j<6g>4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e:0h1<7850;2x 7gd28><7E{M0a4?7|^8n;6?u+1d:951?<^8>96?u+6379f>"1:=0i7psr}:kg4?6=,;kh6i>4V3cf>4}K:k:1=vX>d181!7b03n;7[?;2;0x 3422k1/:?:5b:~yx=nl80;6)a=zutF9mk4;{W0:`?5|^m?1>v*9228g5>"4m00=>85rVe496~"1::0:845+3d;92736?u+6319`4=#;l31:?;4}Wf5>7}#>;91=974$2g:>3433t.=n54>3:U6a7<,:o26;<:;|Tg2?4|,?886i>4$2g:>3423t.=n54>3:U5`1=:r\o97a7<,:o26;<:;|&5f=<602wvq6g=9b83>!4fk382o6X=ad81I4e83;pZ=:0i0Z<:=:3y'273=9>1/:?:5169~yxJ5io0:w[>4=9b9'7`?=>;?0q)8m8;34?x{z3`83h7>5$3c`>7>c3_8ji74}Q9m:1>v*>e981v*924823>"1:=0:;6sr}M0bb?7|^;k>6?uYd481!05;383h6*lm51d38?xd51>0;6>4?:1y'6de=:h30D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{i6=4+2`a951?<^;kn6=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e:021<7?50;2x 7gd2;h87E290?6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=;5+636953=zutw0e<:m:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;=7)8=4;35?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924822>"1:=0::6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi>4850;194?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820d=Q9=81>v*924822>"1:=0::6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=;5+636953=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f7c429096=4?{%0bg?db3A8j<6g>4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e:lk1<7<50;2x 7gd2ko0D?o?;h37=?6=,;kh6<:6;:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th9j=4?:283>5}#:hi1>l74H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>742<,?8?6<:4}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo469K6d6<,85<#:hi1=974V3cf>4}K:k:1=vX>d181!7b03;?56X>4381!05=3h0(;<;:c9~yx{P5il0:wA84m;%410?d:18'6de=l81]>lk52zN1f5<6s_;o<7a7<^8>96?u+6379`>"1:=0o7psrL3ce>1}Q:0n1?vXk5;0x 3442m;0(>k6:706?xPc>38p(;<<:06:?!5b13<996s+6c:956=z^;3o6>uYd481!05;3n:7)=j9;411>{Ql?09w)8=3;37=>"4m00=>95r$7`;>45v*9228g4>"4m00=>85r$7`;>f=z^8o<6?uYd481!05;3n:7)=j9;411>{#>k21=55r}|9j6{W3g4?4|,8o36?7l;W376?4|,?8>6<94$707>41lh51zT1e0<5s_n>6?u+63196!4fk383h6X=ad81I4e83;pZ=:1n0Z<:=:3y'273=9>1/:?:5169~yxJ5io0:w[>4=8e9'7`?=>;?0q)8m8;34?x{z3f;n=7>5$3c`>4c632wi>h:50;194?6|,;kh6?o6;I0b4>o6P5il0:wA4`9U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn?k::182>5<7s-8jo754;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=;5+636953=zutw0e<:m:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;=7)8=4;35?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924822>"1:=0::6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi>h650;32>5<7s-8jo79:;I0b4>o6P5il0:wA4`9U514=:r.=>84>6:&561<6>2wvqp5f15`94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<84$707>4027[2\:8?4={%411?713-<987?9;|~y>o6>80;6)P5il0:wA609U514=:r.=>84>6:&561<6>2wvqp5f17694?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?>0Z<:=:3y'273=9?1/:?:5179~yx{6=4+2`a951?<@;ki7[o6>?0;6)P5il0:wA679U514=:r.=>84>6:&561<6>2wvqp5f17594?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?=0Z<:=:3y'273=9?1/:?:5179~yx{4381!05=3;=7)8=4;35?x{zu2c:8n4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820f=Q9=81>v*924822>"1:=0::6sr}|9j51b=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9j4V061>7}#>;?1=;5+636953=zutw0e<:j:18'6de=9=30Z?oj:0yO6g6=9r\o;742b3_;?>740<,?8?6<84}|~?l73n3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;f:T207<5s-<997?9;%410?713twvq6g>5183>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=91]=9<52z&560g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f7c>290:=7>50z&1ef<0=2B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=9?1/:?:5179~yx{i6=4+2`a951?<^;kn6:6X>4381!05=3;=7)8=4;35?x{zu2c::<4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*924822>"1:=0::6sr}|9j532=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;:4V061>7}#>;?1=;5+636953=zutw0e<8::18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;=7)8=4;35?x{zu2c::;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98223=Q9=81>v*924822>"1:=0::6sr}|9j531=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;94V061>7}#>;?1=;5+636953=zutw0e<87:18'6de=9=30Z?oj:0yO6g6=9r\o;740?3_;?>740<,?8?6<84}|~?l7113:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?99:T207<5s-<997?9;%410?713twvq6g>4b83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9=n1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515f8R4252;q/:?;5179'272=9?1vqps4i06f>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>n7[?;2;0x 34228<0(;<;:048yx{z3`;?j7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37b>P6<;09w)8=5;35?!05<3;=7psr}:k215<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9=5Y15096~"1:<0i7)8=4;`8yx{z3`;>=7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;365>P6<;09w)8=5;`8 3432k1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj;o=6=4;:183!4fk3;n<6F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1n6*9258a?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*9248a?!05<3h0qpsr;h362?6=,;kh6<:6;W0ba?7|D;h;6:6X>4381!05=3h0(;<;:c9~yx{5<593:1N5i91b=9o50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228;0(;<;:038yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5109'272=981vqps4i042>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<:7[?;2;0x 34228;0(;<;:038yx{z3`;=87>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;350>P6<;09w)8=5;32?!05<3;:7psr}:k220<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::85Y15096~"1:<0:=6*925825>{zut1b=;850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9530<^8>96?u+637954=#>;>1=<5r}|8m400290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<88;W376?4|,?8>64727[;|~y>o6>00;6)P5il0:wA689U514=:r.=>84>1:&561<692wvqp5f15a94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=i0Z<:=:3y'273=981/:?:5109~yx{o6=4+2`a951?<^;kn64381!05=3;:7)8=4;32?x{zu2c:8k4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820c=Q9=81>v*924825>"1:=0:=6sr}|9j506=83.9mn4>489K6dd<^;kn6=6X>4381!05=3;:7)8=4;32?x{zu2c:9?4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98217=Q9=81>v*924823>"1:=0:;6sr}|9j505=83.9mn4>489K6dd<^;kn686X>4381!05=3;<7)8=4;34?x{zu2c:984?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98210=Q9=81>v*9248a?!05<3h0qpsr;h363?6=,;kh6<:6;W0ba?7|D;h;6;6X>4381!05=3h0(;<;:c9~yx{=9<30Z<:=:3y'273=j2.=>94m;|~y>o6=h0;6)P5il0:wA5`9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;l;W376?4|,?8>6o5+6369f>{zut1b=8j50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:950b<^8>96?u+6379f>"1:=0i7psr}:k21`<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9h5Y15096~"1:<0i7)8=4;`8yx{z3`;>j7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;36b>P6<;09w)8=5;`8 3432k1vqps4i043>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<;7[?;2;0x 3422k1/:?:5b:~yx=n9?81<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651708R4252;q/:?;5b:&5616283>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>:1]=9<52z&560g=zutw0qolm5749K6d6j6=4+2`a951?<@;ki7[;|~y>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637954=#>;>1=<5r}|8m431290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>64727[;|~y>o6>=0;6)P5il0:wA659U514=:r.=>84>1:&561<692wvqp5f17794?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9??0Z<:=:3y'273=981/:?:5109~yx{4381!05=3;:7)8=4;32?x{zu2c::54?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9822==Q9=81>v*924825>"1:=0:=6sr}|9j53?=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;74V061>7}#>;?1=<5+636954=zutw0e<:l:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:8i4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9=n0Z<:=:3y'273=981/:?:5109~yx{n6=4+2`a951?<@;ki7[;|~y>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951`<^8>96?u+637954=#>;>1=<5r}|8m437290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;364>P6<;09w)8=5;32?!05<3;:7psr}:k214<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651438R4252;q/:?;5109'272=981vqps4i071>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?97[?;2;0x 34228;0(;<;:038yx{z3`;>?7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;367>P6<;09w)8=5;34?!05<3;<7psr}:k211<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651468R4252;q/:?;5169'272=9>1vqps4i076>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?>7[?;2;0x 3422k1/:?:5b:~yx=n9<=1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651458R4252;q/:?;5b:&5615983>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=11]=9<52z&560g=zutw0e<;n:18'6de=9=30Z?oj:0yO6g6=9r\o;743f3_;?>7g=#>;>1n6sr}|9j50d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=8l4V061>7}#>;?1n6*9258a?x{zu2c:9n4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9821f=Q9=81>v*9248a?!05<3h0qpsr;h36`?6=,;kh6<:6;W0ba?7|D;h;6h6X>4381!05=3h0(;<;:c9~yx{=994m;|~y>o6>90;6)P5il0:wA619U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<8<;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a6`b=8391<7>t$3c`>4c73A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f66>29096=4?{%0bg?db3A8j<6g>4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e;881<7=50;2x 7gd2;k27E5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;37?!05<3;?7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th8=<4?:783>5}#:hi1=994H3c3?!71i3;27d?;9;29 7gd28>27[52z&2a=<6<01]=9<52z&560lm5d19U6dc=9rF9n=4>{W3g4?4|,8o36i>4V061>7}#>;?1n6*9258a?x{zu2co=7>5$3c`>a7<^;kn6?uC2c295~P6l909w)?j8;f2?S73:38p(;<::e9'272=l2wvqAl39pZi;52z&566>4>489'7`?=>;>0q)8m8;30?xP51m08w[j::3y'275=l81/?h756378ySb12;q/:?=5d19'7`?=>;?0q)8m8;a8yS7b?38pZi;52z&5665<#:hi1>4m4V3cf>7}K:k:1=vX>d181!7b0382o6X>4381!05=3;<7)8=4;34?x{zD;km65j50;&1ef<50m1]>lk52zN1f5<6s_;o<77>c3_;?>741<,?8?6<94}|O6d`=9r\9m84={Wf6>7}#>;91>5j4$2g:>3423t.=n54>7:~y>i6m80;6)=zj::j6=4<:183!4fk38j56F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1n6*9258a?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{51;294~"5ij09n>5G2`28k4c6290/>lm51d38?xd48m0;694?:1y'6de=?<1C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228<0(;<;:048yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5179'272=9?1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj::n6=48:183!4fk3=>7E{zut1b=9l50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228<0(;<;:048yx{z3`;>:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;35?!05<3;=7psr}:k224<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::<5Y15096~"1:<0::6*925822>{zut1b=;:50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?285$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;351>P6<;09w)8=5;35?!05<3;=7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th85}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<84$707>4027[o6=?0;6)P5il0:wA579U514=:r.=>84>6:&561<6>2wvqp5f17394?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?;0Z<:=:3y'273=9?1/:?:5179~yx{o6><0;6)P5il0:wA649U514=:r.=>84>6:&561<6>2wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e;9i1<7:50;2x 7gd28o;7E5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;`8 3432k1vqps4i075>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>32e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`05f<72:0;6=u+2`a96d?<@;k;7d?;a;29 7gd28>27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<:4$707>4250z&1ef<6<>1C>l>4$04b>4?26=4+2`a951?<^;kn6P6<;09w)8=5;`8 3432k1vqps4ie294?"5ij0o<6X=ad82I4e83;pZ=l91]=9<52z&560lm5d09U6dc=:rF9n=4>{W3g4?4|,8o36i?4V061>7}#>;?1h6*9258g?x{zD;km69uY28f97~Pc=38p(;<<:e38 6c>2?8>7pXk6;0x 34428>27)=j9;411>{#>k21=>5rV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3;?56*v*9228g5>"4m00=>85rVe496~"1::0o<6*7}Ql<09w)8=3;f2?!5b13<996s+6c:95==zut1b>4m50;&1ef<51j1]>lk52zN1f5<6s_;o<77?d3_;?>741<,?8?6<94}|O6d`=9r\9m84={Wf6>7}#>;91>4m4$2g:>3423t.=n54>7:~y>o50m0;6)P5il09wA1vqpB=ag82S4f=38pZi;52z&566<50m1/?h756378y!0e03;<7psr;n3f5?6=,;kh6;:a742=8391<7>t$3c`>7g>3A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f672290:6=4?{%0bg?4e;2B9m=5`1d394?"5ij0:i<54}c123?6=<3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637953=#>;>1=;5r}|8m42e290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;35?!05<3;=7psr}:k213<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9;5Y15096~"1:<0::6*925822>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a74>=8321<7>t$3c`>23<@;k;7d?;a;29 7gd28>27[o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637953=#>;>1=;5r}|8m431290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>6<84$707>4027[o6>=0;6)P5il0:wA659U514=:r.=>84>6:&561<6>2wvqp5f17794?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<8:;W376?4|,?8>6<84$707>4027[2\:8?4={%411?713-<987?9;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d=<729q/>lm5749K6d6j6=4+2`a951?<^;kn64381!05=3;=7)8=4;35?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924822>"1:=0::6sr}|9j537=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1=;5+636953=zutw0e<8;:18'6de=9=30Z?oj:0yO6g6=9r\o;74033_;?>740<,?8?6<84}|~?l71=3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98220=Q9=81>v*924822>"1:=0::6sr}|9j530=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;84V061>7}#>;?1=;5+636953=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f671290?6=4?{%0bg?7b82B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4c9U514=:r.=>84m;%410?d27[2\:8?4={%411?d<,?8?6o5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn>?k:181>5<7s-8jo7lj;I0b4>o6<00;6)=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&561{M0a4?7|^m=1>v*>e9820d=Q9=81>v*9248a?!05<3h0qpsr;h37f?6=,;kh6<:6;W0ba?7|D;h;64381!05=3;?7)8=4;37?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`060<72?0;6=u+2`a9511<@;k;7)?9a;3:?l7313:1(?ol:06:?S4fm3;p@?l?:0yU5a6=:r.:i54>489U514=:r.=>84m;%410?dlk51zN1f5<6s_;o<7a6<^8>96?u+6379f>"1:=0i7psr}:kg5?6=,;kh6i?4V3cf>7}K:k:1=vX>d181!7b03n:7[?;2;0x 3422m1/:?:5d:~yI4fn3>pZ?7k:2yU`0<5s-<9?7j>;%1f=?05=2w]h;4={%417?7312.8i449249~ 3d?2890q[<6d;1xRa3=:r.=>>4k1:&0a<<1:<1vZi852z&566<6<01/?h756368y!0e03;87pX=9e80Sb22;q/:?=5d09'7`?=>;?0q[j9:3y'275=l91/?h756378y!0e03i0q[?j7;0xRa3=:r.=>>4k1:&0a<<1:<1v(;l7:0:8yx{P6<;09w)8=5;34?!05<3;<7psrL3ce>4}Q:h?1>vXk5;0x 3442;3h7)=j9;411>{#>k21=:5r}|9j6=b=83.9mn4=8e9U6dc=:rF9n=4>{W3g4?4|,8o36?6k;W376?4|,?8>6<94$707>41lh51zT1e0<5s_n>6?u+63196=b<,:o26;<:;|&5f=<6?2wvq6a>e083>!4fk3;n=65rb23f>5<4290;w)N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+6379f>"1:=0i7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c12b?6=93:1:18'6de=9l;07pl<2083>1<729q/>lm5749K6d6j6=4+2`a951?<^;kn6P5il0:wA4c9U514=:r.=>84>6:&561<6>2wvqp5f14494?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9<<0Z<:=:3y'273=9?1/:?:5179~yx{5<>290;w){M0a4?7|^m=1>v*>e9820d=Q9=81>v*924822>"1:=0::6sr}|9j51d=83.9mn4>489K6dd<^;kn6:6X>4381!05=3;=7)8=4;35?x{zu2c::<4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*924822>"1:=0::6sr}|9j532=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;:4V061>7}#>;?1=;5+636953=zutw0e<8::18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;=7)8=4;35?x{zu2c::;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98223=Q9=81>v*924822>"1:=0::6sr}|9j531=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;94V061>7}#>;?1=;5+636953=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f64429026=4?{%0bg?123A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5179'272=9?1vqps4i075>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228<0(;<;:048yx{z3`;==7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;355>P6<;09w)8=5;35?!05<3;=7psr}:k221<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::95Y15096~"1:<0::6*925822>{zut1b=;;50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28<>7[?;2;0x 34228<0(;<;:048yx{z3`;=:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;352>P6<;09w)8=5;35?!05<3;=7psr}:k222<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:::5Y15096~"1:<0::6*925822>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a776=83>1<7>t$3c`>4c73A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0e<;9:18'6de=9=30Z?oj:0yO6g6=9r\o;74313_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi??950;094?6|,;kh6ok4H3c3?l7313:1(?ol:06:?>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d6<729q/>lm52`;8L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228>0(;<;:068yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c11b?6=>3:1290/>lm515;8R7gb28qG>o>51zT2`5<5s-;n47?;9:T207<5s-<997l4$707>g=zutw0ei>50;&1ef{M0a4?7|^8n;6?u+1d:9`5=Q9=81>v*9248a?!05<3h0qpsr;hf2>5<#:hi1h<5Y2`g96~J5j90:w[?k0;0x 4c?2m;0Z<:=:3y'273=l2.=>94k;|~H7ga2=q]>4j53zTg1?4|,?886i?4$2g:>3423t\o:742>3-9n578=5:'2g>=9:1vZ?7k:2yU`0<5s-<9?7j>;%1f=?05=2w]h;4={%417?7312.8i449259~ 3d?2890q[<6d;1xRa3=:r.=>>4k1:&0a<<1:<1vZi852z&566;%1f=?05=2w/:o65199~yx=n:0i1<7*=ab81=f=Q:ho1>vB=b182S7c838p(k6:706?x"1j10:;6sr}:k17}#9l21>5j4V061>7}#>;?1=:5+636952=zutF9mk4>{W0b1?4|^m?1>v*92281{M0a4?7|^m=1>v*>e9820d=Q9=81>v*9248a?!05<3h0qpsr;h37f?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{5<6290;w)N5i91d=h?50;&1ef<6m810qo==b;290?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5179'272=9?1vqps4i06a>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?9;%410?713twvq6g>5783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560<6>2.=>94>6:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&561{M0a4?7|^m=1>v*>e9820d=Q9=81>v*924822>"1:=0::6sr}|9j51d=83.9mn4>489K6dd<^;kn6:6X>4381!05=3;=7)8=4;35?x{zu2c::<4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*924822>"1:=0::6sr}|9j532=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;:4V061>7}#>;?1=;5+636953=zutw0e<8::18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;=7)8=4;35?x{zu2c::;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98223=Q9=81>v*924822>"1:=0::6sr}|9j531=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;94V061>7}#>;?1=;5+636953=zutw0e<87:18'6de=9=30Z?oj:0yO6g6=9r\o;740?3_;?>740<,?8?6<84}|~?l7113:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?99:T207<5s-<997l4$707>g=zutw0e<:l:18'6de=9=30Z?oj:0yO6g6=9r\o;742d3_;?>7g=#>;>1n6sr}|9j51b=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9j4V061>7}#>;?1n6*9258a?x{zu2c:8h4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820`=Q9=81>v*9248a?!05<3h0qpsr;h37b?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{=9<;0Z<:=:3y'273=j2.=>94m;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d47=83:p(?ol:678L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;35?!05<3;=7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0::6*925822>{zut1b=8850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+637953=#>;>1=;5r}|8m406290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6<84$707>4027[o6><0;6)N5ik1]>lk51zN1f5<6s_n<6?u+1d:9533<^8>96?u+637953=#>;>1=;5r}|8m401290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<89;W376?4|,?8>6<84$707>4027[o6>10;6)P5il0:wA699U514=:r.=>84>6:&561<6>2wvqp5f17;94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?30Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4b9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<:j;W376?4|,?8>6o5+6369f>{zut1b=9h50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951`<^8>96?u+6379f>"1:=0i7psr}:k215<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9=5Y15096~"1:<0i7)8=4;`8yx{z3`;>=7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;365>P6<;09w)8=5;`8 3432k1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj:8j6=4;:183!4fk3;n<6F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1n6*9258a?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*9248a?!05<3h0qpsr;h362?6=,;kh6<:6;W0ba?7|D;h;6:6X>4381!05=3h0(;<;:c9~yx{5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a76g=8391<7>t$3c`>7g>3A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&5603`;?57>5$3c`>42>3_8ji7?tL3`3>4}Q9m:1>v*>e9820<=Q9=81>v*9248a?!05<3h0qpsr;hf3>5<#:hi1h=5Y2`g95~J5j90:w[?k0;0x 4c?2m:0Z<:=:3y'273=j2.=>94m;|~y>oc93:1(?ol:e38R7gb2;qG>o>51zT2`5<5s-;n47j>;W376?4|,?8>6i5+6369`>{zuE8jj7:tV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3;?56*v*9228g5>"4m00=>85rVe496~"1::0:845+3d;92726?u+6319`4=#;l31:?;4}Wf5>7}#>;91h=5+3d;9273v*9228g5>"4m00=>85r$7`;>4>k2\:8?4={%411?703-<987?8;|~H7ga28q]>l;52zTg1?4|,?886?7l;%1f=?05=2w/:o65169~yx=n:1n1<7*=ab81vB=b182S7c838p(k6:706?x"1j10:;6sr}:m2a4<72-8jo7?j1:9~f65529086=4?{%0bg?4f12B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4c9U514=:r.=>84m;%410?d50z&1ef<5j:1C>l>4o0g2>5<#:hi1=h?4;|`070<72=0;6=u+2`a930=O:h:0e<:n:18'6de=9=30Z?oj:0yO6g6=9r\o;742f3_;?>740<,?8?6<84}|~?l73j3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924822>"1:=0::6sr}|9j500=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1=;5+636953=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f651290:=7>50z&1ef<0=2B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=9?1/:?:5179~yx{i6=4+2`a951?<@;ki7[o6=?0;6)P5il0:wA579U514=:r.=>84>6:&561<6>2wvqp5f17394?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?;0Z<:=:3y'273=9?1/:?:5179~yx{P5il0:wA649U514=:r.=>84>6:&561<6>2wvqp5f17494?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?<0Z<:=:3y'273=9?1/:?:5179~yx{4381!05=3;=7)8=4;35?x{zu2c::44?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9822<=Q9=81>v*924822>"1:=0::6sr}|9j51e=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9m4V061>7}#>;?1n6*9258a?x{zu2c:8i4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820a=Q9=81>v*9248a?!05<3h0qpsr;h37a?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{m6=4+2`a951?<^;kn6=9<:0Z<:=:3y'273=j2.=>94m;|~y>o6=80;6)P5il0:wA509U514=:r.=>84m;%410?dl>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228<0(;<;:048yx{z3`;?n7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;35?!05<3;=7psr}:k213<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9;5Y15096~"1:<0::6*925822>{zut1b=;?50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9537<^8>96?u+637953=#>;>1=;5r}|8m403290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8;;W376?4|,?8>6<84$707>4027E{zut1b=;850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9530<^8>96?u+637953=#>;>1=;5r}|8m400290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<88;W376?4|,?8>6<84$707>4027[o6>00;6)P5il0:wA689U514=:r.=>84>6:&561<6>2wvqp5f15a94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=i0Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4e9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<:i;W376?4|,?8>6o5+6369f>{zut1b=8>50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9506<^8>96?u+6379f>"1:=0i7psr}:k214<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9<5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c100?6=<3:142f3_;?>7g=#>;>1n6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1n6*9258a?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{52;294~"5ij0ii6F=a19j51?=83.9mn4>4898k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn>:;:180>5<7s-8jo74c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>4:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5619:k20<<72-8jo7?;9:T1e`<6sE8i<7?tV0f3>7}#9l21=974V061>7}#>;?1n6*9258a?x{zu2co<7>5$3c`>a6<^;kn6!4fk3n:7[52z&2a=v*922820<=#;l31:?;4}%4a7}#>;91h<5+3d;92734j53zTg1?4|,?886i?4$2g:>3423t\o:7a6<,:o26;<:;|&5f=7}#>;91h<5+3d;9273k3:1(?ol:3;`?S4fm38p@?l?:0yU5a6=:r.:i54=9b9U514=:r.=>84>7:&561<6?2wvqAk2.8i449249~ 3d?28=0qps4i3:g>5<#:hi1>5j4V3cf>7}K:k:1=vX>d181!7b0383h6X>4381!05=3;<7)8=4;34?x{zD;km6j6=4+2`a951?<^;kn6=9=h0Z<:=:3y'273=j2.=>94m;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d4<729q/>lm52c18L7g73f;n=7>5$3c`>4c632wi?>h50;694?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?9;%410?713twvq6g>4c83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=;5+636953=zutw0e<;9:18'6de=9=30Z?oj:0yO6g6=9r\o;74313_;?>740<,?8?6<84}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo=;0;2954<729q/>lm5749K6d6j6=4+2`a951?<^;kn6P5il0:wA4c9U514=:r.=>84>6:&561<6>2wvqp5f14494?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9<<0Z<:=:3y'273=9?1/:?:5179~yx{4381!05=3;=7)8=4;35?x{zu2c::84?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9??0Z<:=:3y'273=9?1/:?:5179~yx{4381!05=3;=7)8=4;35?x{zu2c::54?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9822==Q9=81>v*924822>"1:=0::6sr}|9j53?=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;74V061>7}#>;?1=;5+636953=zutw0e<:l:18'6de=9=30Z?oj:0yO6g6=9r\o;742d3_;?>740<,?8?6<84}|~?l73l3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;d:T207<5s-<997l4$707>g=zutw0e<:j:18'6de=9=30Z?oj:0yO6g6=9r\o;742b3_;?>7g=#>;>1n6sr}|9j51`=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9h4V061>7}#>;?1n6*9258a?x{zu2c:9=4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98215=Q9=81>v*9248a?!05<3h0qpsr;h365?6=,;kh6<:6;W0ba?7|D;h;6=6X>4381!05=3h0(;<;:c9~yx{5<693:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637953=#>;>1=;5r}|8m42e290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<84$707>4027[2\:8?4={%411?713-<987?9;|~y>o6>80;6)P5il0:wA609U514=:r.=>84>6:&561<6>2wvqp5f17694?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?>0Z<:=:3y'273=9?1/:?:5179~yx{6=4+2`a951?<@;ki7[o6>?0;6)P5il0:wA679U514=:r.=>84>6:&561<6>2wvqp5f17594?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?=0Z<:=:3y'273=9?1/:?:5179~yx{4381!05=3;=7)8=4;35?x{zu2c:8n4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820f=Q9=81>v*924822>"1:=0::6sr}|9j51b=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9j4V061>7}#>;?1n6*9258a?x{zu2c:8h4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820`=Q9=81>v*9248a?!05<3h0qpsr;h37b?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{=9<;0Z<:=:3y'273=j2.=>94m;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d1<729q/>lm51d28L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{53;294~"5ij09m45G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6o5+6369f>{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637951=#>;>1=95r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn>:k:185>5<7s-8jo7?;7:J1e5=#9?k1=45f15;94?"5ij0:845Y2`g95~J5j90:w[?k0;0x 4c?28>27[?;2;0x 3422k1/:?:5b:~yx=nl90;6)g=zutw0ei?50;&1efv*9248g?!05<3n0qpsC2`d90~P51m08w[j::3y'275=l81/?h756378ySb12;q/:?=515;8 6c>2?8>7p*9b9827>{Q:0n1?vXk5;0x 3442m;0(>k6:706?xPc>38p(;<<:06:?!5b13<986s+6c:956=z^;3o6>uYd481!05;3n:7)=j9;411>{Ql?09w)8=3;f3?!5b13<996s+6c:9g>{Q9l=1>vXk5;0x 3442m;0(>k6:706?x"1j10:46sr}:k1=f<72-8jo7<6c:T1e`<5sE8i<7?tV0f3>7}#9l21>4m4V061>7}#>;?1=:5+636952=zutF9mk4>{W0b1?4|^m?1>v*92281=f=#;l31:?;4}%4a52z&2a=<50m1]=9<52z&560<6?2.=>94>7:~yI4fn3;pZ?o::3yU`0<5s-<9?7<7d:&0a<<1:<1v(;l7:058yx{5}#:hi1>l74H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi?9950;394?6|,;kh6?l<;I0b4>i6m80;6)=zj:>26=4;:183!4fk3=>7E{zut1b=9l50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228<0(;<;:048yx{z3`;>:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;35?!05<3;=7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th88l4?:0394?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?9;%410?713twvq6g>4c83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=;5+636953=zutw0e<;9:18'6de=9=30Z?oj:0yO6g6=9r\o;74313_;?>740<,?8?6<84}|~?l7193:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?91:T207<5s-<997?9;%410?713twvq6g>6583>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>=1]=9<52z&560<6>2.=>94>6:~yx=n9??1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74023_;?>740<,?8?6<84}|~?l71>3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?96:T207<5s-<997?9;%410?713twvq6g>6683>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>>1]=9<52z&560<6>2.=>94>6:~yx=n9?21<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6517:8R4252;q/:?;5179'272=9?1vqps4i04:>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<27[?;2;0x 34228<0(;<;:048yx{z3`;?o7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37g>P6<;09w)8=5;35?!05<3;=7psr}:k20a<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8i5Y15096~"1:<0::6*925822>{zut1b=9k50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951c<^8>96?u+6379f>"1:=0i7psr}:k20c<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8k5Y15096~"1:<0i7)8=4;`8yx{z3`;><7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;364>P6<;09w)8=5;`8 3432k1vqps4i072>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?:7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&561{M0a4?7|^m=1>v*>e9820d=Q9=81>v*924822>"1:=0::6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=;5+636953=zutw0e<;9:18'6de=9=30Z?oj:0yO6g6=9r\o;74313_;?>740<,?8?6<84}|~?l7193:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?91:T207<5s-<997?9;%410?713twvq6g>6583>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>=1]=9<52z&560<6>2.=>94>6:~yx=n9??1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74023_;?>740<,?8?6<84}|~?l71>3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?96:T207<5s-<997?9;%410?713twvq6g>6683>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>>1]=9<52z&560<6>2.=>94>6:~yx=n9?21<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6517:8R4252;q/:?;5179'272=9?1vqps4i04:>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<27[?;2;0x 34228<0(;<;:048yx{z3`;?o7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37g>P6<;09w)8=5;35?!05<3;=7psr}:k20a<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8i5Y15096~"1:<0::6*925822>{zut1b=9k50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951c<^8>96?u+6379f>"1:=0i7psr}:k20c<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8k5Y15096~"1:<0i7)8=4;`8yx{z3`;><7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;364>P6<;09w)8=5;`8 3432k1vqps4i072>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?:7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&561{M0a4?7|^m=1>v*>e9820d=Q9=81>v*9248a?!05<3h0qpsr;h37f?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e;=l1<7<50;2x 7gd2ko0D?o?;h37=?6=,;kh6<:6;:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th89;4?:383>5}#:hi1nh5G2`28m42>290/>lm515;8?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo=:d;297?6=8r.9mn4=a89K6d6j6=4+2`a951?<^;kn6=9=h0Z<:=:3y'273=9=1/:?:5159~yx{5<1290;w)N5i91/=;o5189j51?=83.9mn4>489U6dc=9rF9n=4>{W3g4?4|,8o36<:6;W376?4|,?8>6o5+6369f>{zut1bh=4?:%0bg?b73_8ji7?tL3`3>4}Q9m:1>v*>e98g4>P6<;09w)8=5;`8 3432k1vqps4ie394?"5ij0o=6X=ad81I4e83;pZ=l81]=9<52z&5607}#>;91h<5+3d;92734j53zTg1?4|,?886i?4$2g:>3423t\o:742>3-9n578=4:'2g>=9:1vZ?7k:2yU`0<5s-<9?7j>;%1f=?05=2w]h;4={%417?b73-9n578=5:'2g>=k2w]=h952zTg1?4|,?886i?4$2g:>3423t.=n54>8:~y>o51j0;6)P5il09wA1vqpB=ag82S4f=38pZi;52z&566<51j1/?h756378y!0e03;<7psr;h0;`?6=,;kh6?6k;W0ba?4|D;h;6{zuE8jj7?tV3c6>7}Ql<09w)8=3;0;`>"4m00=>85r$7`;>41:18'6de=9l;07pl<5183>6<729q/>lm52`;8L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&561;<:180>5<7s-8jo79:;I0b4>o6P5il0:wA4`9U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn>;;:187>5<7s-8jo79:;I0b4>o6P5il0:wA4`9U514=:r.=>84>6:&561<6>2wvqp5f15`94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<84$707>4027[2\:8?4={%411?713-<987?9;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d47=83:p(?ol:678L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;35?!05<3;=7psr}:k20g<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5179'272=9?1vqps4i075>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228<0(;<;:048yx{z3`;==7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;355>P6<;09w)8=5;35?!05<3;=7psr}:k221<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::95Y15096~"1:<0::6*925822>{zut1b=;;50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28<>7[?;2;0x 34228<0(;<;:048yx{z3`;=:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;352>P6<;09w)8=5;35?!05<3;=7psr}:k222<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:::5Y15096~"1:<0::6*925822>{zut1b=;650;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:953><^8>96?u+637953=#>;>1=;5r}|8m40>290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<86;W376?4|,?8>6<84$707>4027[o6P5il0:wA4e9U514=:r.=>84>6:&561<6>2wvqp5f15g94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=o0Z<:=:3y'273=9?1/:?:5179~yx{m6=4+2`a951?<^;kn6=9<:0Z<:=:3y'273=j2.=>94m;|~y>o6=80;6)P5il0:wA509U514=:r.=>84m;%410?d50z&1ef<6m91C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422k1/:?:5b:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5b:&5615783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560g=zutw0qo=:7;2964<729q/>lm5749K6d6j6=4+2`a951?<@;ki7[;|~y>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637954=#>;>1=<5r}|8m431290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>64727[;|~y>o6>=0;6)P5il0:wA659U514=:r.=>84>1:&561<692wvqp5f17794?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9??0Z<:=:3y'273=981/:?:5109~yx{4381!05=3;:7)8=4;32?x{zu2c::54?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9822==Q9=81>v*924825>"1:=0:=6sr}|9j53?=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;74V061>7}#>;?1=<5+636954=zutw0e<:l:18'6de=9=30Z?oj:0yO6g6=9r\o;742d3_;?>747<,?8?6;%410?763twvq6g>4d83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9=l1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515d8R4252;q/:?;5109'272=981vqps4i073>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?:0:T207<5s-<997?>;%410?763twvq6g>5083>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=81]=9<52z&560<692.=>94>1:~yx=n9<81<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651408R4252;q/:?;5169'272=9>1vqps4i070>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?:3:T207<5s-<997?8;%410?703twvq6g>5583>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6==1]=9<52z&560<6?2.=>94>7:~yx=n95683>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=>1]=9<52z&560g=zutw0e<;6:18'6de=9=30Z?oj:0yO6g6=9r\o;743>3_;?>7g=#>;>1n6sr}|9j50g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=8o4V061>7}#>;?1n6*9258a?x{zu2c:9o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9821g=Q9=81>v*9248a?!05<3h0qpsr;h36g?6=,;kh6<:6;W0ba?7|D;h;6o6X>4381!05=3h0(;<;:c9~yx{=994m;|~y>o6=o0;6)P5il0:wA5g9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<8=;W376?4|,?8>6o5+6369f>{zut1b=;=50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9535<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th8954?:3394?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820d=Q9=81>v*924825>"1:=0:=6sr}|9j51d=83.9mn4>489K6dd<^;kn6:6X>4381!05=3;:7)8=4;32?x{zu2c::<4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*924825>"1:=0:=6sr}|9j532=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;:4V061>7}#>;?1=<5+636954=zutw0e<8::18'6de=9=30Z?oj:0yO6g6=9r\o;74023_;?>747<,?8?63:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?96:T207<5s-<997?>;%410?763twvq6g>6683>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>>1]=9<52z&560<692.=>94>1:~yx=n9?21<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6517:8R4252;q/:?;5109'272=981vqps4i04:>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<27[?;2;0x 34228;0(;<;:038yx{z3`;?o7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9=n1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742c3_;?>747<,?8?6{M0a4?7|^m=1>v*>e9820`=Q9=81>v*924825>"1:=0:=6sr}|9j51`=83.9mn4>489K6dd<^;kn6P5il0:wA519U514=:r.=>84>1:&561<692wvqp5f14394?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9<;0Z<:=:3y'273=981/:?:5109~yx{P5il0:wA529U514=:r.=>84>7:&561<6?2wvqp5f14694?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9<>0Z<:=:3y'273=9>1/:?:5169~yx{6=4+2`a951?<^;kn6=9<=0Z<:=:3y'273=j2.=>94m;|~y>o6=10;6)P5il0:wA599U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;n;W376?4|,?8>6o5+6369f>{zut1b=8l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:950d<^8>96?u+6379f>"1:=0i7psr}:k21f<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9n5Y15096~"1:<0i7)8=4;`8yx{z3`;>h7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;36`>P6<;09w)8=5;`8 3432k1vqps4i07f>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?n7[?;2;0x 3422k1/:?:5b:~yx=n96183>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>91]=9<52z&560g=zutw0e<8<:18'6de=9=30Z?oj:0yO6g6=9r\o;74043_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi?8750;02>5<7s-8jo79:;I0b4>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637954=#>;>1=<5r}|8m42e290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;32?!05<3;:7psr}:k213<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9;5Y15096~"1:<0:=6*925825>{zut1b=;?50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9537<^8>96?u+637954=#>;>1=<5r}|8m403290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8;;W376?4|,?8>64727[;|~y>o6>?0;6)P5il0:wA679U514=:r.=>84>1:&561<692wvqp5f17594?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?=0Z<:=:3y'273=981/:?:5109~yx{4381!05=3;:7)8=4;32?x{zu2c:8n4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9=i0Z<:=:3y'273=981/:?:5109~yx{o6=4+2`a951?<@;ki7[;|~y>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951c<^8>96?u+637954=#>;>1=<5r}|8m42a290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37b>P6<;09w)8=5;32?!05<3;:7psr}:k215<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651428R4252;q/:?;5109'272=981vqps4i072>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?:7[?;2;0x 34228;0(;<;:038yx{z3`;>>7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;366>P6<;09w)8=5;34?!05<3;<7psr}:k216<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651418R4252;q/:?;5169'272=9>1vqps4i077>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28??7[?;2;0x 34228=0(;<;:058yx{z3`;>97>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;361>P6<;09w)8=5;`8 3432k1vqps4i074>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?<7[?;2;0x 3422k1/:?:5b:~yx=n9<21<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6514:8R4252;q/:?;5b:&5615883>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=01]=9<52z&560g=zutw0e<;m:18'6de=9=30Z?oj:0yO6g6=9r\o;743e3_;?>7g=#>;>1n6sr}|9j50e=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=8m4V061>7}#>;?1n6*9258a?x{zu2c:9i4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9821a=Q9=81>v*9248a?!05<3h0qpsr;h36a?6=,;kh6<:6;W0ba?7|D;h;6i6X>4381!05=3h0(;<;:c9~yx{=9?:0Z<:=:3y'273=j2.=>94m;|~y>o6>;0;6)P5il0:wA639U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn>;n:187>5<7s-8jo7?j0:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5b:&5614c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<63:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997l4$707>g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f6>c29096=4?{%0bg?db3A8j<6g>4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e;021<7=50;2x 7gd2;k27E5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;37?!05<3;?7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th85:4?:783>5}#:hi1=994H3c3?!71i3;27d?;9;29 7gd28>27[52z&2a=<6<01]=9<52z&560lm5d19U6dc=9rF9n=4>{W3g4?4|,8o36i>4V061>7}#>;?1n6*9258a?x{zu2co=7>5$3c`>a7<^;kn6?uC2c295~P6l909w)?j8;f2?S73:38p(;<::e9'272=l2wvqAl39pZi;52z&566>4>489'7`?=>;>0q)8m8;30?xP51m08w[j::3y'275=l81/?h756378ySb12;q/:?=5d19'7`?=>;?0q)8m8;30?xP6m>09w[j::3y'275=l81/?h756378y!0e03;37psr;h0:g?6=,;kh6?7l;W0ba?4|D;h;6{zuE8jj7?tV3c6>7}Ql<09w)8=3;0:g>"4m00=>85r$7`;>41l;52zTg1?4|,?886?6k;%1f=?05=2w/:o65169~yx=h9l;1<7*=ab82a4=53;294~"5ij09m45G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6o5+6369f>{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th85=4?:083>5}#:hi1>o=4H3c3?j7b93:1(?ol:0g2?>{e;081<7;50;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3;=7)8=4;35?x{zu2c:8o4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=9?1/:?:5179~yx{4381!05=3;=7)8=4;35?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`0=6<72<0;6=u+2`a930=O:h:0e<:n:18'6de=9=30Z?oj:0yO6g6=9r\o;742f3_;?>740<,?8?6<84}|~?l73j3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?9;%410?713twvq6g>5783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560<6>2.=>94>6:~yx=n9?;1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651738R4252;q/:?;5179'272=9?1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj:3?6=4::183!4fk3=>7E{zut1b=9l50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228<0(;<;:048yx{z3`;>:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;35?!05<3;=7psr}:k224<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::<5Y15096~"1:<0::6*925822>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a7<3=83?1<7>t$3c`>23<@;k;7d?;a;29 7gd28>27[o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637953=#>;>1=;5r}|8m431290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>6<84$707>4027[i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d0<729q/>lm51d28L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5b:&5616083>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>81]=9<52z&560g=zutw0qo=7e;297?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742f3_;?>740<,?8?6<84}|~?l73j3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?9;%410?713twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{56;294~"5ij0:8:5G2`28 40f2830e<:6:18'6de=9=30Z?oj:0yO6g6=9r\:h=4={%3f7}#9l21h=5Y15096~"1:<0i7)8=4;`8yx{z3`n:6=4+2`a9`4=Q:ho1>vB=b182S7c838p(a7<,:o26;<:;|Tg2?4|,?886<:6;%1f=?05=2w/:o65129~R7?c2:q]h84={%417?b63-9n578=5:U`3<5s-<9?7?;9:&0a<<1:=1v(;l7:018yS4>l39pZi;52z&566>4k0:&0a<<1:<1v(;l7:018yS7b?38pZi;52z&5665<#:hi1>4m4V3cf>7}K:k:1=vX>d181!7b0382o6X>4381!05=3;<7)8=4;34?x{zD;km65j50;&1ef<50m1]>lk52zN1f5<6s_;o<77>c3_;?>741<,?8?6<94}|O6d`=9r\9m84={Wf6>7}#>;91>5j4$2g:>3423t.=n54>7:~y>i6m80;6)=zj;3;6=4<:183!4fk38j56F=a19j51g=83.9mn4>489K6dd<^;kn6=9=h0Z<:=:3y'273=j2.=>94m;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d4<729q/>lm52c18L7g73f;n=7>5$3c`>4c632wi>4<50;794?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820d=Q9=81>v*924822>"1:=0::6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=;5+636953=zutw0e<;9:18'6de=9=30D?om;W0ba?7|D;h;6:6X>4381!05=3;=7)8=4;35?x{zu2c::<4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*924822>"1:=0::6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi>5h50;194?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820d=Q9=81>v*924822>"1:=0::6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=;5+636953=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f7`629096=4?{%0bg?db3A8j<6g>4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e:ok1<7=50;2x 7gd2;k27E5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;37?!05<3;?7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th9j44?:783>5}#:hi1=994H3c3?!71i3;27d?;9;29 7gd28>27[52z&2a=<6<01]=9<52z&560lm5d19U6dc=9rF9n=4>{W3g4?4|,8o36i>4V061>7}#>;?1n6*9258a?x{zu2co=7>5$3c`>a7<^;kn6?uC2c295~P6l909w)?j8;f2?S73:38p(;<::e9'272=l2wvqAl39pZi;52z&566>4>489'7`?=>;>0q)8m8;30?xP51m08w[j::3y'275=l81/?h756378ySb12;q/:?=5d19'7`?=>;?0q)8m8;a8yS7b?38pZi;52z&5665<#:hi1>4m4V3cf>7}K:k:1=vX>d181!7b0382o6X>4381!05=3;<7)8=4;34?x{zD;km65j50;&1ef<50m1]>lk52zN1f5<6s_;o<77>c3_;?>741<,?8?6<94}|O6d`=9r\9m84={Wf6>7}#>;91>5j4$2g:>3423t.=n54>7:~y>i6m80;6)=zj;l96=4<:183!4fk38j56F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1n6*9258a?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{51;294~"5ij09n>5G2`28k4c6290/>lm51d38?xd5n<0;694?:1y'6de=?<1C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228<0(;<;:048yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5179'272=9?1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj;l=6=4>1;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=;5+636953=zutw0e<:m:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;=7)8=4;35?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924822>"1:=0::6sr}|9j537=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1=;5+636953=zutw0e<8;:18'6de=9=30Z?oj:0yO6g6=9r\o;74033_;?>740<,?8?6<84}|~?l71=3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98220=Q9=81>v*924822>"1:=0::6sr}|9j530=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;84V061>7}#>;?1=;5+636953=zutw0e<88:18'6de=9=30Z?oj:0yO6g6=9r\o;74003_;?>740<,?8?6<84}|~?l7103:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?98:T207<5s-<997?9;%410?713twvq6g>6883>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>01]=9<52z&560<6>2.=>94>6:~yx=n9=i1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515a8R4252;q/:?;5179'272=9?1vqps4i06g>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>o7[?;2;0x 34228<0(;<;:048yx{z3`;?i7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37a>P6<;09w)8=5;35?!05<3;=7psr}:k20c<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8k5Y15096~"1:<0::6*925822>{zut1b=8>50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9506<^8>96?u+637953=#>;>1=;5r}|8m436290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<;>;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a6c1=83;:6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5179'272=9?1vqps4i075>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228<0(;<;:048yx{z3`;==7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;355>P6<;09w)8=5;35?!05<3;=7psr}:k221<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::95Y15096~"1:<0::6*925822>{zut1b=;;50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28<>7[?;2;0x 34228<0(;<;:048yx{z3`;=:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;352>P6<;09w)8=5;35?!05<3;=7psr}:k222<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:::5Y15096~"1:<0::6*925822>{zut1b=;650;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:953><^8>96?u+637953=#>;>1=;5r}|8m40>290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<86;W376?4|,?8>6<84$707>4027[o6P5il0:wA4e9U514=:r.=>84>6:&561<6>2wvqp5f15g94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=o0Z<:=:3y'273=9?1/:?:5179~yx{m6=4+2`a951?<^;kn6<6X>4381!05=3;=7)8=4;35?x{zu2c:9<4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98214=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{54;294~"5ij0:i=5G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6o5+6369f>{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+6379f>"1:=0i7psr}:k213<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9;5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c1:=?6=:3:1N5i91b=9750;&1ef<6<010c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f6?a290=6=4?{%0bg?73?2B9m=5+17c95<=n9=31<7*=ab820<=Q:ho1=vB=b182S7c838p(!4fk3n;7[52z&2a=7}#9l21h<5Y15096~"1:<0o7)8=4;f8yx{K:hl18vX=9e80Sb22;q/:?=5d09'7`?=>;?0q[j9:3y'275=9=30(>k6:706?x"1j10:?6sY28f97~Pc=38p(;<<:e38 6c>2?8>7pXk6;0x 34428>27)=j9;410>{#>k21=>5rV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3n;7)=j9;411>{#>k21=>5rV0g4>7}Ql<09w)8=3;f2?!5b13<996s+6c:95==zut1b>4m50;&1ef<51j1]>lk52zN1f5<6s_;o<77?d3_;?>741<,?8?6<94}|O6d`=9r\9m84={Wf6>7}#>;91>4m4$2g:>3423t.=n54>7:~y>o50m0;6)P5il09wA1vqpB=ag82S4f=38pZi;52z&566<50m1/?h756378y!0e03;<7psr;n3f5?6=,;kh6;:a7t$3c`>7g>3A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f6?d290:6=4?{%0bg?4e;2B9m=5`1d394?"5ij0:i<54}c1:`?6==3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637953=#>;>1=;5r}|8m42e290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;35?!05<3;=7psr}:k213<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5179'272=9?1vqps4i042>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<:7[?;2;0x 34228<0(;<;:048yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c1:e?6=;3:1N5i91b=9o50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228<0(;<;:048yx{z3`;?n7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;35?!05<3;=7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th8hl4?:383>5}#:hi1nh5G2`28m42>290/>lm515;8?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo=j0;296?6=8r.9mn4me:J1e5=n9=31<7*=ab820<=5<4290;w)N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+6379f>"1:=0i7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0:86*925820>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a7`1=83<1<7>t$3c`>4203A8j<6*>6`82=>o6<00;6)P5il0:wA7g=#>;>1n6sr}|9j`4<72-8jo7j>;W0ba?4|D;h;64381!05=3n0(;<;:e9~yxJ5io0?w[<6d;1xRa3=:r.=>>4k1:&0a<<1:<1vZi852z&566<6<01/?h756378y!0e03;87pX=9e80Sb22;q/:?=5d09'7`?=>;?0q[j9:3y'275=9=30(>k6:707?x"1j10:?6sY28f97~Pc=38p(;<<:e38 6c>2?8>7pXk6;0x 3442m:0(>k6:706?x"1j10h7pX>e681Sb22;q/:?=5d09'7`?=>;?0q)8m8;3;?x{z3`82o7>5$3c`>7?d3_8ji74}Q9m:1>v*>e981=f=Q9=81>v*924823>"1:=0:;6sr}M0bb?7|^;k>6?uYd481!05;382o6*c290/>lm529f8R7gb2;qG>o>51zT2`5<5s-;n47<7d:T207<5s-<997?8;%410?703twv@?oi:0yU6d3=:r\o977>c3-9n578=5:'2g>=9>1vqp5`1d394?"5ij0:i<54}c1gf?6=;3:142f3_;?>7g=#>;>1n6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1n6*9258a?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`0`f<7280;6=u+2`a96g5<@;k;7b?j1;29 7gd28o:76sm3eg94?5=83:p(?ol:678L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;33?!05<3;;7psr}:k20g<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5179'272=9?1vqps4i075>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997??;%410?773twvq6g>6083>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>81]=9<52z&560<6>2.=>94>6:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&561{M0a4?7|^m=1>v*>e9820d=Q9=81>v*9248a?!05<3h0qpsr;h37f?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{5<3290;w)=9=k0Z<:=:3y'273=981/:?:5109~yx{i6=4+2`a951?<@;ki7[o6=?0;6)N5ik1]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+637952=#>;>1=:5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn>k=:18:>5<7s-8jo79:;I0b4>o6P5il0:wA4`9U514=:r.=>84>1:&561<692wvqp5f15`94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=981/:?:5109~yx{4381!05=3;:7)8=4;32?x{zu2c::94?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98221=Q9=81>v*924825>"1:=0:=6sr}|9j533=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;;4V061>7}#>;?1=<5+636954=zutw0e<89:18'6de=9=30Z?oj:0yO6g6=9r\o;74013_;?>747<,?8?6;%410?763twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560?0D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924825>"1:=0:=6sr}|9j500=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1=<5+636954=zutw0e<8>:18'6de=9=30Z?oj:0yO6g6=9r\o;74063_;?>747<,?8?6;%410?763twvq6g>6483>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6><1]=9<52z&560<692.=>94>1:~yx=n9?<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651748R4252;q/:?;5109'272=981vqps4i044>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?97:T207<5s-<997?>;%410?763twvq6g>6983>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;64V061>7}#>;?1=:5+636952=zutw0e<86:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;<7)8=4;34?x{zu2c:8n4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820f=Q9=81>v*9248a?!05<3h0qpsr;h37`?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{n6=4+2`a951?<^;kn6=9=l0Z<:=:3y'273=j2.=>94m;|~y>o6=90;6)P5il0:wA519U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn>k;:1825?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5109'272=981vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228;0(;<;:038yx{z3`;>:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;32?!05<3;:7psr}:k224<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::<5Y15096~"1:<0:=6*925825>{zut1b=;:50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9532<^8>96?u+637954=#>;>1=<5r}|8m402290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8:;W376?4|,?8>64727[2\:8?4={%411?763-<987?>;|~y>o6>>0;6)N5ik1]>lk51zN1f5<6s_n<6?u+1d:9531<^8>96?u+637954=#>;>1=<5r}|8m40?290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;35<>P6<;09w)8=5;34?!05<3;<7psr}:k22<<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6517;8R4252;q/:?;5169'272=9>1vqps4i06`>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>h7[?;2;0x 3422k1/:?:5b:~yx=n9=n1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515f8R4252;q/:?;5b:&5614d83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0e<;?:18'6de=9=30Z?oj:0yO6g6=9r\o;74373_;?>7g=#>;>1n6sr}|9j507=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=8?4V061>7}#>;?1n6*9258a?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`0a0<72<0;6=u+2`a95`6<@;k;7d?;a;29 7gd28>27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6o5+6369f>{zut1b=8850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+6379f>"1:=0i7psr}:k224<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::<5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c6b5?6=:3:1N5i91b=9750;&1ef<6<010c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f1gf29096=4?{%0bg?db3A8j<6g>4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;37?!05<3;?7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th?mi4?:783>5}#:hi1=994H3c3?!71i3;27d?;9;29 7gd28>27[52z&2a=<6<01]=9<52z&560lm5d19U6dc=9rF9n=4>{W3g4?4|,8o36i>4V061>7}#>;?1n6*9258a?x{zu2co=7>5$3c`>a7<^;kn6?uC2c295~P6l909w)?j8;f2?S73:38p(;<::e9'272=l2wvqAl39pZi;52z&566>4>489'7`?=>;>0q)8m8;30?xP51m08w[j::3y'275=l81/?h756378ySb12;q/:?=5d19'7`?=>;?0q)8m8;a8yS7b?38pZi;52z&5665<#:hi1>4m4V3cf>7}K:k:1=vX>d181!7b0382o6X>4381!05=3;<7)8=4;34?x{zD;km65j50;&1ef<50m1]>lk52zN1f5<6s_;o<77>c3_;?>741<,?8?6<94}|O6d`=9r\9m84={Wf6>7}#>;91>5j4$2g:>3423t.=n54>7:~y>i6m80;6)=zj=k96=4<:183!4fk38j56F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1n6*9258a?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{j?7>51;294~"5ij09n>5G2`28k4c6290/>lm51d38?xd3i<0;6>4?:1y'6de=?<1C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422k1/:?:5b:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560?0D?o?;h37e?6=,;kh6<:6;I0bf>P5il0:wA4`9U514=:r.=>84>0:&561<682wvqp5f15`94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<>4$707>4627[2\:8?4={%411?773-<987??;|~y>o6>80;6)P5il0:wA609U514=:r.=>84>0:&561<682wvqp5f17694?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?>0Z<:=:3y'273=991/:?:5119~yx{6=4+2`a951?<^;kn64381!05=3;;7)8=4;33?x{zu2c:::4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98222=Q9=81>v*924824>"1:=0:<6sr}|9j53>=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;64V061>7}#>;?1==5+636955=zutw0e<86:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;;7)8=4;33?x{zu2c:8n4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9=i0Z<:=:3y'273=991/:?:5119~yx{o6=4+2`a951?<@;ki7[o6P5il0:wA4d9U514=:r.=>84>6:&561<6>2wvqp5f15d94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=l0Z<:=:3y'273=9?1/:?:5179~yx{=6X>4381!05=3h0(;<;:c9~yx{5<693:1N5i91b=9o50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228:0(;<;:028yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>0:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5119'272=991vqps4i042>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<:7[?;2;0x 34228:0(;<;:028yx{z3`;=87>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;350>P6<;09w)8=5;33?!05<3;;7psr}:k220<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::85Y15096~"1:<0:<6*925824>{zut1b=;850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9530<^8>96?u+637955=#>;>1==5r}|8m400290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<88;W376?4|,?8>6<>4$707>4627[o6>00;6)N5ik1]>lk51zN1f5<6s_n<6?u+1d:953?<^8>96?u+637955=#>;>1==5r}|8m42d290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37g>P6<;09w)8=5;33?!05<3;;7psr}:k20a<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515f8R4252;q/:?;5179'272=9?1vqps4i06f>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>n7[?;2;0x 34228<0(;<;:048yx{z3`;?j7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37b>P6<;09w)8=5;35?!05<3;=7psr}:k215<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9=5Y15096~"1:<0::6*925822>{zut1b=8?50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9507<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th?m54?:0394?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820d=Q9=81>v*924824>"1:=0:<6sr}|9j51d=83.9mn4>489K6dd<^;kn6:6X>4381!05=3;;7)8=4;33?x{zu2c::<4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*924824>"1:=0:<6sr}|9j532=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;:4V061>7}#>;?1==5+636955=zutw0e<8::18'6de=9=30Z?oj:0yO6g6=9r\o;74023_;?>746<,?8?6<>4}|~?l71>3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?96:T207<5s-<997??;%410?773twvq6g>6683>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>>1]=9<52z&560<682.=>94>0:~yx=n9?21<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6517:8R4252;q/:?;5119'272=991vqps4i04:>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?99:T207<5s-<997??;%410?773twvq6g>4b83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9m4V061>7}#>;?1==5+636955=zutw0e<:k:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;=7)8=4;35?x{zu2c:8h4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820`=Q9=81>v*924822>"1:=0::6sr}|9j51`=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9h4V061>7}#>;?1=;5+636953=zutw0e<;?:18'6de=9=30Z?oj:0yO6g6=9r\o;74373_;?>740<,?8?6<84}|~?l7293:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?:1:T207<5s-<997l4$707>g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f1g>290:=7>50z&1ef<0=2B9m=5f15c94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<>4$707>4627E{zut1b=8850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+637955=#>;>1==5r}|8m406290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6<>4$707>4627[o6><0;6)P5il0:wA649U514=:r.=>84>0:&561<682wvqp5f17494?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?<0Z<:=:3y'273=991/:?:5119~yx{4381!05=3;;7)8=4;33?x{zu2c::44?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9?30Z<:=:3y'273=991/:?:5119~yx{h6=4+2`a951?<@;ki7[o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951b<^8>96?u+637953=#>;>1=;5r}|8m42b290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:j;W376?4|,?8>6<84$707>4027[o6=90;6)P5il0:wA519U514=:r.=>84>6:&561<6>2wvqp5f14394?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9<;0Z<:=:3y'273=j2.=>94m;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d3<729q/>lm51d28L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5b:&5616083>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>81]=9<52z&560g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f1ge290<6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=<5+636954=zutw0e<:m:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:9;4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9<<0Z<:=:3y'273=981/:?:5109~yx{;|~y>o6>=0;6)N5ik1]>lk51zN1f5<6s_n<6?u+1d:9532<^8>96?u+637952=#>;>1=:5r}|8m402290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8:;W376?4|,?8>6<94$707>417>50z&1ef5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj?h<6=49:183!4fk3;?;6F=a19'53g=901b=9750;&1ef<6<01]>lk51zN1f5<6s_;o<742>3_;?>7g=#>;>1n6sr}|9j`5<72-8jo7j?;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{P5il09wA84k;%410?blh54zT1=a<4s_n>6?u+6319`4=#;l31:?;4}Wf5>7}#>;91=974$2g:>3423t.=n54>3:U6a7<,:o26;<:;|Tg2?4|,?886<:6;%1f=?05<2w/:o65129~R7?c2:q]h84={%417?b63-9n578=5:U`3<5s-<9?7j?;%1f=?05=2w/:o65c:U5`1=:r\o97a7<,:o26;<:;|&5f=<602wvq6g=9b83>!4fk382o6X=ad81I4e83;pZ=:0i0Z<:=:3y'273=9>1/:?:5169~yxJ5io0:w[>4=9b9'7`?=>;?0q)8m8;34?x{z3`83h7>5$3c`>7>c3_8ji74}Q9m:1>v*>e981v*924823>"1:=0:;6sr}M0bb?7|^;k>6?uYd481!05;383h6*lm51d38?xd1j80;6>4?:1y'6de=:h30D?o?;h37e?6=,;kh6<:6;I0bf>P5il0:wA4`9U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn;l=:182>5<7s-8jo753;294~"5ij0<96F=a19j51g=83.9mn4>489K6dd<^;kn6P5il0:wA4c9U514=:r.=>84>6:&561<6>2wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e>k?1<7850;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;I0bf>P5il0:wA4`9U514=:r.=>84>6:&561<6>2wvqp5f15`94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<84$707>4027E{zut1b=;?50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28<:7[?;2;0x 34228<0(;<;:048yx{z3`;=87>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6>=1]=9<52z&560<6>2.=>94>6:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&561{M0a4?7|^m=1>v*>e9820d=Q9=81>v*9248a?!05<3h0qpsr;h37f?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a5t$3c`>gc<@;k;7d?;9;29 7gd28>276a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&5603`;?57>5$3c`>42>3_8ji7?tL3`3>4}Q9m:1>v*>e9820<=Q9=81>v*9248a?!05<3h0qpsr;hf3>5<#:hi1h=5Y2`g95~J5j90:w[?k0;0x 4c?2m:0Z<:=:3y'273=j2.=>94m;|~y>oc93:1(?ol:e38R7gb2;qG>o>51zT2`5<5s-;n47j>;W376?4|,?8>6i5+6369`>{zuE8jj7:tV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3;?56*v*9228g5>"4m00=>85rVe496~"1::0:845+3d;92726?u+6319`4=#;l31:?;4}Wf5>7}#>;91h=5+3d;9273v*9228g5>"4m00=>85r$7`;>4>k2\:8?4={%411?703-<987?8;|~H7ga28q]>l;52zTg1?4|,?886?7l;%1f=?05=2w/:o65169~yx=n:1n1<7*=ab81vB=b182S7c838p(k6:706?x"1j10:;6sr}:m2a4<72-8jo7?j1:9~f4?e29086=4?{%0bg?4f12B9m=5f15c94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6o5+6369f>{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th:5n4?:083>5}#:hi1>o=4H3c3?j7b93:1(?ol:0g2?>{e90n1<7=50;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{i6=4+2`a951?<^;kn6=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e90l1<7950;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:8o4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=981/:?:5109~yx{P5il0:wA609U514=:r.=>84>7:&561<6?2wvqp5f17694?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?>0Z<:=:3y'273=9>1/:?:5169~yx{6=4+2`a951?<@;ki7[i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?da183>2<729q/>lm5749K6d6j6=4+2`a951?<^;kn64381!05=3;<7)8=4;34?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924823>"1:=0:;6sr}|9j537=83.9mn4>489K6dd<^;kn64381!05=3;<7)8=4;34?x{zu2c::84?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9??0Z<:=:3y'273=9>1/:?:5169~yx{5<0290;w)=9=k0Z<:=:3y'273=981/:?:5109~yx{i6=4+2`a951?<@;ki7[;|~y>o6=?0;6)P5il0:wA579U514=:r.=>84>7:&561<6?2wvqp5f17394?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?;0Z<:=:3y'273=9>1/:?:5169~yx{4381!05=3;<7)8=4;34?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`2e7<72>0;6=u+2`a930=O:h:0e<:n:18'6de=9=30Z?oj:0yO6g6=9r\o;742f3_;?>747<,?8?6{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924825>"1:=0:=6sr}|9j500=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1=:5+636952=zutw0e<8>:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;<7)8=4;34?x{zu2c::94?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98221=Q9=81>v*924823>"1:=0:;6sr}|9j533=83.9mn4>489K6dd<^;kn6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{57;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=<5+636954=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>741<,?8?6<94}|~?l72>3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997?8;%410?703twvq6g>6083>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1=:5+636952=zutw0e<8;:18'6de=9=30Z?oj:0yO6g6=9r\o;74033_;?>741<,?8?6<94}|~?l71=3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98220=Q9=81>v*924823>"1:=0:;6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi=l:50;494?6|,;kh6o6P5il0:wA4`9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>6o5+6369f>{zut1b=;?50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9537<^8>96?u+6379f>"1:=0i7psr}:k221<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::95Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c6:e?6=:3:1N5i91b=9750;&1ef<6<010c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f1g7290=6=4?{%0bg?73?2B9m=5+17c95<=n9=31<7*=ab820<=Q:ho1=vB=b182S7c838p(!4fk3n;7[52z&2a=7}#9l21h<5Y15096~"1:<0o7)8=4;f8yx{K:hl18vX=9e80Sb22;q/:?=5d09'7`?=>;?0q[j9:3y'275=9=30(>k6:706?x"1j10:?6sY28f97~Pc=38p(;<<:e38 6c>2?8>7pXk6;0x 34428>27)=j9;410>{#>k21=>5rV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3n;7)=j9;411>{#>k21=>5rV0g4>7}Ql<09w)8=3;f2?!5b13<996s+6c:95==zut1b>4m50;&1ef<51j1]>lk52zN1f5<6s_;o<77?d3_;?>741<,?8?6<94}|O6d`=9r\9m84={Wf6>7}#>;91>4m4$2g:>3423t.=n54>7:~y>o50m0;6)P5il09wA1vqpB=ag82S4f=38pZi;52z&566<50m1/?h756378y!0e03;<7psr;n3f5?6=,;kh6;:a0t$3c`>7g>3A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f1?c290:6=4?{%0bg?4e;2B9m=5`1d394?"5ij0:i<54}c6:a?6=;3:1N5i91b=9o50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228:0(;<;:028yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>0:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;35?!05<3;=7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0::6*925822>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a0d`=8381<7>t$3c`>gc<@;k;7d?;9;29 7gd28>276a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&5603`;?57>5$3c`>42>3_8ji7?tL3`3>4}Q9m:1>v*>e9820<=Q9=81>v*9248a?!05<3h0qpsr;hf3>5<#:hi1h=5Y2`g95~J5j90:w[?k0;0x 4c?2m:0Z<:=:3y'273=j2.=>94m;|~y>oc93:1(?ol:e38R7gb2;qG>o>51zT2`5<5s-;n47j>;W376?4|,?8>6i5+6369`>{zuE8jj7:tV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3;?56*v*9228g5>"4m00=>85rVe496~"1::0:845+3d;92726?u+6319`4=#;l31:?;4}Wf5>7}#>;91h=5+3d;92736?u+6319`4=#;l31:?;4}%4a52z&2a=<51j1]=9<52z&560<6?2.=>94>7:~yI4fn3;pZ?o::3yU`0<5s-<9?7<6c:&0a<<1:<1v(;l7:058yx{P6<;09w)8=5;34?!05<3;<7psrL3ce>4}Q:h?1>vXk5;0x 3442;2o7)=j9;411>{#>k21=:5r}|9l5`7=83.9mn4>e098yg2e93:1?7>50z&1ef<5i01C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422k1/:?:5b:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560;:a0g5=8391<7>t$3c`>23<@;k;7d?;a;29 7gd28>27E{zut1b=9l50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228:0(;<;:028yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c6a4?6=;3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637953=#>;>1=;5r}|8m42e290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<84$707>407>50z&1ef5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj<836=4=:183!4fk3hn7Ee09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi9??50;094?6|,;kh6ok4H3c3?l7313:1(?ol:06:?>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d3<729q/>lm51558L7g73-;=m7?6;h37=?6=,;kh6<:6;W0ba?7|D;h;67a=#>;>1h6sr}M0bb?2|^;3o6>uYd481!05;3n:7)=j9;411>{Ql?09w)8=3;37=>"4m00=>85r$7`;>45v*922820<=#;l31:?:4}%4a7}#>;91h<5+3d;9273lm528a8R7gb2;qG>o>51zT2`5<5s-;n47<6c:T207<5s-<997?8;%410?703twv@?oi:0yU6d3=:r\o977?d3-9n578=5:'2g>=9>1vqp5f29f94?"5ij094i5Y2`g96~J5j90:w[?k0;0x 4c?2;2o7[?;2;0x 34228=0(;<;:058yx{K:hl1=vX=a481Sb22;q/:?=529f8 6c>2?8>7p*9b9823>{zu2e:i<4?:%0bg?7b921vn8?m:180>5<7s-8jo74c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0qo;>c;295?6=8r.9mn4=b29K6d6=h4?:283>5}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6o5+6369f>{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th>=k4?:283>5}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<>4$707>4627E{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a176=8391<7>t$3c`>23<@;k;7d?;a;29 7gd28>27E{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637953=#>;>1=;5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn8?k:187>5<7s-8jo7?j0:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5b:&5614c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<63:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997l4$707>g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f045290=6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=<5+636954=zutw0e<:m:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:9;4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9<<0Z<:=:3y'273=981/:?:5109~yx{o6>=0;6)P5il0:wA659U514=:r.=>84>7:&561<6?2wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e=;91<7950;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;I0bf>P5il0:wA4`9U514=:r.=>84>1:&561<692wvqp5f15`94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>64727E{zut1b=;?50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28<:7[?;2;0x 34228;0(;<;:038yx{z3`;=87>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6>=1]=9<52z&560<6?2.=>94>7:~yx=n9??1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651778R4252;q/:?;5169'272=9>1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj<8?6=48:183!4fk3=>7E5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?>;%410?763twvq6g>5783>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1=<5+636954=zutw0e<8>:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;<7)8=4;34?x{zu2c::94?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98221=Q9=81>v*924823>"1:=0:;6sr}|9j533=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;;4V061>7}#>;?1=:5+636952=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f042290?6=4?{%0bg?7b82B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4c9U514=:r.=>84m;%410?d27[2\:8?4={%411?d<,?8?6o5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn;?;:181>5<7s-8jo7lj;I0b4>o6<00;6)=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5619:k20<<72-8jo7?;9:T1e`<6sE8i<7?tV0f3>7}#9l21=974V061>7}#>;?1n6*9258a?x{zu2co<7>5$3c`>a6<^;kn6!4fk3n:7[52z&2a=v*922820<=#;l31:?;4}%4a7}#>;91h<5+3d;92734j53zTg1?4|,?886i?4$2g:>3423t\o:7a6<,:o26;<:;|&5f=7}#>;91h<5+3d;9273k3:1(?ol:3;`?S4fm38p@?l?:0yU5a6=:r.:i54=9b9U514=:r.=>84>7:&561<6?2wvqAk2.8i449249~ 3d?28=0qps4i3:g>5<#:hi1>5j4V3cf>7}K:k:1=vX>d181!7b0383h6X>4381!05=3;<7)8=4;34?x{zD;km65;297?6=8r.9mn4=a89K6d6j6=4+2`a951?<@;ki7[lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a240=83;1<7>t$3c`>7d43A8j<6a>e083>!4fk3;n=65rb73;>5<693:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637955=#>;>1==5r}|8m42e290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<>4$707>4627[2\:8?4={%411?773-<987??;|~y>o6>80;6)P5il0:wA609U514=:r.=>84>0:&561<682wvqp5f17694?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?>0Z<:=:3y'273=991/:?:5119~yx{6=4+2`a951?<^;kn64381!05=3;;7)8=4;33?x{zu2c:::4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98222=Q9=81>v*924824>"1:=0:<6sr}|9j53>=83.9mn4>489K6dd<^;kn64381!05=3;=7)8=4;35?x{zu2c:8n4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820f=Q9=81>v*9248a?!05<3h0qpsr;h37`?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{n6=4+2`a951?<^;kn6=9=l0Z<:=:3y'273=j2.=>94m;|~y>o6=90;6)P5il0:wA519U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn;?6:1825?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5119'272=991vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228:0(;<;:028yx{z3`;>:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;33?!05<3;;7psr}:k224<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::<5Y15096~"1:<0:<6*925824>{zut1b=;:50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9532<^8>96?u+637955=#>;>1==5r}|8m402290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8:;W376?4|,?8>6<>4$707>4627[2\:8?4={%411?773-<987??;|~y>o6>>0;6)P5il0:wA669U514=:r.=>84>0:&561<682wvqp5f17:94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?20Z<:=:3y'273=9?1/:?:5179~yx{4381!05=3h0(;<;:c9~yx{o6=4+2`a951?<^;kn6=9=o0Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4g9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;>;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a241=8391<7>t$3c`>4c73A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f37d29086=4?{%0bg?123A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>0:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5119'272=991vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zje09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi9n:50;094?6|,;kh6ok4H3c3?l7313:1(?ol:06:?>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d7<729q/>lm5bd9K6d626=4+2`a951?<3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;d8 3432o1vqps4}c7`5?6=;3:14381!05=3h0(;<;:c9~yx{i6=4+2`a951?<^;kn6=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e=j81<7?50;2x 7gd2;h87E4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f0e2290=6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=<5+636954=zutw0e<:m:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:9;4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9<<0Z<:=:3y'273=981/:?:5109~yx{o6>=0;6)P5il0:wA659U514=:r.=>84>7:&561<6?2wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e=j<1<7850;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;I0bf>P5il0:wA4`9U514=:r.=>84>1:&561<692wvqp5f15`94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>64727E{zut1b=;?50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28<:7[?;2;0x 34228=0(;<;:058yx{z3`;=87>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;350>P6<;09w)8=5;34?!05<3;<7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th>o:4?:783>5}#:hi1;85G2`28m42f290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;32?!05<3;:7psr}:k20g<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5109'272=981vqps4i075>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997?>;%410?763twvq6g>6083>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1=:5+636952=zutw0e<8;:18'6de=9=30Z?oj:0yO6g6=9r\o;74033_;?>741<,?8?6<94}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo;l8;293?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742f3_;?>747<,?8?6{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924825>"1:=0:=6sr}|9j500=83.9mn4>489K6dd<^;kn6P5il0:wA609U514=:r.=>84>1:&561<692wvqp5f17694?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<8;;W376?4|,?8>6<94$707>4127[i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d2<729q/>lm5749K6d6j6=4+2`a951?<@;ki7[;|~y>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637954=#>;>1=<5r}|8m431290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;32?!05<3;:7psr}:k224<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651738R4252;q/:?;5169'272=9>1vqps4i047>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?285$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;351>P6<;09w)8=5;34?!05<3;<7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th>ol4?:783>5}#:hi1=h>4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9j500=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1n6*9258a?x{zu2c::<4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*9248a?!05<3h0qpsr;h350?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a17c=8381<7>t$3c`>gc<@;k;7d?;9;29 7gd28>276a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{53;294~"5ij09m45G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6o5+6369f>{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637951=#>;>1=95r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn8=m:185>5<7s-8jo7?;7:J1e5=#9?k1=45f15;94?"5ij0:845Y2`g95~J5j90:w[?k0;0x 4c?28>27[?;2;0x 3422k1/:?:5b:~yx=nl90;6)g=zutw0ei?50;&1efv*9248g?!05<3n0qpsC2`d90~P51m08w[j::3y'275=l81/?h756378ySb12;q/:?=515;8 6c>2?8>7p*9b9827>{Q:0n1?vXk5;0x 3442m;0(>k6:706?xPc>38p(;<<:06:?!5b13<986s+6c:956=z^;3o6>uYd481!05;3n:7)=j9;411>{Ql?09w)8=3;f3?!5b13<996s+6c:9g>{Q9l=1>vXk5;0x 3442m;0(>k6:706?x"1j10:46sr}:k1=f<72-8jo7<6c:T1e`<5sE8i<7?tV0f3>7}#9l21>4m4V061>7}#>;?1=:5+636952=zutF9mk4>{W0b1?4|^m?1>v*92281=f=#;l31:?;4}%4a52z&2a=<50m1]=9<52z&560<6?2.=>94>7:~yI4fn3;pZ?o::3yU`0<5s-<9?7<7d:&0a<<1:<1v(;l7:058yx{?=4?:283>5}#:hi1>l74H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi9>?50;394?6|,;kh6?l<;I0b4>i6m80;6)=zj<986=4;:183!4fk3=>7E5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?9;%410?713twvq6g>5783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560<6>2.=>94>6:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5179'272=9?1vqps4i075>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997?9;%410?713twvq6g>6083>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1=;5+636953=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f05529086=4?{%0bg?7b82B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4c9U514=:r.=>84m;%410?d3:1:7>50z&1ef<0=2B9m=5f15c94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>64727E{zut1b=8850;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228;0(;<;:038yx{z3`;==7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6>81]=9<52z&560<6?2.=>94>7:~yx=n9?>1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651768R4252;q/:?;5169'272=9>1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj<9<6=49:183!4fk3=>7E5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?>;%410?763twvq6g>5783>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1=<5+636954=zutw0e<8>:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;<7)8=4;34?x{zu2c::94?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98221=Q9=81>v*924823>"1:=0:;6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi9>650;494?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820d=Q9=81>v*924825>"1:=0:=6sr}|9j51d=83.9mn4>489K6dd<^;kn6P5il0:wA579U514=:r.=>84>1:&561<692wvqp5f17394?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6<94$707>4127[i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d1<729q/>lm51d28L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{52;294~"5ij0ii6F=a19j51?=83.9mn4>4898k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn?=i:181>5<7s-8jo7lj;I0b4>o6<00;6)=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5f:&561{M0a4?7|^m=1>v*>e9820d=Q9=81>v*9248a?!05<3h0qpsr;h37f?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{5<6290;w)N5i91d=h?50;&1ef<6m810qo<<9;297?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5119'272=991vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228<0(;<;:048yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c00e?6=;3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637955=#>;>1==5r}|8m42e290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;35?!05<3;=7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th9?54?:283>5}#:hi1=h>4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi>>m50;194?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?>;%410?763twvq6g>4c83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=:5+636952=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f75c29086=4?{%0bg?123A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>7:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5109'272=981vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj;9n6=4<:183!4fk3;n<6F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1n6*9258a?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{52;294~"5ij0ii6F=a19j51?=83.9mn4>4898k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn8:j:181>5<7s-8jo7lj;I0b4>o6<00;6)=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5619:k20<<72-8jo7?;9:T1e`<6sE8i<7?tV0f3>7}#9l21=974V061>7}#>;?1n6*9258a?x{zu2co<7>5$3c`>a6<^;kn6!4fk3n:7[52z&2a=v*922820<=#;l31:?;4}%4a7}#>;91h<5+3d;92734j53zTg1?4|,?886i?4$2g:>3423t\o:7a6<,:o26;<:;|&5f=7}#>;91h<5+3d;9273k3:1(?ol:3;`?S4fm38p@?l?:0yU5a6=:r.:i54=9b9U514=:r.=>84>7:&561<6?2wvqAk2.8i449249~ 3d?28=0qps4i3:g>5<#:hi1>5j4V3cf>7}K:k:1=vX>d181!7b0383h6X>4381!05=3;<7)8=4;34?x{zD;km6j6=4+2`a951?<^;kn6=9=h0Z<:=:3y'273=j2.=>94m;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d4<729q/>lm52c18L7g73f;n=7>5$3c`>4c632wi98=50;194?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi98:50;194?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997??;%410?773twvq6g>4c83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=;5+636953=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f03229086=4?{%0bg?123A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>0:~yx=n9=h1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742e3_;?>740<,?8?6<84}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo;:6;297?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742f3_;?>740<,?8?6<84}|~?l73j3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924822>"1:=0::6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi98950;694?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997??;%410?773twvq6g>4c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>0:~yx=n9<<1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74313_;?>740<,?8?6<84}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo;:8;290?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5119'272=991vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228:0(;<;:028yx{z3`;>:7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560<6>2.=>94>6:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&561{M0a4?7|^m=1>v*>e9820d=Q9=81>v*9248a?!05<3h0qpsr;h37f?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{=9?;0Z<:=:3y'273=j2.=>94m;|~y>o6>=0;6)P5il0:wA659U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn;==:181>5<7s-8jo7lj;I0b4>o6<00;6)=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>32e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`57`<72?0;6=u+2`a9511<@;k;7)?9a;3:?l7313:1(?ol:06:?S4fm3;p@?l?:0yU5a6=:r.:i54>489U514=:r.=>84m;%410?dlk51zN1f5<6s_;o<7a6<^8>96?u+6379f>"1:=0i7psr}:kg5?6=,;kh6i?4V3cf>7}K:k:1=vX>d181!7b03n:7[?;2;0x 3422m1/:?:5d:~yI4fn3>pZ?7k:2yU`0<5s-<9?7j>;%1f=?05=2w]h;4={%417?7312.8i449249~ 3d?2890q[<6d;1xRa3=:r.=>>4k1:&0a<<1:<1vZi852z&566<6<01/?h756368y!0e03;87pX=9e80Sb22;q/:?=5d09'7`?=>;?0q[j9:3y'275=l91/?h756378y!0e03i0q[?j7;0xRa3=:r.=>>4k1:&0a<<1:<1v(;l7:0:8yx{P6<;09w)8=5;34?!05<3;<7psrL3ce>4}Q:h?1>vXk5;0x 3442;3h7)=j9;411>{#>k21=:5r}|9j6=b=83.9mn4=8e9U6dc=:rF9n=4>{W3g4?4|,8o36?6k;W376?4|,?8>6<94$707>41lh51zT1e0<5s_n>6?u+63196=b<,:o26;<:;|&5f=<6?2wvq6a>e083>!4fk3;n=65rb710>5<4290;w)N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+6379f>"1:=0i7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c400?6=93:1:18'6de=9l;07pl93783>6<729q/>lm5749K6d6j6=4+2`a951?<^;kn64381!05=3;=7)8=4;35?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`572<72>0;6=u+2`a930=O:h:0e<:n:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;;7)8=4;33?x{zu2c:8o4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=991/:?:5119~yx{2\:8?4={%411?773-<987??;|~y>o6>80;6)P5il0:wA609U514=:r.=>84>6:&561<6>2wvqp5f17694?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?>0Z<:=:3y'273=9?1/:?:5179~yx{6=4+2`a951?<^;kn6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{57;294~"5ij0<96F=a19j51g=83.9mn4>489K6dd<^;kn6P5il0:wA4c9U514=:r.=>84>0:&561<682wvqp5f14494?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9<<0Z<:=:3y'273=9?1/:?:5179~yx{4381!05=3;=7)8=4;35?x{zu2c::84?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98220=Q9=81>v*924822>"1:=0::6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi:>;50;694?6|,;kh6o6P5il0:wA4`9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a26g=83=1<7>t$3c`>23<@;k;7d?;a;29 7gd28>27E{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637954=#>;>1=<5r}|8m431290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;32?!05<3;:7psr}:k224<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651738R4252;q/:?;5109'272=981vqps4i047>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?94:T207<5s-<997?>;%410?763twvq6g>6483>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;;4V061>7}#>;?1=:5+636952=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f35e290<6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=<5+636954=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>747<,?8?63:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924825>"1:=0:=6sr}|9j537=83.9mn4>489K6dd<^;kn64381!05=3;<7)8=4;34?x{zu2c::84?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9??0Z<:=:3y'273=9>1/:?:5169~yx{5<4290;w)N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+6379f>"1:=0i7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c6g4?6=:3:1N5i91b=9750;&1ef<6<010c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f1b0290=6=4?{%0bg?73?2B9m=5+17c95<=n9=31<7*=ab820<=Q:ho1=vB=b182S7c838p(!4fk3n;7[52z&2a=7}#9l21h<5Y15096~"1:<0o7)8=4;f8yx{K:hl18vX=9e80Sb22;q/:?=5d09'7`?=>;?0q[j9:3y'275=9=30(>k6:706?x"1j10:?6sY28f97~Pc=38p(;<<:e38 6c>2?8>7pXk6;0x 34428>27)=j9;410>{#>k21=>5rV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3n;7)=j9;411>{#>k21o6sY1d596~Pc=38p(;<<:e38 6c>2?8>7p*9b982<>{zu2c95n4?:%0bg?4>k2\9mh4={M0a4?7|^8n;6?u+1d:9696?u+637952=#>;>1=:5r}|N1ec<6s_8j9784>7:&561<6?2wvqA5<#:hi1=h?4;|`7`4<72:0;6=u+2`a96d?<@;k;7d?;a;29 7gd28>27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a0a4=83;1<7>t$3c`>7d43A8j<6a>e083>!4fk3;n=65rb5f7>5<4290;w){M0a4?7|^m=1>v*>e9820d=Q9=81>v*924822>"1:=0::6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=;5+636953=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f1b229086=4?{%0bg?123A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5179'272=9?1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj=n86=4<:183!4fk3;n<6F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1n6*9258a?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{o47>52;294~"5ij0ii6F=a19j51?=83.9mn4>4898k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn9k?:181>5<7s-8jo7lj;I0b4>o6<00;6)=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5619:k20<<72-8jo7?;9:T1e`<6sE8i<7?tV0f3>7}#9l21=974V061>7}#>;?1n6*9258a?x{zu2co<7>5$3c`>a6<^;kn6!4fk3n:7[52z&2a=v*922820<=#;l31:?;4}%4a7}#>;91h<5+3d;92734j53zTg1?4|,?886i?4$2g:>3423t\o:7a6<,:o26;<:;|&5f=7}#>;91h<5+3d;9273k3:1(?ol:3;`?S4fm38p@?l?:0yU5a6=:r.:i54=9b9U514=:r.=>84>7:&561<6?2wvqAk2.8i449249~ 3d?28=0qps4i3:g>5<#:hi1>5j4V3cf>7}K:k:1=vX>d181!7b0383h6X>4381!05=3;<7)8=4;34?x{zD;km6j6=4+2`a951?<@;ki7[lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a0ag=83;1<7>t$3c`>7d43A8j<6a>e083>!4fk3;n=65rb5f`>5<4290;w){M0a4?7|^m=1>v*>e9820d=Q9=81>v*9248a?!05<3h0qpsr;h37f?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{5<4290;w){M0a4?7|^m=1>v*>e9820d=Q9=81>v*924822>"1:=0::6sr}|9j51d=83.9mn4>489K6dd<^;kn6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{oi7>53;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=;5+636953=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>740<,?8?6<84}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo:kf;290?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5179'272=9?1vqps4i06a>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?9;%410?713twvq6g>5783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560<6>2.=>94>6:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&561{M0a4?7|^m=1>v*>e9820d=Q9=81>v*9248a?!05<3h0qpsr;h37f?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{=9?;0Z<:=:3y'273=j2.=>94m;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d6<729q/>lm5749K6d6j6=4+2`a951?<^;kn64381!05=3;<7)8=4;34?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`7a7<72:0;6=u+2`a930=O:h:0e<:n:18'6de=9=30Z?oj:0yO6g6=9r\o;742f3_;?>747<,?8?6{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924823>"1:=0:;6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi8h=50;194?6|,;kh6o6P5il0:wA4`9U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn98j:181>5<7s-8jo7lj;I0b4>o6<00;6)=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&561a:k20<<72-8jo7?;9:T1e`<6sE8i<7?tV0f3>7}#9l21=974V061>7}#>;?1n6*9258a?x{zu2co<7>5$3c`>a6<^;kn6!4fk3n:7[52z&2a=v*922820<=#;l31:?;4}%4a7}#>;91h<5+3d;92734j53zTg1?4|,?886i?4$2g:>3423t\o:7a6<,:o26;<:;|&5f=7}#>;91h<5+3d;9273k3:1(?ol:3;`?S4fm38p@?l?:0yU5a6=:r.:i54=9b9U514=:r.=>84>7:&561<6?2wvqAk2.8i449249~ 3d?28=0qps4i3:g>5<#:hi1>5j4V3cf>7}K:k:1=vX>d181!7b0383h6X>4381!05=3;<7)8=4;34?x{zD;km6j6=4+2`a951?<@;ki7[lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a026=83;1<7>t$3c`>7d43A8j<6a>e083>!4fk3;n=65rb551>5<4290;w)=9=k0Z<:=:3y'273=9?1/:?:5179~yx{i6=4+2`a951?<@;ki7[i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d0<729q/>lm5749K6d6j6=4+2`a951?<@;ki7[o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637953=#>;>1=;5r}|8m431290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>6<84$707>4027E{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a022=83?1<7>t$3c`>23<@;k;7d?;a;29 7gd28>27E{zut1b=9l50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228<0(;<;:048yx{z3`;>:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;35?!05<3;=7psr}:k224<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651738R4252;q/:?;5179'272=9?1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj==:6=4;:183!4fk3;n<6F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1n6*9258a?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*9248a?!05<3h0qpsr;h362?6=,;kh6<:6;W0ba?7|D;h;6:6X>4381!05=3h0(;<;:c9~yx{5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a00?=83<1<7>t$3c`>4203A8j<6*>6`82=>o6<00;6)P5il0:wA7g=#>;>1n6sr}|9j`4<72-8jo7j>;W0ba?4|D;h;64381!05=3n0(;<;:e9~yxJ5io0?w[<6d;1xRa3=:r.=>>4k1:&0a<<1:<1vZi852z&566<6<01/?h756378y!0e03;87pX=9e80Sb22;q/:?=5d09'7`?=>;?0q[j9:3y'275=9=30(>k6:707?x"1j10:?6sY28f97~Pc=38p(;<<:e38 6c>2?8>7pXk6;0x 3442m:0(>k6:706?x"1j10h7pX>e681Sb22;q/:?=5d09'7`?=>;?0q)8m8;3;?x{z3`82o7>5$3c`>7?d3_8ji74}Q9m:1>v*>e981=f=Q9=81>v*924823>"1:=0:;6sr}M0bb?7|^;k>6?uYd481!05;382o6*c290/>lm529f8R7gb2;qG>o>51zT2`5<5s-;n47<7d:T207<5s-<997?8;%410?703twv@?oi:0yU6d3=:r\o977>c3-9n578=5:'2g>=9>1vqp5`1d394?"5ij0:i<54}c666?6=;3:142f3_;?>7g=#>;>1n6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1n6*9258a?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`716<7280;6=u+2`a96g5<@;k;7b?j1;29 7gd28o:76sm44794?5=83:p(?ol:678L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;35?!05<3;=7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0::6*925822>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a000=8391<7>t$3c`>23<@;k;7d?;a;29 7gd28>27[o6P5il0:wA4c9U514=:r.=>84>6:&561<6>2wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e<<=1<7:50;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3;=7)8=4;35?x{zu2c:8o4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=9?1/:?:5179~yx{2\:8?4={%411?713-<987?9;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d1<729q/>lm51d28L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{56;294~"5ij0:8:5G2`28 40f2830e<:6:18'6de=9=30Z?oj:0yO6g6=9r\:h=4={%3f7}#9l21h=5Y15096~"1:<0i7)8=4;`8yx{z3`n:6=4+2`a9`4=Q:ho1>vB=b182S7c838p(a7<,:o26;<:;|Tg2?4|,?886<:6;%1f=?05=2w/:o65129~R7?c2:q]h84={%417?b63-9n578=5:U`3<5s-<9?7?;9:&0a<<1:=1v(;l7:018yS4>l39pZi;52z&566>4k0:&0a<<1:<1v(;l7:b9~R4c02;q]h84={%417?b63-9n578=5:'2g>=911vqp5f28a94?"5ij095n5Y2`g96~J5j90:w[?k0;0x 4c?2;3h7[?;2;0x 34228=0(;<;:058yx{K:hl1=vX=a481Sb22;q/:?=528a8 6c>2?8>7p*9b9823>{zu2c94i4?:%0bg?4?l2\9mh4={M0a4?7|^8n;6?u+1d:96=b<^8>96?u+637952=#>;>1=:5r}|N1ec<6s_8j97{e;o;1<7=50;2x 7gd2;k27E5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;`8 3432k1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj:l96=4>:183!4fk38i?6F=a19l5`7=83.9mn4>e098yg5a;3:1?7>50z&1ef<0=2B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=991/:?:5119~yx{i6=4+2`a951?<^;kn6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{;?7>52;294~"5ij0ii6F=a19j51?=83.9mn4>4898k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn9>l:180>5<7s-8jo74c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>4:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5619:k20<<72-8jo7?;9:T1e`<6sE8i<7?tV0f3>7}#9l21=974V061>7}#>;?1n6*9258a?x{zu2co<7>5$3c`>a6<^;kn6!4fk3n:7[52z&2a=v*922820<=#;l31:?;4}%4a7}#>;91h<5+3d;92734j53zTg1?4|,?886i?4$2g:>3423t\o:7a6<,:o26;<:;|&5f=7}#>;91h<5+3d;9273k3:1(?ol:3;`?S4fm38p@?l?:0yU5a6=:r.:i54=9b9U514=:r.=>84>7:&561<6?2wvqAk2.8i449249~ 3d?28=0qps4i3:g>5<#:hi1>5j4V3cf>7}K:k:1=vX>d181!7b0383h6X>4381!05=3;<7)8=4;34?x{zD;km6j6=4+2`a951?<@;ki7[lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a053=83;1<7>t$3c`>7d43A8j<6a>e083>!4fk3;n=65rb524>5<3290;w){M0a4?7|^m=1>v*>e9820d=Q9=81>v*924822>"1:=0::6sr}|9j51d=83.9mn4>489K6dd<^;kn6:6X>4381!05=3;=7)8=4;35?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`74=<72?0;6=u+2`a930=O:h:0e<:n:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;=7)8=4;35?x{zu2c:8o4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=9?1/:?:5179~yx{2\:8?4={%411?713-<987?9;|~y>o6>80;6)N5ik1]>lk51zN1f5<6s_n<6?u+1d:9537<^8>96?u+637953=#>;>1=;5r}|8m403290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8;;W376?4|,?8>6<>4$707>4650z&1ef<0=2B9m=5f15c94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<84$707>4027E{zut1b=8850;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228<0(;<;:048yx{z3`;==7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6>81]=9<52z&560<6>2.=>94>6:~yx=n9?>1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74033_;?>740<,?8?6<84}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo:?6;290?6=8r.9mn4>e19K6d6j6=4+2`a951?<^;kn6=9=h0Z<:=:3y'273=j2.=>94m;|~y>o6=?0;6)P5il0:wA579U514=:r.=>84m;%410?d7>50z&1ef5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj=?m6=49:183!4fk3;?;6F=a19'53g=901b=9750;&1ef<6<01]>lk51zN1f5<6s_;o<742>3_;?>7g=#>;>1n6sr}|9j`5<72-8jo7j?;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{P5il09wA84k;%410?blh54zT1=a<4s_n>6?u+6319`4=#;l31:?;4}Wf5>7}#>;91=974$2g:>3423t.=n54>3:U6a7<,:o26;<:;|Tg2?4|,?886<:6;%1f=?05<2w/:o65129~R7?c2:q]h84={%417?b63-9n578=5:U`3<5s-<9?7j?;%1f=?05=2w/:o65c:U5`1=:r\o97a7<,:o26;<:;|&5f=<602wvq6g=9b83>!4fk382o6X=ad81I4e83;pZ=:0i0Z<:=:3y'273=9>1/:?:5169~yxJ5io0:w[>4=9b9'7`?=>;?0q)8m8;34?x{z3`83h7>5$3c`>7>c3_8ji74}Q9m:1>v*>e981v*924823>"1:=0:;6sr}M0bb?7|^;k>6?uYd481!05;383h6*lm51d38?xd3=k0;6>4?:1y'6de=:h30D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{i6=4+2`a951?<^;kn6=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e<4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5179'272=9?1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj=;<6=4=:183!4fk3hn7Ee09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi8?>50;194?6|,;kh6?o6;I0b4>o6P5il0:wA4`9U514=:r.=>84m;%410?d27[i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d3<729q/>lm51558L7g73-;=m7?6;h37=?6=,;kh6<:6;W0ba?7|D;h;67a=#>;>1h6sr}M0bb?2|^;3o6>uYd481!05;3n:7)=j9;411>{Ql?09w)8=3;37=>"4m00=>85r$7`;>45v*922820<=#;l31:?:4}%4a7}#>;91h<5+3d;9273lm528a8R7gb2;qG>o>51zT2`5<5s-;n47<6c:T207<5s-<997?8;%410?703twv@?oi:0yU6d3=:r\o977?d3-9n578=5:'2g>=9>1vqp5f29f94?"5ij094i5Y2`g96~J5j90:w[?k0;0x 4c?2;2o7[?;2;0x 34228=0(;<;:058yx{K:hl1=vX=a481Sb22;q/:?=529f8 6c>2?8>7p*9b9823>{zu2e:i<4?:%0bg?7b921vn9?7:180>5<7s-8jo74c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0qo:>9;295?6=8r.9mn4=b29K6d65}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<84$707>4027[o6=?0;6)P5il0:wA579U514=:r.=>84>0:&561<682wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e<8i1<7:50;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3;=7)8=4;35?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924822>"1:=0::6sr}|9j500=83.9mn4>489K6dd<^;kn6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{:h7>54;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=;5+636953=zutw0e<:m:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;;7)8=4;33?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924822>"1:=0::6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi8o6P5il0:wA4`9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a036=8381<7>t$3c`>gc<@;k;7d?;9;29 7gd28>276a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560<0;6;4?:1y'6de=9==0D?o?;%35e?7>3`;?57>5$3c`>42>3_8ji7?tL3`3>4}Q9m:1>v*>e9820<=Q9=81>v*9248a?!05<3h0qpsr;hf3>5<#:hi1h=5Y2`g95~J5j90:w[?k0;0x 4c?2m:0Z<:=:3y'273=j2.=>94m;|~y>oc93:1(?ol:e38R7gb2;qG>o>51zT2`5<5s-;n47j>;W376?4|,?8>6i5+6369`>{zuE8jj7:tV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3;?56*v*9228g5>"4m00=>85rVe496~"1::0:845+3d;92726?u+6319`4=#;l31:?;4}Wf5>7}#>;91h=5+3d;9273v*9228g5>"4m00=>85r$7`;>4>k2\:8?4={%411?703-<987?8;|~H7ga28q]>l;52zTg1?4|,?886?7l;%1f=?05=2w/:o65169~yx=n:1n1<7*=ab81vB=b182S7c838p(k6:706?x"1j10:;6sr}:m2a4<72-8jo7?j1:9~f10629086=4?{%0bg?4f12B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4c9U514=:r.=>84m;%410?d50z&1ef<5j:1C>l>4o0g2>5<#:hi1=h?4;|`726<72:0;6=u+2`a930=O:h:0e<:n:18'6de=9=30Z?oj:0yO6g6=9r\o;742f3_;?>740<,?8?6<84}|~?l73j3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?9;%410?713twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560?0;6?4?:1y'6de=jl1C>l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{=h7>56;294~"5ij0:8:5G2`28 40f2830e<:6:18'6de=9=30Z?oj:0yO6g6=9r\:h=4={%3f7}#9l21h=5Y15096~"1:<0i7)8=4;`8yx{z3`n:6=4+2`a9`4=Q:ho1>vB=b182S7c838p(a7<,:o26;<:;|Tg2?4|,?886<:6;%1f=?05=2w/:o65129~R7?c2:q]h84={%417?b63-9n578=5:U`3<5s-<9?7?;9:&0a<<1:=1v(;l7:018yS4>l39pZi;52z&566>4k0:&0a<<1:<1v(;l7:b9~R4c02;q]h84={%417?b63-9n578=5:'2g>=911vqp5f28a94?"5ij095n5Y2`g96~J5j90:w[?k0;0x 4c?2;3h7[?;2;0x 34228=0(;<;:058yx{K:hl1=vX=a481Sb22;q/:?=528a8 6c>2?8>7p*9b9823>{zu2c94i4?:%0bg?4?l2\9mh4={M0a4?7|^8n;6?u+1d:96=b<^8>96?u+637952=#>;>1=:5r}|N1ec<6s_8j97{e5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;`8 3432k1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj=<36=4>:183!4fk38i?6F=a19l5`7=83.9mn4>e098yg21i3:197>50z&1ef<0=2B9m=5f15c94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<84$707>4027E{zut1b=8850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+637953=#>;>1=;5r}|8m406290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6<84$707>4050z&1ef<0=2B9m=5f15c94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<84$707>4027E{zut1b=8850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+637953=#>;>1=;5r}|8m406290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6<84$707>4050z&1ef<6m91C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422k1/:?:5b:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{56;294~"5ij0:8:5G2`28 40f28k0e<:6:18'6de=9=30Z?oj:0yO6g6=9r\:h=4={%3f7}#9l21h=5Y15096~"1:<0i7)8=4;`8yx{z3`n:6=4+2`a9`4=Q:ho1>vB=b182S7c838p(a7<,:o26;<:;|Tg2?4|,?886<:6;%1f=?05=2w/:o65129~R7?c2:q]h84={%417?b63-9n578=5:U`3<5s-<9?7?;9:&0a<<1:=1v(;l7:018yS4>l39pZi;52z&566>4k0:&0a<<1:<1v(;l7:b9~R4c02;q]h84={%417?b63-9n578=5:'2g>=911vqp5f28a94?"5ij095n5Y2`g96~J5j90:w[?k0;0x 4c?2;3h7[?;2;0x 34228=0(;<;:058yx{K:hl1=vX=a481Sb22;q/:?=528a8 6c>2?8>7p*9b9823>{zu2c94i4?:%0bg?4?l2\9mh4={M0a4?7|^8n;6?u+1d:96=b<^8>96?u+637952=#>;>1=:5r}|N1ec<6s_8j97{e>h>1<7=50;2x 7gd2;k27E4c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0qo8n5;295?6=8r.9mn4=b29K6d65}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6o5+6369f>{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th=m54?:283>5}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6o5+6369f>{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th=m44?:583>5}#:hi1;85G2`28m42f290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;35?!05<3;=7psr}:k20g<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5179'272=9?1vqps4i075>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997?9;%410?713twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228:0(;<;:028yx{z3`;?n7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;35?!05<3;=7psr}:k213<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5179'272=9?1vqps4i042>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?91:T207<5s-<997?9;%410?713twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228:0(;<;:028yx{z3`;?n7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;35?!05<3;=7psr}:k213<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5179'272=9?1vqps4i042>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?91:T207<5s-<997?9;%410?713twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228<0(;<;:048yx{z3`;?n7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;35?!05<3;=7psr}:k213<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5179'272=9?1vqps4i042>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?91:T207<5s-<997?9;%410?713twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228<0(;<;:048yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9<<1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74313_;?>740<,?8?6<84}|~?l7193:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*924822>"1:=0::6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi:l850;:94?6|,;kh6o6P5il0:wA4`9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>6o5+6369f>{zut1b=;?50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9537<^8>96?u+6379f>"1:=0i7psr}:k221<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::95Y15096~"1:<0i7)8=4;`8yx{z3`;=97>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;351>P6<;09w)8=5;`8 3432k1vqps4i045>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<=7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>32e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`1g1<72;0;6=u+2`a9f`=O:h:0e<:6:18'6de=9=307b?j1;29 7gd28o:7[50z&1ef<5i01C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422k1/:?:5b:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5159'272=9=1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj;ii6=49:183!4fk3;?;6F=a19'53g=901b=9750;&1ef<6<01]>lk51zN1f5<6s_;o<742>3_;?>7g=#>;>1n6sr}|9j`5<72-8jo7j?;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{P5il09wA84k;%410?blh54zT1=a<4s_n>6?u+6319`4=#;l31:?;4}Wf5>7}#>;91=974$2g:>3423t.=n54>3:U6a7<,:o26;<:;|Tg2?4|,?886<:6;%1f=?05<2w/:o65129~R7?c2:q]h84={%417?b63-9n578=5:U`3<5s-<9?7j?;%1f=?05=2w/:o65c:U5`1=:r\o97a7<,:o26;<:;|&5f=<602wvq6g=9b83>!4fk382o6X=ad81I4e83;pZ=:0i0Z<:=:3y'273=9>1/:?:5169~yxJ5io0:w[>4=9b9'7`?=>;?0q)8m8;34?x{z3`83h7>5$3c`>7>c3_8ji74}Q9m:1>v*>e981v*924823>"1:=0:;6sr}M0bb?7|^;k>6?uYd481!05;383h6*lm51d38?xd5k80;6>4?:1y'6de=:h30D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{i6=4+2`a951?<^;kn6=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e:j81<7?50;2x 7gd2;h87E4`83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=;5+636953=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>740<,?8?6<84}|~?l72>3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997?9;%410?713twvq6g>6083>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1=;5+636953=zutw0e<8;:18'6de=9=30Z?oj:0yO6g6=9r\o;74033_;?>740<,?8?6<84}|~?l71=3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?95:T207<5s-<997?9;%410?713twvq6g>6783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>?1]=9<52z&560<6>2.=>94>6:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&561=9=k0Z<:=:3y'273=981/:?:5109~yx{i6=4+2`a951?<@;ki7[;|~y>o6=?0;6)P5il0:wA579U514=:r.=>84>1:&561<692wvqp5f17394?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?;0Z<:=:3y'273=981/:?:5109~yx{4381!05=3;:7)8=4;32?x{zu2c::;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98223=Q9=81>v*924825>"1:=0:=6sr}|9j531=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;94V061>7}#>;?1=<5+636954=zutw0e<87:18'6de=9=30Z?oj:0yO6g6=9r\o;740?3_;?>747<,?8?6{M0a4?7|^m=1>v*>e9822<=Q9=81>v*924825>"1:=0:=6sr}|9j51e=83.9mn4>489K6dd<^;kn64381!05=3;<7)8=4;34?x{zu2c:8h4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820`=Q9=81>v*924823>"1:=0:;6sr}|9j51`=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9h4V061>7}#>;?1=:5+636952=zutw0e<;?:18'6de=9=30Z?oj:0yO6g6=9r\o;74373_;?>741<,?8?6<94}|~?l7293:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?:1:T207<5s-<997l4$707>g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f7e1290:=7>50z&1ef<0=2B9m=5f15c94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>64727E{zut1b=8850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+637954=#>;>1=<5r}|8m406290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>64727[;|~y>o6><0;6)P5il0:wA649U514=:r.=>84>1:&561<692wvqp5f17494?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?<0Z<:=:3y'273=981/:?:5109~yx{4381!05=3;:7)8=4;32?x{zu2c::44?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9?30Z<:=:3y'273=981/:?:5109~yx{h6=4+2`a951?<@;ki7[o6P5il0:wA4e9U514=:r.=>84>7:&561<6?2wvqp5f15g94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=o0Z<:=:3y'273=9>1/:?:5169~yx{m6=4+2`a951?<^;kn6<6X>4381!05=3;<7)8=4;34?x{zu2c:9<4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98214=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{51083>5}#:hi1;85G2`28m42f290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;32?!05<3;:7psr}:k20g<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5109'272=981vqps4i075>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228;0(;<;:038yx{z3`;==7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;355>P6<;09w)8=5;32?!05<3;:7psr}:k221<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::95Y15096~"1:<0:=6*925825>{zut1b=;;50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9533<^8>96?u+637954=#>;>1=<5r}|8m401290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<89;W376?4|,?8>64727[;|~y>o6>10;6)P5il0:wA699U514=:r.=>84>1:&561<692wvqp5f17;94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<86;W376?4|,?8>64727E{zut1b=9j50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951b<^8>96?u+637952=#>;>1=:5r}|8m42b290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:j;W376?4|,?8>6<94$707>4127[o6=90;6)P5il0:wA519U514=:r.=>84>7:&561<6?2wvqp5f14394?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9<;0Z<:=:3y'273=j2.=>94m;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d47=83:p(?ol:678L7g73`;?m7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9=h1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742e3_;?>747<,?8?63:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997?>;%410?763twvq6g>6083>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>81]=9<52z&560<692.=>94>1:~yx=n9?>1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651768R4252;q/:?;5109'272=981vqps4i046>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<>7[?;2;0x 34228;0(;<;:038yx{z3`;=:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;352>P6<;09w)8=5;32?!05<3;:7psr}:k222<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:::5Y15096~"1:<0:=6*925825>{zut1b=;650;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:953><^8>96?u+637954=#>;>1=<5r}|8m40>290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;35=>P6<;09w)8=5;32?!05<3;:7psr}:k20f<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515a8R4252;q/:?;5169'272=9>1vqps4i06g>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>o7[?;2;0x 34228=0(;<;:058yx{z3`;?i7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37a>P6<;09w)8=5;34?!05<3;<7psr}:k20c<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8k5Y15096~"1:<0:;6*925823>{zut1b=8>50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9506<^8>96?u+637952=#>;>1=:5r}|8m436290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<;>;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a6f?=83?1<7>t$3c`>4c73A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0e<;9:18'6de=9=30Z?oj:0yO6g6=9r\o;74313_;?>7g=#>;>1n6sr}|9j537=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1n6*9258a?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`1ga<72;0;6=u+2`a9f`=O:h:0e<:6:18'6de=9=307b?j1;29 7gd28o:7[50z&1ef<5i01C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422k1/:?:5b:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5159'272=9=1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj;n?6=49:183!4fk3;?;6F=a19'53g=901b=9750;&1ef<6<01]>lk51zN1f5<6s_;o<742>3_;?>7g=#>;>1n6sr}|9j`5<72-8jo7j?;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{P5il09wA84k;%410?blh54zT1=a<4s_n>6?u+6319`4=#;l31:?;4}Wf5>7}#>;91=974$2g:>3423t.=n54>3:U6a7<,:o26;<:;|Tg2?4|,?886<:6;%1f=?05<2w/:o65129~R7?c2:q]h84={%417?b63-9n578=5:U`3<5s-<9?7j?;%1f=?05=2w/:o65c:U5`1=:r\o97a7<,:o26;<:;|&5f=<602wvq6g=9b83>!4fk382o6X=ad81I4e83;pZ=:0i0Z<:=:3y'273=9>1/:?:5169~yxJ5io0:w[>4=9b9'7`?=>;?0q)8m8;34?x{z3`83h7>5$3c`>7>c3_8ji74}Q9m:1>v*>e981v*924823>"1:=0:;6sr}M0bb?7|^;k>6?uYd481!05;383h6*lm51d38?xd5kl0;6>4?:1y'6de=:h30D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{i6=4+2`a951?<^;kn6=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e:jl1<7?50;2x 7gd2;h87E4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5179'272=9?1vqps4i075>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228<0(;<;:048yx{z3`;==7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6>81]=9<52z&560<6>2.=>94>6:~yx=n9?>1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651768R4252;q/:?;5179'272=9?1vqps4i046>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<>7[?;2;0x 34228<0(;<;:048yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c0g6?6=?3:1N5i91b=9o50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228<0(;<;:048yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5179'272=9?1vqps4i042>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?91:T207<5s-<997?9;%410?713twvq6g>6583>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>=1]=9<52z&560<6>2.=>94>6:~yx=n9??1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651778R4252;q/:?;5179'272=9?1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj;n;6=4<:183!4fk3;n<6F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1n6*9258a?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{;h7>52;294~"5ij0ii6F=a19j51?=83.9mn4>4898k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn9?9:185>5<7s-8jo7?;7:J1e5=#9?k1=45f15;94?"5ij0:845Y2`g95~J5j90:w[?k0;0x 4c?28>27[?;2;0x 3422k1/:?:5b:~yx=nl90;6)g=zutw0ei?50;&1efv*9248g?!05<3n0qpsC2`d90~P51m08w[j::3y'275=l81/?h756378ySb12;q/:?=515;8 6c>2?8>7p*9b9827>{Q:0n1?vXk5;0x 3442m;0(>k6:706?xPc>38p(;<<:06:?!5b13<986s+6c:956=z^;3o6>uYd481!05;3n:7)=j9;411>{Ql?09w)8=3;f3?!5b13<996s+6c:9g>{Q9l=1>vXk5;0x 3442m;0(>k6:706?x"1j10:46sr}:k1=f<72-8jo7<6c:T1e`<5sE8i<7?tV0f3>7}#9l21>4m4V061>7}#>;?1=:5+636952=zutF9mk4>{W0b1?4|^m?1>v*92281=f=#;l31:?;4}%4a52z&2a=<50m1]=9<52z&560<6?2.=>94>7:~yI4fn3;pZ?o::3yU`0<5s-<9?7<7d:&0a<<1:<1v(;l7:058yx{5}#:hi1>l74H3c3?l73i3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820d=Q9=81>v*9248a?!05<3h0qpsr;h37f?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{5<6290;w)N5i91d=h?50;&1ef<6m810qo:>1;297?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5179'272=9?1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228<0(;<;:048yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c626?6=;3:1N5i91b=9o50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228<0(;<;:048yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>0:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5179'272=9?1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj=;?6=4;:183!4fk3=>7E{zut1b=9l50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228<0(;<;:048yx{z3`;>:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;35?!05<3;=7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th?==4?:483>5}#:hi1=h>4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9j500=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1n6*9258a?x{zu2c::<4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{9=7>52;294~"5ij0ii6F=a19j51?=83.9mn4>4898k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn95<7s-8jo74c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>4:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5619:k20<<72-8jo7?;9:T1e`<6sE8i<7?tV0f3>7}#9l21=974V061>7}#>;?1n6*9258a?x{zu2co<7>5$3c`>a6<^;kn6!4fk3n:7[52z&2a=v*922820<=#;l31:?;4}%4a7}#>;91h<5+3d;92734j53zTg1?4|,?886i?4$2g:>3423t\o:7a6<,:o26;<:;|&5f=7}#>;91h<5+3d;9273k3:1(?ol:3;`?S4fm38p@?l?:0yU5a6=:r.:i54=9b9U514=:r.=>84>7:&561<6?2wvqAk2.8i449249~ 3d?28=0qps4i3:g>5<#:hi1>5j4V3cf>7}K:k:1=vX>d181!7b0383h6X>4381!05=3;<7)8=4;34?x{zD;km6j6=4+2`a951?<^;kn6=9=h0Z<:=:3y'273=j2.=>94m;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d4<729q/>lm52c18L7g73f;n=7>5$3c`>4c632wi8?;50;694?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?9;%410?713twvq6g>4c83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1==5+636955=zutw0e<;9:18'6de=9=30Z?oj:0yO6g6=9r\o;74313_;?>740<,?8?6<84}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo:=6;291?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5179'272=9?1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228<0(;<;:048yx{z3`;>:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;35?!05<3;=7psr}:k224<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::<5Y15096~"1:<0:<6*925824>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a071=83?1<7>t$3c`>23<@;k;7d?;a;29 7gd28>27[o6P5il0:wA4c9U514=:r.=>84>6:&561<6>2wvqp5f14494?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9<<0Z<:=:3y'273=9?1/:?:5179~yx{i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d0<729q/>lm5749K6d6j6=4+2`a951?<^;kn6P5il0:wA4c9U514=:r.=>84>6:&561<6>2wvqp5f14494?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>6<84$707>4027[i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d0<729q/>lm51d28L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5b:&5616083>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>81]=9<52z&560g=zutw0qo887;296?6=8r.9mn4me:J1e5=n9=31<7*=ab820<=5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a2=4=83<1<7>t$3c`>4203A8j<6*>6`82=>o6<00;6)P5il0:wA7g=#>;>1n6sr}|9j`4<72-8jo7j>;W0ba?4|D;h;64381!05=3n0(;<;:e9~yxJ5io0?w[<6d;1xRa3=:r.=>>4k1:&0a<<1:<1vZi852z&566<6<01/?h756378y!0e03;87pX=9e80Sb22;q/:?=5d09'7`?=>;?0q[j9:3y'275=9=30(>k6:707?x"1j10:?6sY28f97~Pc=38p(;<<:e38 6c>2?8>7pXk6;0x 3442m:0(>k6:706?x"1j10h7pX>e681Sb22;q/:?=5d09'7`?=>;?0q)8m8;3;?x{z3`82o7>5$3c`>7?d3_8ji74}Q9m:1>v*>e981=f=Q9=81>v*924823>"1:=0:;6sr}M0bb?7|^;k>6?uYd481!05;382o6*c290/>lm529f8R7gb2;qG>o>51zT2`5<5s-;n47<7d:T207<5s-<997?8;%410?703twv@?oi:0yU6d3=:r\o977>c3-9n578=5:'2g>=9>1vqp5`1d394?"5ij0:i<54}c4442f3_;?>7g=#>;>1n6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1n6*9258a?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`53<<7280;6=u+2`a96g5<@;k;7b?j1;29 7gd28o:76sm66c94?5=83:p(?ol:678L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;35?!05<3;=7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0::6*925822>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a22e=83>1<7>t$3c`>23<@;k;7d?;a;29 7gd28>27[;|~y>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637954=#>;>1=<5r}|8m431290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;34?!05<3;<7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th=;i4?:583>5}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>64727E{zut1b=8850;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228=0(;<;:058yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c44a?6==3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637954=#>;>1=<5r}|8m42e290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;32?!05<3;:7psr}:k213<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9;5Y15096~"1:<0:=6*925825>{zut1b=;?50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28<:7[?;2;0x 34228=0(;<;:058yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c44b?6=>3:1N5i91b=9o50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228=0(;<;:058yx{z3`;?n7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;34?!05<3;<7psr}:k213<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9;5Y15096~"1:<0:;6*925823>{zut1b=;?50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9537<^8>96?u+637952=#>;>1=:5r}|8m403290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8;;W376?4|,?8>6<94$707>4150z&1ef<6m91C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422k1/:?:5b:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5b:&5615783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f35a29096=4?{%0bg?db3A8j<6g>4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e>=k1<7850;2x 7gd28><7E{M0a4?7|^8n;6?u+1d:951?<^8>96?u+6379f>"1:=0i7psr}:kg4?6=,;kh6i>4V3cf>4}K:k:1=vX>d181!7b03n;7[?;2;0x 3422k1/:?:5b:~yx=nl80;6)a=zutF9mk4;{W0:`?5|^m?1>v*9228g5>"4m00=>85rVe496~"1::0:845+3d;92736?u+6319`4=#;l31:?;4}Wf5>7}#>;91=974$2g:>3433t.=n54>3:U6a7<,:o26;<:;|Tg2?4|,?886i>4$2g:>3423t.=n54l;|T2a2<5s_n>6?u+6319`4=#;l31:?;4}%4a52z&2a=<51j1]=9<52z&560<6?2.=>94>7:~yI4fn3;pZ?o::3yU`0<5s-<9?7<6c:&0a<<1:<1v(;l7:058yx{P6<;09w)8=5;34?!05<3;<7psrL3ce>4}Q:h?1>vXk5;0x 3442;2o7)=j9;411>{#>k21=:5r}|9l5`7=83.9mn4>e098yg0383:1?7>50z&1ef<5i01C>l>4i06b>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi:9?50;394?6|,;kh6?l<;I0b4>i6m80;6)=zj?>86=4<:183!4fk3=>7E5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;`8 3432k1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj?>?6=4;:183!4fk3=>7E5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?9;%410?713twvq6g>5783>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1=;5+636953=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f322290>6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>0:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5179'272=9?1vqps4i075>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997?9;%410?713twvq6g>6083>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1=;5+636953=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f321290>6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>0:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5179'272=9?1vqps4i075>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997?9;%410?713twvq6g>6083>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1=;5+636953=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f320290>6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9=h1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742e3_;?>740<,?8?6<84}|~?l72>3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924822>"1:=0::6sr}|9j537=83.9mn4>489K6dd<^;kn6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{55;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=;5+636953=zutw0e<:m:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;=7)8=4;35?x{zu2c:9;4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9<<0Z<:=:3y'273=9?1/:?:5179~yx{i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d2<729q/>lm51d28L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5b:&5616083>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>81]=9<52z&560g=zutw0e<8::18'6de=9=30Z?oj:0yO6g6=9r\o;74023_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi:8h50;094?6|,;kh6ok4H3c3?l7313:1(?ol:06:?>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d3<729q/>lm51558L7g73-;=m7?6;h37=?6=,;kh6<:6;W0ba?7|D;h;67a=#>;>1h6sr}M0bb?2|^;3o6>uYd481!05;3n:7)=j9;411>{Ql?09w)8=3;37=>"4m00=>85r$7`;>45v*922820<=#;l31:?:4}%4a7}#>;91h<5+3d;9273lm528a8R7gb2;qG>o>51zT2`5<5s-;n47<6c:T207<5s-<997?8;%410?703twv@?oi:0yU6d3=:r\o977?d3-9n578=5:'2g>=9>1vqp5f29f94?"5ij094i5Y2`g96~J5j90:w[?k0;0x 4c?2;2o7[?;2;0x 34228=0(;<;:058yx{K:hl1=vX=a481Sb22;q/:?=529f8 6c>2?8>7p*9b9823>{zu2e:i<4?:%0bg?7b921vn;8?:180>5<7s-8jo74c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0qo891;295?6=8r.9mn4=b29K6d65}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<84$707>4027[i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d6c83>7<729q/>lm5bd9K6d626=4+2`a951?<3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c342?6=>3:1290/>lm515;8R7gb28qG>o>51zT2`5<5s-;n47?;9:T207<5s-<997l4$707>g=zutw0ei>50;&1ef{M0a4?7|^8n;6?u+1d:9`5=Q9=81>v*9248a?!05<3h0qpsr;hf2>5<#:hi1h<5Y2`g96~J5j90:w[?k0;0x 4c?2m;0Z<:=:3y'273=l2.=>94k;|~H7ga2=q]>4j53zTg1?4|,?886i?4$2g:>3423t\o:742>3-9n578=5:'2g>=9:1vZ?7k:2yU`0<5s-<9?7j>;%1f=?05=2w]h;4={%417?7312.8i449259~ 3d?2890q[<6d;1xRa3=:r.=>>4k1:&0a<<1:<1vZi852z&566;%1f=?05=2w/:o65199~yx=n:0i1<7*=ab81=f=Q:ho1>vB=b182S7c838p(k6:706?x"1j10:;6sr}:k17}#9l21>5j4V061>7}#>;?1=:5+636952=zutF9mk4>{W0b1?4|^m?1>v*92281{M0a4?7|^m=1>v*>e9820d=Q9=81>v*9248a?!05<3h0qpsr;h37f?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{5<6290;w)N5i91d=h?50;&1ef<6m810qo?9f;297?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5179'272=9?1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228<0(;<;:048yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c344?6=;3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637953=#>;>1=;5r}|8m42e290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<84$707>4050z&1ef<0=2B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=9?1/:?:5179~yx{i6=4+2`a951?<^;kn6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{7>53;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1n6*9258a?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{53;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=;5+636953=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>740<,?8?6<84}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo?84;290?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742f3_;?>740<,?8?6<84}|~?l73j3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?9;%410?713twvq6g>5783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560<6>2.=>94>6:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&561{M0a4?7|^m=1>v*>e9820d=Q9=81>v*9248a?!05<3h0qpsr;h37f?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{=9?;0Z<:=:3y'273=j2.=>94m;|~y>o6>=0;6)P5il0:wA659U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn>o?:181>5<7s-8jo7lj;I0b4>o6<00;6)=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>32e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`0ed<72:0;6=u+2`a96d?<@;k;7d?;a;29 7gd28>27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<:4$707>4250z&1ef<6<>1C>l>4$04b>4?26=4+2`a951?<^;kn6P6<;09w)8=5;`8 3432k1vqps4ie294?"5ij0o<6X=ad82I4e83;pZ=l91]=9<52z&560lm5d09U6dc=:rF9n=4>{W3g4?4|,8o36i?4V061>7}#>;?1h6*9258g?x{zD;km69uY28f97~Pc=38p(;<<:e38 6c>2?8>7pXk6;0x 34428>27)=j9;411>{#>k21=>5rV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3;?56*v*9228g5>"4m00=>85rVe496~"1::0o<6*7}Ql<09w)8=3;f2?!5b13<996s+6c:95==zut1b>4m50;&1ef<51j1]>lk52zN1f5<6s_;o<77?d3_;?>741<,?8?6<94}|O6d`=9r\9m84={Wf6>7}#>;91>4m4$2g:>3423t.=n54>7:~y>o50m0;6)P5il09wA1vqpB=ag82S4f=38pZi;52z&566<50m1/?h756378y!0e03;<7psr;n3f5?6=,;kh6;:a7d7=8391<7>t$3c`>7g>3A8j<6g>4`83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1n6*9258a?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{7>51;294~"5ij09n>5G2`28k4c6290/>lm51d38?xd4i:0;694?:1y'6de=?<1C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228:0(;<;:028yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9<<1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74313_;?>740<,?8?6<84}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo=n5;297?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5109'272=981vqps4i06a>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?8;%410?703twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&5604?:1y'6de=?<1C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228=0(;<;:058yx{z3`;?n7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;32?!05<3;:7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th8m:4?:283>5}#:hi1=h>4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi89=50;094?6|,;kh6ok4H3c3?l7313:1(?ol:06:?>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d3<729q/>lm51558L7g73-;=m7?6;h37=?6=,;kh6<:6;W0ba?7|D;h;67a=#>;>1h6sr}M0bb?2|^;3o6>uYd481!05;3n:7)=j9;411>{Ql?09w)8=3;37=>"4m00=>85r$7`;>45v*922820<=#;l31:?:4}%4a7}#>;91h<5+3d;9273lm528a8R7gb2;qG>o>51zT2`5<5s-;n47<6c:T207<5s-<997?8;%410?703twv@?oi:0yU6d3=:r\o977?d3-9n578=5:'2g>=9>1vqp5f29f94?"5ij094i5Y2`g96~J5j90:w[?k0;0x 4c?2;2o7[?;2;0x 34228=0(;<;:058yx{K:hl1=vX=a481Sb22;q/:?=529f8 6c>2?8>7p*9b9823>{zu2e:i<4?:%0bg?7b921vn9:;:180>5<7s-8jo74c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0qo:;5;295?6=8r.9mn4=b29K6d65}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<84$707>4027[i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d7<729q/>lm5bd9K6d626=4+2`a951?<3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c664?6=>3:1290/>lm515;8R7gb28qG>o>51zT2`5<5s-;n47?;9:T207<5s-<997l4$707>g=zutw0ei>50;&1ef{M0a4?7|^8n;6?u+1d:9`5=Q9=81>v*9248a?!05<3h0qpsr;hf2>5<#:hi1h<5Y2`g96~J5j90:w[?k0;0x 4c?2m;0Z<:=:3y'273=l2.=>94k;|~H7ga2=q]>4j53zTg1?4|,?886i?4$2g:>3423t\o:742>3-9n578=5:'2g>=9:1vZ?7k:2yU`0<5s-<9?7j>;%1f=?05=2w]h;4={%417?7312.8i449259~ 3d?2890q[<6d;1xRa3=:r.=>>4k1:&0a<<1:<1vZi852z&566;%1f=?05=2w/:o65199~yx=n:0i1<7*=ab81=f=Q:ho1>vB=b182S7c838p(k6:706?x"1j10:;6sr}:k17}#9l21>5j4V061>7}#>;?1=:5+636952=zutF9mk4>{W0b1?4|^m?1>v*92281{M0a4?7|^m=1>v*>e9820d=Q9=81>v*9248a?!05<3h0qpsr;h37f?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{5<6290;w)N5i91d=h?50;&1ef<6m810qo:;d;290?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5179'272=9?1vqps4i06a>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?9;%410?713twvq6g>5783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560<6>2.=>94>6:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;35?!05<3;=7psr}:k20g<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5179'272=9?1vqps4i075>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228<0(;<;:048yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c67g?6=;3:142f3_;?>7g=#>;>1n6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1n6*9258a?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`7<`<72;0;6=u+2`a9f`=O:h:0e<:6:18'6de=9=307b?j1;29 7gd28o:7[;3:1:7>50z&1ef<6<>1C>l>4$04b>4?26=4+2`a951?<^;kn6P6<;09w)8=5;`8 3432k1vqps4ie294?"5ij0o<6X=ad82I4e83;pZ=l91]=9<52z&560lm5d09U6dc=:rF9n=4>{W3g4?4|,8o36i?4V061>7}#>;?1h6*9258g?x{zD;km69uY28f97~Pc=38p(;<<:e38 6c>2?8>7pXk6;0x 34428>27)=j9;411>{#>k21=>5rV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3;?56*v*9228g5>"4m00=>85rVe496~"1::0o<6*7}Ql<09w)8=3;f2?!5b13<996s+6c:95==zut1b>4m50;&1ef<51j1]>lk52zN1f5<6s_;o<77?d3_;?>741<,?8?6<94}|O6d`=9r\9m84={Wf6>7}#>;91>4m4$2g:>3423t.=n54>7:~y>o50m0;6)P5il09wA1vqpB=ag82S4f=38pZi;52z&566<50m1/?h756378y!0e03;<7psr;n3f5?6=,;kh6;:a0=`=8391<7>t$3c`>7g>3A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f1?7290:6=4?{%0bg?4e;2B9m=5`1d394?"5ij0:i<54}c6:5?6=;3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637953=#>;>1=;5r}|8m42e290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<84$707>403:1>7>50z&1ef5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj=i:6=49:183!4fk3;?;6F=a19'53g=901b=9750;&1ef<6<01]>lk51zN1f5<6s_;o<742>3_;?>7g=#>;>1n6sr}|9j`5<72-8jo7j?;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{P5il09wA84k;%410?blh54zT1=a<4s_n>6?u+6319`4=#;l31:?;4}Wf5>7}#>;91=974$2g:>3423t.=n54>3:U6a7<,:o26;<:;|Tg2?4|,?886<:6;%1f=?05<2w/:o65129~R7?c2:q]h84={%417?b63-9n578=5:U`3<5s-<9?7j?;%1f=?05=2w/:o65c:U5`1=:r\o97a7<,:o26;<:;|&5f=<602wvq6g=9b83>!4fk382o6X=ad81I4e83;pZ=:0i0Z<:=:3y'273=9>1/:?:5169~yxJ5io0:w[>4=9b9'7`?=>;?0q)8m8;34?x{z3`83h7>5$3c`>7>c3_8ji74}Q9m:1>v*>e981v*924823>"1:=0:;6sr}M0bb?7|^;k>6?uYd481!05;383h6*lm51d38?xd3j>0;6>4?:1y'6de=:h30D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{i6=4+2`a951?<^;kn6=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f1de290:=7>50z&1ef<0=2B9m=5f15c94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<>4$707>4627E{zut1b=8850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+637955=#>;>1==5r}|8m406290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6<>4$707>4627[o6><0;6)P5il0:wA649U514=:r.=>84>0:&561<682wvqp5f17494?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?<0Z<:=:3y'273=991/:?:5119~yx{4381!05=3;;7)8=4;33?x{zu2c::44?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9?30Z<:=:3y'273=991/:?:5119~yx{h6=4+2`a951?<@;ki7[o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951b<^8>96?u+637953=#>;>1=;5r}|8m42b290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:j;W376?4|,?8>6<84$707>4027[o6=90;6)P5il0:wA519U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn9ll:1825?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742f3_;?>746<,?8?6<>4}|~?l73j3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924824>"1:=0:<6sr}|9j500=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1==5+636955=zutw0e<8>:18'6de=9=30Z?oj:0yO6g6=9r\o;74063_;?>746<,?8?6<>4}|~?l71<3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?94:T207<5s-<997??;%410?773twvq6g>6483>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6><1]=9<52z&560<682.=>94>0:~yx=n9?<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651748R4252;q/:?;5119'272=991vqps4i044>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<<7[?;2;0x 34228:0(;<;:028yx{z3`;=47>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;35<>P6<;09w)8=5;33?!05<3;;7psr}:k22<<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6517;8R4252;q/:?;5119'272=991vqps4i06`>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;c:T207<5s-<997??;%410?773twvq6g>4e83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9j4V061>7}#>;?1=;5+636953=zutw0e<:j:18'6de=9=30Z?oj:0yO6g6=9r\o;742b3_;?>740<,?8?6<84}|~?l73n3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;f:T207<5s-<997?9;%410?713twvq6g>5183>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=91]=9<52z&560g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f1dc290:=7>50z&1ef<0=2B9m=5f15c94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<>4$707>4627E{zut1b=8850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+637955=#>;>1==5r}|8m406290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6<>4$707>4627[o6><0;6)P5il0:wA649U514=:r.=>84>0:&561<682wvqp5f17494?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?<0Z<:=:3y'273=991/:?:5119~yx{4381!05=3;;7)8=4;33?x{zu2c::44?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9?30Z<:=:3y'273=991/:?:5119~yx{h6=4+2`a951?<@;ki7[o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951b<^8>96?u+637953=#>;>1=;5r}|8m42b290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:j;W376?4|,?8>6<84$707>4027[o6=90;6)P5il0:wA519U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn9lj:1825?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742f3_;?>746<,?8?6<>4}|~?l73j3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924824>"1:=0:<6sr}|9j500=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1==5+636955=zutw0e<8>:18'6de=9=30Z?oj:0yO6g6=9r\o;74063_;?>746<,?8?6<>4}|~?l71<3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?94:T207<5s-<997??;%410?773twvq6g>6483>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6><1]=9<52z&560<682.=>94>0:~yx=n9?<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651748R4252;q/:?;5119'272=991vqps4i044>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<<7[?;2;0x 34228:0(;<;:028yx{z3`;=47>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;35<>P6<;09w)8=5;33?!05<3;;7psr}:k22<<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6517;8R4252;q/:?;5119'272=991vqps4i06`>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;c:T207<5s-<997??;%410?773twvq6g>4e83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9j4V061>7}#>;?1=;5+636953=zutw0e<:j:18'6de=9=30Z?oj:0yO6g6=9r\o;742b3_;?>740<,?8?6<84}|~?l73n3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;f:T207<5s-<997?9;%410?713twvq6g>5183>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=91]=9<52z&560g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f1da290:=7>50z&1ef<0=2B9m=5f15c94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<>4$707>4627E{zut1b=8850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+637955=#>;>1==5r}|8m406290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6<>4$707>4627[o6><0;6)P5il0:wA649U514=:r.=>84>0:&561<682wvqp5f17494?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?<0Z<:=:3y'273=991/:?:5119~yx{4381!05=3;;7)8=4;33?x{zu2c::44?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9?30Z<:=:3y'273=991/:?:5119~yx{h6=4+2`a951?<@;ki7[o6P5il0:wA4e9U514=:r.=>84>6:&561<6>2wvqp5f15g94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=o0Z<:=:3y'273=9?1/:?:5179~yx{m6=4+2`a951?<^;kn6<6X>4381!05=3h0(;<;:c9~yx{=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;`8 3432k1vqps4i075>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 3422k1/:?:5b:~yx=n9?;1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651738R4252;q/:?;5b:&5616583>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>=1]=9<52z&560g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f34d29096=4?{%0bg?db3A8j<6g>4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e>:;1<7850;2x 7gd28><7E{M0a4?7|^8n;6?u+1d:951?<^8>96?u+6379f>"1:=0i7psr}:kg4?6=,;kh6i>4V3cf>4}K:k:1=vX>d181!7b03n;7[?;2;0x 3422k1/:?:5b:~yx=nl80;6)a=zutF9mk4;{W0:`?5|^m?1>v*9228g5>"4m00=>85rVe496~"1::0:845+3d;92736?u+6319`4=#;l31:?;4}Wf5>7}#>;91=974$2g:>3433t.=n54>3:U6a7<,:o26;<:;|Tg2?4|,?886i>4$2g:>3423t.=n54l;|T2a2<5s_n>6?u+6319`4=#;l31:?;4}%4a52z&2a=<51j1]=9<52z&560<6?2.=>94>7:~yI4fn3;pZ?o::3yU`0<5s-<9?7<6c:&0a<<1:<1v(;l7:058yx{P6<;09w)8=5;34?!05<3;<7psrL3ce>4}Q:h?1>vXk5;0x 3442;2o7)=j9;411>{#>k21=:5r}|9l5`7=83.9mn4>e098yg05l3:1?7>50z&1ef<5i01C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422k1/:?:5b:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560;:a27`=8391<7>t$3c`>23<@;k;7d?;a;29 7gd28>27E{zut1b=9l50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228<0(;<;:048yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c4;7?6=:3:1N5i91b=9750;&1ef<6<010c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f3>f290=6=4?{%0bg?73?2B9m=5+17c95<=n9=31<7*=ab820<=Q:ho1=vB=b182S7c838p(!4fk3n;7[52z&2a=7}#9l21h<5Y15096~"1:<0o7)8=4;f8yx{K:hl18vX=9e80Sb22;q/:?=5d09'7`?=>;?0q[j9:3y'275=9=30(>k6:706?x"1j10:?6sY28f97~Pc=38p(;<<:e38 6c>2?8>7pXk6;0x 34428>27)=j9;410>{#>k21=>5rV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3n;7)=j9;411>{#>k21o6sY1d596~Pc=38p(;<<:e38 6c>2?8>7p*9b982<>{zu2c95n4?:%0bg?4>k2\9mh4={M0a4?7|^8n;6?u+1d:9696?u+637952=#>;>1=:5r}|N1ec<6s_8j9784>7:&561<6?2wvqA5<#:hi1=h?4;|`5<1<72:0;6=u+2`a96d?<@;k;7d?;a;29 7gd28>27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a2=3=83;1<7>t$3c`>7d43A8j<6a>e083>!4fk3;n=65rb7:4>5<4290;w){M0a4?7|^m=1>v*>e9820d=Q9=81>v*924822>"1:=0::6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=;5+636953=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f3>?29086=4?{%0bg?123A8j<6g>4`83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=;5+636953=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>740<,?8?6<84}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo876;297?6=8r.9mn4>e19K6d6j6=4+2`a951?<^;kn6=9=h0Z<:=:3y'273=j2.=>94m;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d7<729q/>lm5bd9K6d626=4+2`a951?<3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c4:5?6=:3:1N5i91b=9750;&1ef<6<010c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f3?1290=6=4?{%0bg?73?2B9m=5+17c95<=n9=31<7*=ab820<=Q:ho1=vB=b182S7c838p(!4fk3n;7[52z&2a=7}#9l21h<5Y15096~"1:<0o7)8=4;f8yx{K:hl18vX=9e80Sb22;q/:?=5d09'7`?=>;?0q[j9:3y'275=9=30(>k6:706?x"1j10:?6sY28f97~Pc=38p(;<<:e38 6c>2?8>7pXk6;0x 34428>27)=j9;410>{#>k21=>5rV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3n;7)=j9;411>{#>k21o6sY1d596~Pc=38p(;<<:e38 6c>2?8>7p*9b982<>{zu2c95n4?:%0bg?4>k2\9mh4={M0a4?7|^8n;6?u+1d:9696?u+637952=#>;>1=:5r}|N1ec<6s_8j9784>7:&561<6?2wvqA5<#:hi1=h?4;|`527[lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a2=b=83;1<7>t$3c`>7d43A8j<6a>e083>!4fk3;n=65rb7:e>5<0290;w)=9=k0Z<:=:3y'273=991/:?:5119~yx{i6=4+2`a951?<@;ki7[o6=?0;6)N5ik1]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+637955=#>;>1==5r}|8m406290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6<84$707>4027[o6><0;6)P5il0:wA649U514=:r.=>84>6:&561<6>2wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e>0:1<7950;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;I0bf>P5il0:wA4`9U514=:r.=>84>0:&561<682wvqp5f15`94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<>4$707>4627[2\:8?4={%411?713-<987?9;|~y>o6>80;6)P5il0:wA609U514=:r.=>84>6:&561<6>2wvqp5f17694?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?>0Z<:=:3y'273=9?1/:?:5179~yx{6=4+2`a951?<^;kn6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{53;294~"5ij0:i=5G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6o5+6369f>{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th=5?4?:583>5}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>64727E{zut1b=8850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+637952=#>;>1=:5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn;7<:187>5<7s-8jo79:;I0b4>o6P5il0:wA4`9U514=:r.=>84>7:&561<6?2wvqp5f15`94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=9>1/:?:5169~yx{;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{53;294~"5ij0:i=5G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6o5+6369f>{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th=5:4?:383>5}#:hi1nh5G2`28m42>290/>lm515;8?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo8n2;292?6=8r.9mn4>469K6d6<,85<#:hi1=974V3cf>4}K:k:1=vX>d181!7b03;?56X>4381!05=3h0(;<;:c9~yx{P5il0:wA84m;%410?d:18'6de=l81]>lk52zN1f5<6s_;o<7a7<^8>96?u+6379`>"1:=0o7psrL3ce>1}Q:0n1?vXk5;0x 3442m;0(>k6:706?xPc>38p(;<<:06:?!5b13<996s+6c:956=z^;3o6>uYd481!05;3n:7)=j9;411>{Ql?09w)8=3;37=>"4m00=>95r$7`;>45v*9228g4>"4m00=>85r$7`;>f=z^8o<6?uYd481!05;3n:7)=j9;411>{#>k21=55r}|9j6{W3g4?4|,8o36?7l;W376?4|,?8>6<94$707>41lh51zT1e0<5s_n>6?u+63196!4fk383h6X=ad81I4e83;pZ=:1n0Z<:=:3y'273=9>1/:?:5169~yxJ5io0:w[>4=8e9'7`?=>;?0q)8m8;34?x{z3f;n=7>5$3c`>4c632wi:4650;194?6|,;kh6?o6;I0b4>o6P5il0:wA4`9U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn;76:182>5<7s-8jo753;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1n6*9258a?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{54;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=;5+636953=zutw0e<:m:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;=7)8=4;35?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924822>"1:=0::6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi:4j50;794?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997??;%410?773twvq6g>4c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9<<1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74313_;?>740<,?8?6<84}|~?l7193:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?91:T207<5s-<997?9;%410?713twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228:0(;<;:028yx{z3`;?n7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;35?!05<3;=7psr}:k213<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5179'272=9?1vqps4i042>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<:7[?;2;0x 34228<0(;<;:048yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c4:b?6==3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637953=#>;>1=;5r}|8m42e290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;35?!05<3;=7psr}:k213<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9;5Y15096~"1:<0::6*925822>{zut1b=;?50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28<:7[?;2;0x 34228<0(;<;:048yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c4b4?6=>3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637955=#>;>1==5r}|8m42e290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;35?!05<3;=7psr}:k213<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9;5Y15096~"1:<0::6*925822>{zut1b=;?50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28<:7[?;2;0x 34228<0(;<;:048yx{z3`;=87>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;350>P6<;09w)8=5;35?!05<3;=7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th=5l4?:683>5}#:hi1=h>4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9j500=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1n6*9258a?x{zu2c::<4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*9248a?!05<3h0qpsr;h350?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{6=4+2`a951?<^;kn6=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e91i1<7<50;2x 7gd2ko0D?o?;h37=?6=,;kh6<:6;:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th:544?:283>5}#:hi1>l74H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>742<,?8?6<:4}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo?68;292?6=8r.9mn4>469K6d6<,85<#:hi1=974V3cf>4}K:k:1=vX>d181!7b03;?56X>4381!05=3h0(;<;:c9~yx{P5il0:wA84m;%410?d:18'6de=l81]>lk52zN1f5<6s_;o<7a7<^8>96?u+6379`>"1:=0o7psrL3ce>1}Q:0n1?vXk5;0x 3442m;0(>k6:706?xPc>38p(;<<:06:?!5b13<996s+6c:956=z^;3o6>uYd481!05;3n:7)=j9;411>{Ql?09w)8=3;37=>"4m00=>95r$7`;>45v*9228g4>"4m00=>85r$7`;>f=z^8o<6?uYd481!05;3n:7)=j9;411>{#>k21=55r}|9j6{W3g4?4|,8o36?7l;W376?4|,?8>6<94$707>41lh51zT1e0<5s_n>6?u+63196!4fk383h6X=ad81I4e83;pZ=:1n0Z<:=:3y'273=9>1/:?:5169~yxJ5io0:w[>4=8e9'7`?=>;?0q)8m8;34?x{z3f;n=7>5$3c`>4c632wi=5j50;194?6|,;kh6?o6;I0b4>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+6379f>"1:=0i7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c3;a?6=93:1:18'6de=9l;07pl>9183>6<729q/>lm5749K6d6j6=4+2`a951?<^;kn64381!05=3;=7)8=4;35?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`2=4<72:0;6=u+2`a930=O:h:0e<:n:18'6de=9=30Z?oj:0yO6g6=9r\o;742f3_;?>7g=#>;>1n6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1n6*9258a?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`2=7<72:0;6=u+2`a930=O:h:0e<:n:18'6de=9=30Z?oj:0yO6g6=9r\o;742f3_;?>7g=#>;>1n6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1n6*9258a?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`2=6<72=0;6=u+2`a930=O:h:0e<:n:18'6de=9=30Z?oj:0yO6g6=9r\o;742f3_;?>740<,?8?6<84}|~?l73j3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?9;%410?713twvq6g>5783>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1==5+636955=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f4?3290?6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9=h1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742e3_;?>740<,?8?6<84}|~?l72>3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997?9;%410?713twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06b>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?9;%410?713twvq6g>4c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5119'272=991vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj83=6=4;:183!4fk3=>7E{zut1b=9l50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228<0(;<;:048yx{z3`;>:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;35?!05<3;=7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th:4k4?:983>5}#:hi1=h>4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9j500=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1n6*9258a?x{zu2c::<4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*9248a?!05<3h0qpsr;h350?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{6=4+2`a951?<^;kn6=9?<0Z<:=:3y'273=j2.=>94m;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?db483>7<729q/>lm5bd9K6d626=4+2`a951?<3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c3`6?6=;3:142f3_;?>7g=#>;>1n6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=95+636951=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f4e6290=6=4?{%0bg?73?2B9m=5+17c95<=n9=31<7*=ab820<=Q:ho1=vB=b182S7c838p(!4fk3n;7[52z&2a=7}#9l21h<5Y15096~"1:<0o7)8=4;f8yx{K:hl18vX=9e80Sb22;q/:?=5d09'7`?=>;?0q[j9:3y'275=9=30(>k6:706?x"1j10:?6sY28f97~Pc=38p(;<<:e38 6c>2?8>7pXk6;0x 34428>27)=j9;410>{#>k21=>5rV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3n;7)=j9;411>{#>k21o6sY1d596~Pc=38p(;<<:e38 6c>2?8>7p*9b982<>{zu2c95n4?:%0bg?4>k2\9mh4={M0a4?7|^8n;6?u+1d:9696?u+637952=#>;>1=:5r}|N1ec<6s_8j9784>7:&561<6?2wvqA5<#:hi1=h?4;|`2f3<72:0;6=u+2`a96d?<@;k;7d?;a;29 7gd28>27E5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;`8 3432k1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj8h<6=4>:183!4fk38i?6F=a19l5`7=83.9mn4>e098yg7e13:1?7>50z&1ef<0=2B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=9?1/:?:5179~yx{i6=4+2`a951?<^;kn6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{53;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1n6*9258a?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{53;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1n6*9258a?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{54;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=;5+636953=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>740<,?8?6<84}|~?l72>3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924824>"1:=0:<6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi=oj50;694?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?9;%410?713twvq6g>4c83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=;5+636953=zutw0e<;9:18'6de=9=30Z?oj:0yO6g6=9r\o;74313_;?>740<,?8?6<84}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo?me;290?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742f3_;?>740<,?8?6<84}|~?l73j3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?9;%410?713twvq6g>5783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560<682.=>94>0:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;35?!05<3;=7psr}:k20g<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5179'272=9?1vqps4i075>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228<0(;<;:048yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c3a42f3_;?>7g=#>;>1n6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1n6*9258a?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*9248a?!05<3h0qpsr;h355?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{=9??0Z<:=:3y'273=j2.=>94m;|~y>o6>?0;6)P5il0:wA679U514=:r.=>84m;%410?d7>50z&1ef5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj:h96=4=:183!4fk3hn7Ee09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi?o650;494?6|,;kh6<:8;I0b4>"6>h0:56g>4883>!4fk3;?56X=ad82I4e83;pZ=9=30Z<:=:3y'273=j2.=>94m;|~y>oc83:1(?ol:e28R7gb28qG>o>51zT2`5<5s-;n47j?;W376?4|,?8>6o5+6369f>{zut1bh<4?:%0bg?b63_8ji74}Q9m:1>v*>e98g5>P6<;09w)8=5;f8 3432m1vqpB=ag87S4>l39pZi;52z&566>4>489'7`?=>;?0q)8m8;30?xP51m08w[j::3y'275=l81/?h756378ySb12;q/:?=515;8 6c>2?8?7p*9b9827>{Q:0n1?vXk5;0x 3442m;0(>k6:706?xPc>38p(;<<:e28 6c>2?8>7p*9b98`?xP6m>09w[j::3y'275=l81/?h756378y!0e03;37psr;h0:g?6=,;kh6?7l;W0ba?4|D;h;6{zuE8jj7?tV3c6>7}Ql<09w)8=3;0:g>"4m00=>85r$7`;>41l;52zTg1?4|,?886?6k;%1f=?05=2w/:o65169~yx=h9l;1<7*=ab82a4=53;294~"5ij09m45G2`28m42f290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&561oi:180>5<7s-8jo79:;I0b4>o6P5il0:wA4`9U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn>l?:180>5<7s-8jo79:;I0b4>o6P5il0:wA4`9U514=:r.=>84>6:&561<6>2wvqp5f15`94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=9?1/:?:5179~yx{5<4290;w)=9=k0Z<:=:3y'273=9?1/:?:5179~yx{i6=4+2`a951?<@;ki7[i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d1<729q/>lm51d28L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560?0D?o?;h37e?6=,;kh6<:6;I0bf>P5il0:wA4`9U514=:r.=>84>1:&561<692wvqp5f15`94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>64727[2\:8?4={%411?763-<987?>;|~y>o6>80;6)P5il0:wA609U514=:r.=>84>1:&561<692wvqp5f17694?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?>0Z<:=:3y'273=981/:?:5109~yx{6=4+2`a951?<^;kn64381!05=3;:7)8=4;32?x{zu2c:::4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98222=Q9=81>v*924825>"1:=0:=6sr}|9j53>=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;64V061>7}#>;?1=<5+636954=zutw0e<86:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:8n4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9=i0Z<:=:3y'273=9>1/:?:5169~yx{o6=4+2`a951?<^;kn64381!05=3;<7)8=4;34?x{zu2c:8k4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820c=Q9=81>v*924823>"1:=0:;6sr}|9j506=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=8>4V061>7}#>;?1n6*9258a?x{zu2c:9<4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98214=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{51083>5}#:hi1;85G2`28m42f290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;32?!05<3;:7psr}:k20g<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5109'272=981vqps4i075>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228;0(;<;:038yx{z3`;==7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;355>P6<;09w)8=5;32?!05<3;:7psr}:k221<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::95Y15096~"1:<0:=6*925825>{zut1b=;;50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9533<^8>96?u+637954=#>;>1=<5r}|8m401290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<89;W376?4|,?8>64727[;|~y>o6>10;6)P5il0:wA699U514=:r.=>84>1:&561<692wvqp5f17;94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<86;W376?4|,?8>64727E{zut1b=9j50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951b<^8>96?u+637952=#>;>1=:5r}|8m42b290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:j;W376?4|,?8>6<94$707>4127[o6=90;6)P5il0:wA519U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn>l::1825?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742f3_;?>747<,?8?6{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924825>"1:=0:=6sr}|9j500=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1=<5+636954=zutw0e<8>:18'6de=9=30Z?oj:0yO6g6=9r\o;74063_;?>747<,?8?6;%410?763twvq6g>6483>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6><1]=9<52z&560<692.=>94>1:~yx=n9?<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651748R4252;q/:?;5109'272=981vqps4i044>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<<7[?;2;0x 34228;0(;<;:038yx{z3`;=47>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;35<>P6<;09w)8=5;32?!05<3;:7psr}:k22<<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6517;8R4252;q/:?;5109'272=981vqps4i06`>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;c:T207<5s-<997?8;%410?703twvq6g>4e83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>7:~yx=n9=o1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515g8R4252;q/:?;5169'272=9>1vqps4i06e>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>m7[?;2;0x 34228=0(;<;:058yx{z3`;><7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;364>P6<;09w)8=5;`8 3432k1vqps4i072>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?:7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&561{M0a4?7|^m=1>v*>e9820d=Q9=81>v*9248a?!05<3h0qpsr;h37f?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e;k31<7<50;2x 7gd2ko0D?o?;h37=?6=,;kh6<:6;:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th8nk4?:383>5}#:hi1nh5G2`28m42>290/>lm515;8?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo=l7;297?6=8r.9mn4=a89K6d6j6=4+2`a951?<^;kn6=9=h0Z<:=:3y'273=9=1/:?:5159~yx{5<1290;w)N5i91/=;o5189j51?=83.9mn4>489U6dc=9rF9n=4>{W3g4?4|,8o36<:6;W376?4|,?8>6o5+6369f>{zut1bh=4?:%0bg?b73_8ji7?tL3`3>4}Q9m:1>v*>e98g4>P6<;09w)8=5;`8 3432k1vqps4ie394?"5ij0o=6X=ad81I4e83;pZ=l81]=9<52z&5607}#>;91h<5+3d;92734j53zTg1?4|,?886i?4$2g:>3423t\o:742>3-9n578=4:'2g>=9:1vZ?7k:2yU`0<5s-<9?7j>;%1f=?05=2w]h;4={%417?b73-9n578=5:'2g>=k2w]=h952zTg1?4|,?886i?4$2g:>3423t.=n54>8:~y>o51j0;6)P5il09wA1vqpB=ag82S4f=38pZi;52z&566<51j1/?h756378y!0e03;<7psr;h0;`?6=,;kh6?6k;W0ba?4|D;h;6{zuE8jj7?tV3c6>7}Ql<09w)8=3;0;`>"4m00=>85r$7`;>41:18'6de=9l;07pl6<729q/>lm52`;8L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&561lk:180>5<7s-8jo79:;I0b4>o6P5il0:wA4`9U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn>lj:187>5<7s-8jo79:;I0b4>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637955=#>;>1==5r}|8m42e290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<84$707>4027[2\:8?4={%411?713-<987?9;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d6<729q/>lm51d28L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;32?!05<3;:7psr}:k20g<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5109'272=981vqps4i075>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997?8;%410?703twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228;0(;<;:038yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9<<1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74313_;?>741<,?8?6<94}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo=l2;290?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5109'272=981vqps4i06a>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?>;%410?763twvq6g>5783>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1=:5+636952=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f6e4290?6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9=h1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742e3_;?>747<,?8?63:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924823>"1:=0:;6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi?n:50;794?6|,;kh6o6P5il0:wA4`9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>6o5+6369f>{zut1b=;?50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9537<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th???4?:383>5}#:hi1nh5G2`28m42>290/>lm515;8?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo:<7;292?6=8r.9mn4>469K6d6<,85<#:hi1=974V3cf>4}K:k:1=vX>d181!7b03;?56X>4381!05=3h0(;<;:c9~yx{P5il0:wA84m;%410?d:18'6de=l81]>lk52zN1f5<6s_;o<7a7<^8>96?u+6379`>"1:=0o7psrL3ce>1}Q:0n1?vXk5;0x 3442m;0(>k6:706?xPc>38p(;<<:06:?!5b13<996s+6c:956=z^;3o6>uYd481!05;3n:7)=j9;411>{Ql?09w)8=3;37=>"4m00=>95r$7`;>45v*9228g4>"4m00=>85r$7`;>f=z^8o<6?uYd481!05;3n:7)=j9;411>{#>k21=55r}|9j6{W3g4?4|,8o36?7l;W376?4|,?8>6<94$707>41lh51zT1e0<5s_n>6?u+63196!4fk383h6X=ad81I4e83;pZ=:1n0Z<:=:3y'273=9>1/:?:5169~yxJ5io0:w[>4=8e9'7`?=>;?0q)8m8;34?x{z3f;n=7>5$3c`>4c632wi8>=50;194?6|,;kh6?o6;I0b4>o6P5il0:wA4`9U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn9=;:182>5<7s-8jo7897>53;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=;5+636953=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>740<,?8?6<84}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo895;296?6=8r.9mn4me:J1e5=n9=31<7*=ab820<=5<1290;w)N5i91/=;o5189j51?=83.9mn4>489U6dc=9rF9n=4>{W3g4?4|,8o36<:6;W376?4|,?8>6o5+6369f>{zut1bh=4?:%0bg?b73_8ji7?tL3`3>4}Q9m:1>v*>e98g4>P6<;09w)8=5;`8 3432k1vqps4ie394?"5ij0o=6X=ad81I4e83;pZ=l81]=9<52z&5607}#>;91h<5+3d;92734j53zTg1?4|,?886i?4$2g:>3423t\o:742>3-9n578=4:'2g>=9:1vZ?7k:2yU`0<5s-<9?7j>;%1f=?05=2w]h;4={%417?b73-9n578=5:'2g>=k2w]=h952zTg1?4|,?886i?4$2g:>3423t.=n54>8:~y>o51j0;6)P5il09wA1vqpB=ag82S4f=38pZi;52z&566<51j1/?h756378y!0e03;<7psr;h0;`?6=,;kh6?6k;W0ba?4|D;h;6{zuE8jj7?tV3c6>7}Ql<09w)8=3;0;`>"4m00=>85r$7`;>41:18'6de=9l;07pl96783>6<729q/>lm52`;8L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615<7s-8jo79:;I0b4>o6P5il0:wA4`9U514=:r.=>84>6:&561<6>2wvqp5f15`94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=9?1/:?:5179~yx{5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a23`=8381<7>t$3c`>gc<@;k;7d?;9;29 7gd28>276a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&5603`;?57>5$3c`>42>3_8ji7?tL3`3>4}Q9m:1>v*>e9820<=Q9=81>v*9248a?!05<3h0qpsr;hf3>5<#:hi1h=5Y2`g95~J5j90:w[?k0;0x 4c?2m:0Z<:=:3y'273=j2.=>94m;|~y>oc93:1(?ol:e38R7gb2;qG>o>51zT2`5<5s-;n47j>;W376?4|,?8>6i5+6369`>{zuE8jj7:tV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3;?56*v*9228g5>"4m00=>85rVe496~"1::0:845+3d;92726?u+6319`4=#;l31:?;4}Wf5>7}#>;91h=5+3d;9273v*9228g5>"4m00=>85r$7`;>4>k2\:8?4={%411?703-<987?8;|~H7ga28q]>l;52zTg1?4|,?886?7l;%1f=?05=2w/:o65169~yx=n:1n1<7*=ab81vB=b182S7c838p(k6:706?x"1j10:;6sr}:m2a4<72-8jo7?j1:9~f30d29086=4?{%0bg?4f12B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4c9U514=:r.=>84m;%410?d50z&1ef<5j:1C>l>4o0g2>5<#:hi1=h?4;|`52`<72:0;6=u+2`a930=O:h:0e<:n:18'6de=9=30Z?oj:0yO6g6=9r\o;742f3_;?>740<,?8?6<84}|~?l73j3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?9;%410?713twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228;0(;<;:038yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5169'272=9>1vqps4i042>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?91:T207<5s-<997?8;%410?703twvq6g>6583>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>=1]=9<52z&560<6?2.=>94>7:~yx=n9??1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74023_;?>741<,?8?6<94}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo881;293?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5109'272=981vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228=0(;<;:058yx{z3`;>:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;34?!05<3;<7psr}:k224<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651738R4252;q/:?;5169'272=9>1vqps4i047>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?285$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6><1]=9<52z&560<6?2.=>94>7:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;32?!05<3;:7psr}:k20g<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5109'272=981vqps4i075>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228=0(;<;:058yx{z3`;==7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6>81]=9<52z&560<6?2.=>94>7:~yx=n9?>1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651768R4252;q/:?;5169'272=9>1vqps4i046>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?95:T207<5s-<997?8;%410?703twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228;0(;<;:038yx{z3`;?n7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;34?!05<3;<7psr}:k213<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9;5Y15096~"1:<0:;6*925823>{zut1b=;?50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28<:7[?;2;0x 34228=0(;<;:058yx{z3`;=87>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;350>P6<;09w)8=5;34?!05<3;<7psr}:k220<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651778R4252;q/:?;5169'272=9>1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj?=?6=4::183!4fk3;n<6F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1n6*9258a?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*9248a?!05<3h0qpsr;h362?6=,;kh6<:6;W0ba?7|D;h;6:6X>4381!05=3h0(;<;:c9~yx{=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e>:0;6?4?:1y'6de=jl1C>l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{lk51zN1f5<6s_;o<742>3_;?>7g=#>;>1n6sr}|9j`5<72-8jo7j?;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{P5il09wA84k;%410?blh54zT1=a<4s_n>6?u+6319`4=#;l31:?;4}Wf5>7}#>;91=974$2g:>3423t.=n54>3:U6a7<,:o26;<:;|Tg2?4|,?886<:6;%1f=?05<2w/:o65129~R7?c2:q]h84={%417?b63-9n578=5:U`3<5s-<9?7j?;%1f=?05=2w/:o65c:U5`1=:r\o97a7<,:o26;<:;|&5f=<602wvq6g=9b83>!4fk382o6X=ad81I4e83;pZ=:0i0Z<:=:3y'273=9>1/:?:5169~yxJ5io0:w[>4=9b9'7`?=>;?0q)8m8;34?x{z3`83h7>5$3c`>7>c3_8ji74}Q9m:1>v*>e981v*924823>"1:=0:;6sr}M0bb?7|^;k>6?uYd481!05;383h6*lm51d38?xd1<3:1?7>50z&1ef<5i01C>l>4i06b>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi:84?:083>5}#:hi1>o=4H3c3?j7b93:1(?ol:0g2?>{e>>0;6:4?:1y'6de=?<1C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228<0(;<;:048yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9<<1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74313_;?>740<,?8?6<84}|~?l7193:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*924822>"1:=0::6sr}|9j532=83.9mn4>489K6dd<^;kn64381!05=3;=7)8=4;35?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`5N5i91b=9o50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228<0(;<;:048yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9<<1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74313_;?>740<,?8?6<84}|~?l7193:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*924822>"1:=0::6sr}|9j532=83.9mn4>489K6dd<^;kn64381!05=3;=7)8=4;35?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`5=?6=?3:1N5i91b=9o50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228<0(;<;:048yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9<<1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74313_;?>740<,?8?6<84}|~?l7193:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*924822>"1:=0::6sr}|9j532=83.9mn4>489K6dd<^;kn64381!05=3;=7)8=4;35?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`5e?6=?3:1N5i91b=9o50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228<0(;<;:048yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9<<1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74313_;?>740<,?8?6<84}|~?l7193:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*924822>"1:=0::6sr}|9j532=83.9mn4>489K6dd<^;kn6P5il0:wA649U514=:r.=>84>6:&561<6>2wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e>k0;654?:1y'6de=?<1C>l>4i06b>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?9;%410?713twvq6g>4c83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=;5+636953=zutw0e<;9:18'6de=9=30D?om;W0ba?7|D;h;6:6X>4381!05=3;=7)8=4;35?x{zu2c::<4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9?;0Z<:=:3y'273=9?1/:?:5179~yx{P5il0:wA649U514=:r.=>84>6:&561<6>2wvqp5f17494?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<89;W376?4|,?8>6<84$707>40=9=k0Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4c9U514=:r.=>84m;%410?d27[2\:8?4={%411?d<,?8?6o5r}|8m406290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6o5+6369f>{zut1b=;:50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9532<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th:m:4?:383>5}#:hi1nh5G2`28m42>290/>lm515;8?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo?nd;296?6=8r.9mn4me:J1e5=n9=31<7*=ab820<=5<1290;w)N5i91/=;o5189j51?=83.9mn4>489U6dc=9rF9n=4>{W3g4?4|,8o36<:6;W376?4|,?8>6o5+6369f>{zut1bh=4?:%0bg?b73_8ji7?tL3`3>4}Q9m:1>v*>e98g4>P6<;09w)8=5;`8 3432k1vqps4ie394?"5ij0o=6X=ad81I4e83;pZ=l81]=9<52z&5607}#>;91h<5+3d;92734j53zTg1?4|,?886i?4$2g:>3423t\o:742>3-9n578=4:'2g>=9:1vZ?7k:2yU`0<5s-<9?7j>;%1f=?05=2w]h;4={%417?b73-9n578=5:'2g>=k2w]=h952zTg1?4|,?886i?4$2g:>3423t.=n54>8:~y>o51j0;6)P5il09wA1vqpB=ag82S4f=38pZi;52z&566<51j1/?h756378y!0e03;<7psr;h0;`?6=,;kh6?6k;W0ba?4|D;h;6{zuE8jj7?tV3c6>7}Ql<09w)8=3;0;`>"4m00=>85r$7`;>41:18'6de=9l;07pl>a983>6<729q/>lm52`;8L7g73`;?m7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f4g>290:6=4?{%0bg?4e;2B9m=5`1d394?"5ij0:i<54}c3bf?6=;3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+6379f>"1:=0i7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c3bg?6=;3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637953=#>;>1=;5r}|8m42e290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;35?!05<3;=7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th:ml4?:283>5}#:hi1=h>4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi=lk50;:94?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?>;%410?763twvq6g>4c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9<<1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74313_;?>741<,?8?6<94}|~?l7193:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?91:T207<5s-<997?8;%410?703twvq6g>6583>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;:4V061>7}#>;?1=:5+636952=zutw0e<8::18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;<7)8=4;34?x{zu2c::;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98223=Q9=81>v*924823>"1:=0:;6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi=lh50;:94?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?>;%410?763twvq6g>4c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9<<1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74313_;?>741<,?8?6<94}|~?l7193:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?91:T207<5s-<997?8;%410?703twvq6g>6583>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;:4V061>7}#>;?1=:5+636952=zutw0e<8::18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;<7)8=4;34?x{zu2c::;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98223=Q9=81>v*924823>"1:=0:;6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi=o>50;:94?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?>;%410?763twvq6g>4c83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=<5+636954=zutw0e<;9:18'6de=9=30D?om;W0ba?7|D;h;6:6X>4381!05=3;<7)8=4;34?x{zu2c::<4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9?;0Z<:=:3y'273=9>1/:?:5169~yx{o6><0;6)P5il0:wA649U514=:r.=>84>7:&561<6?2wvqp5f17494?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<89;W376?4|,?8>6<94$707>4150z&1ef<0=2B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=981/:?:5109~yx{i6=4+2`a951?<@;ki7[o6=?0;6)P5il0:wA579U514=:r.=>84>7:&561<6?2wvqp5f17394?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6<94$707>4127E{zut1b=;;50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9533<^8>96?u+637952=#>;>1=:5r}|8m401290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;352>P6<;09w)8=5;34?!05<3;<7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th:n?4?:483>5}#:hi1=h>4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9j500=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1n6*9258a?x{zu2c::<4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{52;294~"5ij0ii6F=a19j51?=83.9mn4>4898k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn5<7s-8jo7?;7:J1e5=#9?k1=45f15;94?"5ij0:845Y2`g95~J5j90:w[?k0;0x 4c?28>27[?;2;0x 3422k1/:?:5b:~yx=nl90;6)g=zutw0ei?50;&1efv*9248g?!05<3n0qpsC2`d90~P51m08w[j::3y'275=l81/?h756378ySb12;q/:?=515;8 6c>2?8>7p*9b9827>{Q:0n1?vXk5;0x 3442m;0(>k6:706?xPc>38p(;<<:06:?!5b13<986s+6c:956=z^;3o6>uYd481!05;3n:7)=j9;411>{Ql?09w)8=3;f3?!5b13<996s+6c:9g>{Q9l=1>vXk5;0x 3442m;0(>k6:706?x"1j10:46sr}:k1=f<72-8jo7<6c:T1e`<5sE8i<7?tV0f3>7}#9l21>4m4V061>7}#>;?1=:5+636952=zutF9mk4>{W0b1?4|^m?1>v*92281=f=#;l31:?;4}%4a52z&2a=<50m1]=9<52z&560<6?2.=>94>7:~yI4fn3;pZ?o::3yU`0<5s-<9?7<7d:&0a<<1:<1v(;l7:058yx{5}#:hi1>l74H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi=n;50;394?6|,;kh6?l<;I0b4>i6m80;6)=zj8i<6=4<:183!4fk3=>7E5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;`8 3432k1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj8i36=4<:183!4fk3=>7E5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;`8 3432k1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj8i26=4;:183!4fk3=>7E5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228<0(;<;:048yx{z3`;>:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;35?!05<3;=7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th:ol4?:583>5}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<84$707>4027E{zut1b=8850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+637953=#>;>1=;5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn5<7s-8jo79:;I0b4>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637953=#>;>1=;5r}|8m42e290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;35?!05<3;=7psr}:k213<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5179'272=9?1vqps4i042>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?91:T207<5s-<997?9;%410?713twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228:0(;<;:028yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>0:~yx=n9<<1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74313_;?>746<,?8?6<>4}|~?l7193:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*924822>"1:=0::6sr}|9j532=83.9mn4>489K6dd<^;kn6P5il0:wA649U514=:r.=>84>6:&561<6>2wvqp5f17494?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?<0Z<:=:3y'273=9?1/:?:5179~yx{5{M0a4?7|^m=1>v*>e9820d=Q9=81>v*924824>"1:=0:<6sr}|9j51d=83.9mn4>489K6dd<^;kn6P5il0:wA579U514=:r.=>84>6:&561<6>2wvqp5f17394?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?;0Z<:=:3y'273=9?1/:?:5179~yx{o6><0;6)N5ik1]>lk51zN1f5<6s_n<6?u+1d:9533<^8>96?u+637953=#>;>1=;5r}|8m401290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<89;W376?4|,?8>6<84$707>403:147>50z&1ef<6m91C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422k1/:?:5b:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5b:&5615783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560g=zutw0e<8;:18'6de=9=30Z?oj:0yO6g6=9r\o;74033_;?>7g=#>;>1n6sr}|9j533=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;;4V061>7}#>;?1n6*9258a?x{zu2c::;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98223=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{52;294~"5ij0ii6F=a19j51?=83.9mn4>4898k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn5<7s-8jo7?;7:J1e5=#9?k1=45f15;94?"5ij0:845Y2`g95~J5j90:w[?k0;0x 4c?28>27[?;2;0x 3422k1/:?:5b:~yx=nl90;6)g=zutw0ei?50;&1efv*9248g?!05<3n0qpsC2`d90~P51m08w[j::3y'275=l81/?h756378ySb12;q/:?=515;8 6c>2?8>7p*9b9827>{Q:0n1?vXk5;0x 3442m;0(>k6:706?xPc>38p(;<<:06:?!5b13<986s+6c:956=z^;3o6>uYd481!05;3n:7)=j9;411>{Ql?09w)8=3;f3?!5b13<996s+6c:9g>{Q9l=1>vXk5;0x 3442m;0(>k6:706?x"1j10:46sr}:k1=f<72-8jo7<6c:T1e`<5sE8i<7?tV0f3>7}#9l21>4m4V061>7}#>;?1=:5+636952=zutF9mk4>{W0b1?4|^m?1>v*92281=f=#;l31:?;4}%4a52z&2a=<50m1]=9<52z&560<6?2.=>94>7:~yI4fn3;pZ?o::3yU`0<5s-<9?7<7d:&0a<<1:<1v(;l7:058yx{5}#:hi1>l74H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi=hl50;394?6|,;kh6?l<;I0b4>i6m80;6)=zj8oo6=4<:183!4fk3=>7E5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;`8 3432k1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj8on6=4<:183!4fk3=>7E5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;`8 3432k1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj8om6=4<:183!4fk3=>7E{zut1b=9l50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228<0(;<;:048yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c3e4?6=;3:1N5i91b=9o50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228:0(;<;:028yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9=h1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742e3_;?>740<,?8?6<84}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo?i2;297?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5179'272=9?1vqps4i06a>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?9;%410?713twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228:0(;<;:028yx{z3`;?n7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;33?!05<3;;7psr}:k213<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5179'272=9?1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj8oh6=47:183!4fk3;n<6F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1n6*9258a?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*9248a?!05<3h0qpsr;h362?6=,;kh6<:6;W0ba?7|D;h;6:6X>4381!05=3h0(;<;:c9~yx{=9?>0Z<:=:3y'273=j2.=>94m;|~y>o6><0;6)P5il0:wA649U514=:r.=>84m;%410?d27[2\:8?4={%411?d<,?8?6o5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn?l;:181>5<7s-8jo7lj;I0b4>o6<00;6)=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5619:k20<<72-8jo7?;9:T1e`<6sE8i<7?tV0f3>7}#9l21=974V061>7}#>;?1n6*9258a?x{zu2co<7>5$3c`>a6<^;kn6!4fk3n:7[52z&2a=v*922820<=#;l31:?;4}%4a7}#>;91h<5+3d;92734j53zTg1?4|,?886i?4$2g:>3423t\o:7a6<,:o26;<:;|&5f=7}#>;91h<5+3d;9273k3:1(?ol:3;`?S4fm38p@?l?:0yU5a6=:r.:i54=9b9U514=:r.=>84>7:&561<6?2wvqAk2.8i449249~ 3d?28=0qps4i3:g>5<#:hi1>5j4V3cf>7}K:k:1=vX>d181!7b0383h6X>4381!05=3;<7)8=4;34?x{zD;km6j6=4+2`a951?<^;kn6=9=h0Z<:=:3y'273=j2.=>94m;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d4<729q/>lm52c18L7g73f;n=7>5$3c`>4c632wi>o950;194?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997??;%410?773twvq6g>4c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>0:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>32e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`0gc<72;0;6=u+2`a9f`=O:h:0e<:6:18'6de=9=307b?j1;29 7gd28o:7[50z&1ef<5i01C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422k1/:?:5b:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5159'272=9=1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj:n96=49:183!4fk3;?;6F=a19'53g=901b=9750;&1ef<6<01]>lk51zN1f5<6s_;o<742>3_;?>7g=#>;>1n6sr}|9j`5<72-8jo7j?;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{P5il09wA84k;%410?blh54zT1=a<4s_n>6?u+6319`4=#;l31:?;4}Wf5>7}#>;91=974$2g:>3423t.=n54>3:U6a7<,:o26;<:;|Tg2?4|,?886<:6;%1f=?05<2w/:o65129~R7?c2:q]h84={%417?b63-9n578=5:U`3<5s-<9?7j?;%1f=?05=2w/:o65c:U5`1=:r\o97a7<,:o26;<:;|&5f=<602wvq6g=9b83>!4fk382o6X=ad81I4e83;pZ=:0i0Z<:=:3y'273=9>1/:?:5169~yxJ5io0:w[>4=9b9'7`?=>;?0q)8m8;34?x{z3`83h7>5$3c`>7>c3_8ji74}Q9m:1>v*>e981v*924823>"1:=0:;6sr}M0bb?7|^;k>6?uYd481!05;383h6*lm51d38?xd4k00;6>4?:1y'6de=:h30D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{i6=4+2`a951?<^;kn6=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e;jk1<7?50;2x 7gd2;h87E4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>0:~yx=n9=h1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742e3_;?>740<,?8?6<84}|~?l72>3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997?9;%410?713twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06b>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997??;%410?773twvq6g>4c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5179'272=9?1vqps4i042>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<:7[?;2;0x 34228<0(;<;:048yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c1`a?6==3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637953=#>;>1=;5r}|8m42e290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<84$707>4027[2\:8?4={%411?713-<987?9;|~y>o6>80;6)P5il0:wA609U514=:r.=>84>6:&561<6>2wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e;jh1<7:50;2x 7gd28o;7E5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;`8 3432k1vqps4i075>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;32?!05<3;:7psr}:k20g<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5169'272=9>1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj:n?6=4=:183!4fk3hn7Ee09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi?i750;494?6|,;kh6<:8;I0b4>"6>h0:56g>4883>!4fk3;?56X=ad82I4e83;pZ=9=30Z<:=:3y'273=j2.=>94m;|~y>oc83:1(?ol:e28R7gb28qG>o>51zT2`5<5s-;n47j?;W376?4|,?8>6o5+6369f>{zut1bh<4?:%0bg?b63_8ji74}Q9m:1>v*>e98g5>P6<;09w)8=5;f8 3432m1vqpB=ag87S4>l39pZi;52z&566>4>489'7`?=>;?0q)8m8;30?xP51m08w[j::3y'275=l81/?h756378ySb12;q/:?=515;8 6c>2?8?7p*9b9827>{Q:0n1?vXk5;0x 3442m;0(>k6:706?xPc>38p(;<<:e28 6c>2?8>7p*9b98`?xP6m>09w[j::3y'275=l81/?h756378y!0e03;37psr;h0:g?6=,;kh6?7l;W0ba?4|D;h;6{zuE8jj7?tV3c6>7}Ql<09w)8=3;0:g>"4m00=>85r$7`;>41l;52zTg1?4|,?886?6k;%1f=?05=2w/:o65169~yx=h9l;1<7*=ab82a4=53;294~"5ij09m45G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6o5+6369f>{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th8h;4?:083>5}#:hi1>o=4H3c3?j7b93:1(?ol:0g2?>{e;m=1<7=50;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3;;7)8=4;33?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924824>"1:=0:<6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi?k850;094?6|,;kh6ok4H3c3?l7313:1(?ol:06:?>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d7<729q/>lm5bd9K6d626=4+2`a951?<3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c636?6=;3:142f3_;?>7g=#>;>1n6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=95+636951=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f166290=6=4?{%0bg?73?2B9m=5+17c95<=n9=31<7*=ab820<=Q:ho1=vB=b182S7c838p(!4fk3n;7[52z&2a=7}#9l21h<5Y15096~"1:<0o7)8=4;f8yx{K:hl18vX=9e80Sb22;q/:?=5d09'7`?=>;?0q[j9:3y'275=9=30(>k6:706?x"1j10:?6sY28f97~Pc=38p(;<<:e38 6c>2?8>7pXk6;0x 34428>27)=j9;410>{#>k21=>5rV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3n;7)=j9;411>{#>k21o6sY1d596~Pc=38p(;<<:e38 6c>2?8>7p*9b982<>{zu2c95n4?:%0bg?4>k2\9mh4={M0a4?7|^8n;6?u+1d:9696?u+637952=#>;>1=:5r}|N1ec<6s_8j9784>7:&561<6?2wvqA5<#:hi1=h?4;|`0b2<72:0;6=u+2`a96d?<@;k;7d?;a;29 7gd28>27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a7c>=83;1<7>t$3c`>7d43A8j<6a>e083>!4fk3;n=65rb2db>5<2290;w)=9=k0Z<:=:3y'273=9?1/:?:5179~yx{i6=4+2`a951?<^;kn6:6X>4381!05=3;=7)8=4;35?x{zu2c::<4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9?;0Z<:=:3y'273=9?1/:?:5179~yx{5<0290;w)=9=k0Z<:=:3y'273=9?1/:?:5179~yx{i6=4+2`a951?<^;kn6P5il0:wA579U514=:r.=>84>6:&561<6>2wvqp5f17394?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6<84$707>4027E{zut1b=;;50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9533<^8>96?u+637953=#>;>1=;5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn>hl:184>5<7s-8jo79:;I0b4>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637953=#>;>1=;5r}|8m42e290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;35?!05<3;=7psr}:k213<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5179'272=9?1vqps4i042>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?91:T207<5s-<997?9;%410?713twvq6g>6583>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;:4V061>7}#>;?1=;5+636953=zutw0e<8::18'6de=9=30Z?oj:0yO6g6=9r\o;74023_;?>740<,?8?6<84}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo=id;293?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742f3_;?>740<,?8?6<84}|~?l73j3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924822>"1:=0::6sr}|9j500=83.9mn4>489K6dd<^;kn6P5il0:wA609U514=:r.=>84>6:&561<6>2wvqp5f17694?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<8;;W376?4|,?8>6<84$707>4027[i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d0<729q/>lm51d28L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5b:&5616083>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>81]=9<52z&560g=zutw0qo=if;291?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742f3_;?>741<,?8?6<94}|~?l73j3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?8;%410?703twvq6g>5783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560<6?2.=>94>7:~yx=n9?;1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651738R4252;q/:?;5169'272=9>1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj=8h6=4=:183!4fk3hn7Ee09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi8>?50;494?6|,;kh6<:8;I0b4>"6>h0:56g>4883>!4fk3;?56X=ad82I4e83;pZ=9=30Z<:=:3y'273=j2.=>94m;|~y>oc83:1(?ol:e28R7gb28qG>o>51zT2`5<5s-;n47j?;W376?4|,?8>6o5+6369f>{zut1bh<4?:%0bg?b63_8ji74}Q9m:1>v*>e98g5>P6<;09w)8=5;f8 3432m1vqpB=ag87S4>l39pZi;52z&566>4>489'7`?=>;?0q)8m8;30?xP51m08w[j::3y'275=l81/?h756378ySb12;q/:?=515;8 6c>2?8?7p*9b9827>{Q:0n1?vXk5;0x 3442m;0(>k6:706?xPc>38p(;<<:e28 6c>2?8>7p*9b98`?xP6m>09w[j::3y'275=l81/?h756378y!0e03;37psr;h0:g?6=,;kh6?7l;W0ba?4|D;h;6{zuE8jj7?tV3c6>7}Ql<09w)8=3;0:g>"4m00=>85r$7`;>41l;52zTg1?4|,?886?6k;%1f=?05=2w/:o65169~yx=h9l;1<7*=ab82a4=9h7>53;294~"5ij09m45G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6o5+6369f>{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th?>h4?:083>5}#:hi1>o=4H3c3?j7b93:1(?ol:0g2?>{e<;l1<7=50;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3;;7)8=4;33?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924824>"1:=0:<6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi8>650;094?6|,;kh6ok4H3c3?l7313:1(?ol:06:?>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d3<729q/>lm51558L7g73-;=m7?6;h37=?6=,;kh6<:6;W0ba?7|D;h;67a=#>;>1h6sr}M0bb?2|^;3o6>uYd481!05;3n:7)=j9;411>{Ql?09w)8=3;37=>"4m00=>85r$7`;>45v*922820<=#;l31:?:4}%4a7}#>;91h<5+3d;9273lm528a8R7gb2;qG>o>51zT2`5<5s-;n47<6c:T207<5s-<997?8;%410?703twv@?oi:0yU6d3=:r\o977?d3-9n578=5:'2g>=9>1vqp5f29f94?"5ij094i5Y2`g96~J5j90:w[?k0;0x 4c?2;2o7[?;2;0x 34228=0(;<;:058yx{K:hl1=vX=a481Sb22;q/:?=529f8 6c>2?8>7p*9b9823>{zu2e:i<4?:%0bg?7b921vn9=6:180>5<7s-8jo74c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0qo:5}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<84$707>4027[i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d6<729q/>lm5749K6d6j6=4+2`a951?<^;kn64381!05=3;=7)8=4;35?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`77`<72:0;6=u+2`a930=O:h:0e<:n:18'6de=9=30Z?oj:0yO6g6=9r\o;742f3_;?>740<,?8?6<84}|~?l73j3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?9;%410?713twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228<0(;<;:048yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9<<1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74313_;?>740<,?8?6<84}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo:;0;2942f3_;?>740<,?8?6<84}|~?l73j3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924822>"1:=0::6sr}|9j500=83.9mn4>489K6dd<^;kn6P5il0:wA609U514=:r.=>84>6:&561<6>2wvqp5f17694?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<8;;W376?4|,?8>6<84$707>4027E{zut1b=;850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9530<^8>96?u+637953=#>;>1=;5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn9=m:185>5<7s-8jo7?j0:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5b:&5614c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<63:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997l4$707>g=zutw0e<8>:18'6de=9=30Z?oj:0yO6g6=9r\o;74063_;?>7g=#>;>1n6sr}|9j532=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;:4V061>7}#>;?1n6*9258a?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`732<72;0;6=u+2`a9f`=O:h:0e<:6:18'6de=9=307b?j1;29 7gd28o:7[50z&1ef<6<>1C>l>4$04b>4?26=4+2`a951?<^;kn6P6<;09w)8=5;`8 3432k1vqps4ie294?"5ij0o<6X=ad82I4e83;pZ=l91]=9<52z&560lm5d09U6dc=:rF9n=4>{W3g4?4|,8o36i?4V061>7}#>;?1h6*9258g?x{zD;km69uY28f97~Pc=38p(;<<:e38 6c>2?8>7pXk6;0x 34428>27)=j9;411>{#>k21=>5rV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3;?56*v*9228g5>"4m00=>85rVe496~"1::0o<6*7}Ql<09w)8=3;f2?!5b13<996s+6c:95==zut1b>4m50;&1ef<51j1]>lk52zN1f5<6s_;o<77?d3_;?>741<,?8?6<94}|O6d`=9r\9m84={Wf6>7}#>;91>4m4$2g:>3423t.=n54>7:~y>o50m0;6)P5il09wA1vqpB=ag82S4f=38pZi;52z&566<50m1/?h756378y!0e03;<7psr;n3f5?6=,;kh6;:a02>=8391<7>t$3c`>7g>3A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f11>290:6=4?{%0bg?4e;2B9m=5`1d394?"5ij0:i<54}c64e?6=;3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637953=#>;>1=;5r}|8m42e290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<84$707>407>50z&1ef5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj=296=49:183!4fk3;?;6F=a19'53g=901b=9750;&1ef<6<01]>lk51zN1f5<6s_;o<742>3_;?>7g=#>;>1n6sr}|9j`5<72-8jo7j?;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{P5il09wA84k;%410?blh54zT1=a<4s_n>6?u+6319`4=#;l31:?;4}Wf5>7}#>;91=974$2g:>3423t.=n54>3:U6a7<,:o26;<:;|Tg2?4|,?886<:6;%1f=?05<2w/:o65129~R7?c2:q]h84={%417?b63-9n578=5:U`3<5s-<9?7j?;%1f=?05=2w/:o65c:U5`1=:r\o97a7<,:o26;<:;|&5f=<602wvq6g=9b83>!4fk382o6X=ad81I4e83;pZ=:0i0Z<:=:3y'273=9>1/:?:5169~yxJ5io0:w[>4=9b9'7`?=>;?0q)8m8;34?x{z3`83h7>5$3c`>7>c3_8ji74}Q9m:1>v*>e981v*924823>"1:=0:;6sr}M0bb?7|^;k>6?uYd481!05;383h6*lm51d38?xd3?l0;6>4?:1y'6de=:h30D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{i6=4+2`a951?<^;kn6=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e<>l1<7?50;2x 7gd2;h87E729086=4?{%0bg?123A8j<6g>4`83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1==5+636955=zutw0e<:m:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;;7)8=4;33?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`563<72;0;6=u+2`a9f`=O:h:0e<:6:18'6de=9=307b?j1;29 7gd28o:7[50z&1ef<6<>1C>l>4$04b>4?26=4+2`a951?<^;kn6P6<;09w)8=5;`8 3432k1vqps4ie294?"5ij0o<6X=ad82I4e83;pZ=l91]=9<52z&560lm5d09U6dc=:rF9n=4>{W3g4?4|,8o36i?4V061>7}#>;?1h6*9258g?x{zD;km69uY28f97~Pc=38p(;<<:e38 6c>2?8>7pXk6;0x 34428>27)=j9;411>{#>k21=>5rV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3;?56*v*9228g5>"4m00=>85rVe496~"1::0o<6*7}Ql<09w)8=3;f2?!5b13<996s+6c:95==zut1b>4m50;&1ef<51j1]>lk52zN1f5<6s_;o<77?d3_;?>741<,?8?6<94}|O6d`=9r\9m84={Wf6>7}#>;91>4m4$2g:>3423t.=n54>7:~y>o50m0;6)P5il09wA1vqpB=ag82S4f=38pZi;52z&566<50m1/?h756378y!0e03;<7psr;n3f5?6=,;kh6;:a271=8391<7>t$3c`>7g>3A8j<6g>4`83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1n6*9258a?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{51;294~"5ij09n>5G2`28k4c6290/>lm51d38?xd1:00;6>4?:1y'6de=?<1C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228:0(;<;:028yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5617<729q/>lm5bd9K6d626=4+2`a951?<3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c50>5<1290;w)N5i91/=;o5189j51?=83.9mn4>489U6dc=9rF9n=4>{W3g4?4|,8o36<:6;W376?4|,?8>6o5+6369f>{zut1bh=4?:%0bg?b73_8ji7?tL3`3>4}Q9m:1>v*>e98g4>P6<;09w)8=5;`8 3432k1vqps4ie394?"5ij0o=6X=ad81I4e83;pZ=l81]=9<52z&5607}#>;91h<5+3d;92734j53zTg1?4|,?886i?4$2g:>3423t\o:742>3-9n578=4:'2g>=9:1vZ?7k:2yU`0<5s-<9?7j>;%1f=?05=2w]h;4={%417?b73-9n578=5:'2g>=k2w]=h952zTg1?4|,?886i?4$2g:>3423t.=n54>8:~y>o51j0;6)P5il09wA1vqpB=ag82S4f=38pZi;52z&566<51j1/?h756378y!0e03;<7psr;h0;`?6=,;kh6?6k;W0ba?4|D;h;6{zuE8jj7?tV3c6>7}Ql<09w)8=3;0;`>"4m00=>85r$7`;>41:18'6de=9l;07pl9f;297?6=8r.9mn4=a89K6d6j6=4+2`a951?<^;kn6=9=h0Z<:=:3y'273=j2.=>94m;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d53;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=;5+636953=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>740<,?8?6<84}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo5<1290;w)N5i91/=;o5189j51?=83.9mn4>489U6dc=9rF9n=4>{W3g4?4|,8o36<:6;W376?4|,?8>6o5+6369f>{zut1bh=4?:%0bg?b73_8ji7?tL3`3>4}Q9m:1>v*>e98g4>P6<;09w)8=5;`8 3432k1vqps4ie394?"5ij0o=6X=ad81I4e83;pZ=l81]=9<52z&5607}#>;91h<5+3d;92734j53zTg1?4|,?886i?4$2g:>3423t\o:742>3-9n578=4:'2g>=9:1vZ?7k:2yU`0<5s-<9?7j>;%1f=?05=2w]h;4={%417?b73-9n578=5:'2g>=k2w]=h952zTg1?4|,?886i?4$2g:>3423t.=n54>8:~y>o51j0;6)P5il09wA1vqpB=ag82S4f=38pZi;52z&566<51j1/?h756378y!0e03;<7psr;h0;`?6=,;kh6?6k;W0ba?4|D;h;6{zuE8jj7?tV3c6>7}Ql<09w)8=3;0;`>"4m00=>85r$7`;>41:18'6de=9l;07pl=1183>6<729q/>lm52`;8L7g73`;?m7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f776290:6=4?{%0bg?4e;2B9m=5`1d394?"5ij0:i<54}c027?6=;3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+6379f>"1:=0i7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c020?6=>3:1N5i91b=9o50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228<0(;<;:048yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9<<1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74313_;?>740<,?8?6<84}|~?l7193:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*924822>"1:=0::6sr}|9j532=83.9mn4>489K6dd<^;kn6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{57;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1==5+636955=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>740<,?8?6<84}|~?l72>3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924822>"1:=0::6sr}|9j537=83.9mn4>489K6dd<^;kn6P5il0:wA659U514=:r.=>84>6:&561<6>2wvqp5f17794?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<8:;W376?4|,?8>6<84$707>403:1;7>50z&1ef<0=2B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=991/:?:5119~yx{i6=4+2`a951?<^;kn6P5il0:wA579U514=:r.=>84>6:&561<6>2wvqp5f17394?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6<84$707>4027E{zut1b=;;50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28<>7[?;2;0x 34228<0(;<;:048yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c023?6=?3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637953=#>;>1=;5r}|8m42e290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;35?!05<3;=7psr}:k213<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5179'272=9?1vqps4i042>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?91:T207<5s-<997?9;%410?713twvq6g>6583>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;:4V061>7}#>;?1=;5+636953=zutw0e<8::18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;=7)8=4;35?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`15=<72>0;6=u+2`a930=O:h:0e<:n:18'6de=9=30Z?oj:0yO6g6=9r\o;742f3_;?>740<,?8?6<84}|~?l73j3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924822>"1:=0::6sr}|9j500=83.9mn4>489K6dd<^;kn6P5il0:wA609U514=:r.=>84>6:&561<6>2wvqp5f17694?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<8;;W376?4|,?8>6<84$707>4027E{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a644=83=1<7>t$3c`>4c73A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0e<;9:18'6de=9=30Z?oj:0yO6g6=9r\o;74313_;?>7g=#>;>1n6sr}|9j537=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1n6*9258a?x{zu2c::94?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98221=Q9=81>v*9248a?!05<3h0qpsr;h351?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a6g`=83<1<7>t$3c`>4203A8j<6*>6`82=>o6<00;6)P5il0:wA7g=#>;>1n6sr}|9j`4<72-8jo7j>;W0ba?4|D;h;64381!05=3n0(;<;:e9~yxJ5io0?w[<6d;1xRa3=:r.=>>4k1:&0a<<1:<1vZi852z&566<6<01/?h756378y!0e03;87pX=9e80Sb22;q/:?=5d09'7`?=>;?0q[j9:3y'275=9=30(>k6:707?x"1j10:?6sY28f97~Pc=38p(;<<:e38 6c>2?8>7pXk6;0x 3442m:0(>k6:706?x"1j10h7pX>e681Sb22;q/:?=5d09'7`?=>;?0q)8m8;3;?x{z3`82o7>5$3c`>7?d3_8ji74}Q9m:1>v*>e981=f=Q9=81>v*924823>"1:=0:;6sr}M0bb?7|^;k>6?uYd481!05;382o6*c290/>lm529f8R7gb2;qG>o>51zT2`5<5s-;n47<7d:T207<5s-<997?8;%410?703twv@?oi:0yU6d3=:r\o977>c3-9n578=5:'2g>=9>1vqp5`1d394?"5ij0:i<54}c0af?6=;3:142f3_;?>7g=#>;>1n6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1n6*9258a?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`1ff<7280;6=u+2`a96g5<@;k;7b?j1;29 7gd28o:76sm2cf94?5=83:p(?ol:678L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;35?!05<3;=7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0::6*925822>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a70c=8381<7>t$3c`>gc<@;k;7d?;9;29 7gd28>276a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560:0;6;4?:1y'6de=9==0D?o?;%35e?7>3`;?57>5$3c`>42>3_8ji7?tL3`3>4}Q9m:1>v*>e9820<=Q9=81>v*9248a?!05<3h0qpsr;hf3>5<#:hi1h=5Y2`g95~J5j90:w[?k0;0x 4c?2m:0Z<:=:3y'273=j2.=>94m;|~y>oc93:1(?ol:e38R7gb2;qG>o>51zT2`5<5s-;n47j>;W376?4|,?8>6i5+6369`>{zuE8jj7:tV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3;?56*v*9228g5>"4m00=>85rVe496~"1::0:845+3d;92726?u+6319`4=#;l31:?;4}Wf5>7}#>;91h=5+3d;9273v*9228g5>"4m00=>85r$7`;>4>k2\:8?4={%411?703-<987?8;|~H7ga28q]>l;52zTg1?4|,?886?7l;%1f=?05=2w/:o65169~yx=n:1n1<7*=ab81vB=b182S7c838p(k6:706?x"1j10:;6sr}:m2a4<72-8jo7?j1:9~f63a29086=4?{%0bg?4f12B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4c9U514=:r.=>84m;%410?d50z&1ef<5j:1C>l>4o0g2>5<#:hi1=h?4;|`024<728;1<7>t$3c`>23<@;k;7d?;a;29 7gd28>27E{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637953=#>;>1=;5r}|8m431290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>6<84$707>4027[o6>=0;6)P5il0:wA659U514=:r.=>84>6:&561<6>2wvqp5f17794?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9??0Z<:=:3y'273=9?1/:?:5179~yx{4381!05=3;=7)8=4;35?x{zu2c::54?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9822==Q9=81>v*924822>"1:=0::6sr}|9j53?=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;74V061>7}#>;?1=;5+636953=zutw0e<:l:18'6de=9=30Z?oj:0yO6g6=9r\o;742d3_;?>740<,?8?6<84}|~?l73l3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;d:T207<5s-<997?9;%410?713twvq6g>4d83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0e<;?:18'6de=9=30Z?oj:0yO6g6=9r\o;74373_;?>7g=#>;>1n6sr}|9j507=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=8?4V061>7}#>;?1n6*9258a?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`0ad<72;0;6=u+2`a9f`=O:h:0e<:6:18'6de=9=307b?j1;29 7gd28o:7[50z&1ef<6<>1C>l>4$04b>4?26=4+2`a951?<^;kn6P6<;09w)8=5;`8 3432k1vqps4ie294?"5ij0o<6X=ad82I4e83;pZ=l91]=9<52z&560lm5d09U6dc=:rF9n=4>{W3g4?4|,8o36i?4V061>7}#>;?1h6*9258g?x{zD;km69uY28f97~Pc=38p(;<<:e38 6c>2?8>7pXk6;0x 34428>27)=j9;411>{#>k21=>5rV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3;?56*v*9228g5>"4m00=>85rVe496~"1::0o<6*7}Ql<09w)8=3;f2?!5b13<996s+6c:95==zut1b>4m50;&1ef<51j1]>lk52zN1f5<6s_;o<77?d3_;?>741<,?8?6<94}|O6d`=9r\9m84={Wf6>7}#>;91>4m4$2g:>3423t.=n54>7:~y>o50m0;6)P5il09wA1vqpB=ag82S4f=38pZi;52z&566<50m1/?h756378y!0e03;<7psr;n3f5?6=,;kh6;:a7`d=8391<7>t$3c`>7g>3A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f6cd290:6=4?{%0bg?4e;2B9m=5`1d394?"5ij0:i<54}c1f`?6=;3:1N5i91b=9o50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228:0(;<;:028yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>0:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>32e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`7<2<72;0;6=u+2`a9f`=O:h:0e<:6:18'6de=9=307b?j1;29 7gd28o:7[50z&1ef<6<>1C>l>4$04b>4?26=4+2`a951?<^;kn6P6<;09w)8=5;`8 3432k1vqps4ie294?"5ij0o<6X=ad82I4e83;pZ=l91]=9<52z&560lm5d09U6dc=:rF9n=4>{W3g4?4|,8o36i?4V061>7}#>;?1h6*9258g?x{zD;km69uY28f97~Pc=38p(;<<:e38 6c>2?8>7pXk6;0x 34428>27)=j9;411>{#>k21=>5rV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3;?56*v*9228g5>"4m00=>85rVe496~"1::0o<6*7}Ql<09w)8=3;f2?!5b13<996s+6c:95==zut1b>4m50;&1ef<51j1]>lk52zN1f5<6s_;o<77?d3_;?>741<,?8?6<94}|O6d`=9r\9m84={Wf6>7}#>;91>4m4$2g:>3423t.=n54>7:~y>o50m0;6)P5il09wA1vqpB=ag82S4f=38pZi;52z&566<50m1/?h756378y!0e03;<7psr;n3f5?6=,;kh6;:a0=2=8391<7>t$3c`>7g>3A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f1>2290:6=4?{%0bg?4e;2B9m=5`1d394?"5ij0:i<54}c6;2?6=;3:1N5i91b=9o50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228<0(;<;:048yx{z3`;?n7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;35?!05<3;=7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th?454?:483>5}#:hi1;85G2`28m42f290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;32?!05<3;:7psr}:k20g<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5109'272=981vqps4i075>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997?8;%410?703twvq6g>6083>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>81]=9<52z&560<6?2.=>94>7:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;32?!05<3;:7psr}:k20g<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5169'272=9>1vqps4i075>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997?8;%410?703twvq6g>6083>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>81]=9<52z&560<6?2.=>94>7:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9=h1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742e3_;?>747<,?8?63:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924825>"1:=0:=6sr}|9j537=83.9mn4>489K6dd<^;kn64381!05=3;<7)8=4;34?x{zu2c::84?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98220=Q9=81>v*924823>"1:=0:;6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi85l50;694?6|,;kh6o6P5il0:wA4`9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a0<2=8381<7>t$3c`>gc<@;k;7d?;9;29 7gd28>276a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&5603`;?57>5$3c`>42>3_8ji7?tL3`3>4}Q9m:1>v*>e9820<=Q9=81>v*9248a?!05<3h0qpsr;hf3>5<#:hi1h=5Y2`g95~J5j90:w[?k0;0x 4c?2m:0Z<:=:3y'273=j2.=>94m;|~y>oc93:1(?ol:e38R7gb2;qG>o>51zT2`5<5s-;n47j>;W376?4|,?8>6i5+6369`>{zuE8jj7:tV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3;?56*v*9228g5>"4m00=>85rVe496~"1::0:845+3d;92726?u+6319`4=#;l31:?;4}Wf5>7}#>;91h=5+3d;9273v*9228g5>"4m00=>85r$7`;>4>k2\:8?4={%411?703-<987?8;|~H7ga28q]>l;52zTg1?4|,?886?7l;%1f=?05=2w/:o65169~yx=n:1n1<7*=ab81vB=b182S7c838p(k6:706?x"1j10:;6sr}:m2a4<72-8jo7?j1:9~f1?229086=4?{%0bg?4f12B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4c9U514=:r.=>84m;%410?d>3:1=7>50z&1ef<5j:1C>l>4o0g2>5<#:hi1=h?4;|`7=2<72:0;6=u+2`a930=O:h:0e<:n:18'6de=9=30Z?oj:0yO6g6=9r\o;742f3_;?>740<,?8?6<84}|~?l73j3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?9;%410?713twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{56;294~"5ij0:8:5G2`28 40f2830e<:6:18'6de=9=30Z?oj:0yO6g6=9r\:h=4={%3f7}#9l21h=5Y15096~"1:<0i7)8=4;`8yx{z3`n:6=4+2`a9`4=Q:ho1>vB=b182S7c838p(a7<,:o26;<:;|Tg2?4|,?886<:6;%1f=?05=2w/:o65129~R7?c2:q]h84={%417?b63-9n578=5:U`3<5s-<9?7?;9:&0a<<1:=1v(;l7:018yS4>l39pZi;52z&566>4k0:&0a<<1:<1v(;l7:b9~R4c02;q]h84={%417?b63-9n578=5:'2g>=911vqp5f28a94?"5ij095n5Y2`g96~J5j90:w[?k0;0x 4c?2;3h7[?;2;0x 34228=0(;<;:058yx{K:hl1=vX=a481Sb22;q/:?=528a8 6c>2?8>7p*9b9823>{zu2c94i4?:%0bg?4?l2\9mh4={M0a4?7|^8n;6?u+1d:96=b<^8>96?u+637952=#>;>1=:5r}|N1ec<6s_8j97{e=jl1<7=50;2x 7gd2;k27E5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;`8 3432k1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj:183!4fk38i?6F=a19l5`7=83.9mn4>e098yg3c93:1?7>50z&1ef<0=2B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=9?1/:?:5179~yx{i6=4+2`a951?<@;ki7[i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d7<729q/>lm5bd9K6d626=4+2`a951?<3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c464?6=>3:1290/>lm515;8R7gb28qG>o>51zT2`5<5s-;n47?;9:T207<5s-<997l4$707>g=zutw0ei>50;&1ef{M0a4?7|^8n;6?u+1d:9`5=Q9=81>v*9248a?!05<3h0qpsr;hf2>5<#:hi1h<5Y2`g96~J5j90:w[?k0;0x 4c?2m;0Z<:=:3y'273=l2.=>94k;|~H7ga2=q]>4j53zTg1?4|,?886i?4$2g:>3423t\o:742>3-9n578=5:'2g>=9:1vZ?7k:2yU`0<5s-<9?7j>;%1f=?05=2w]h;4={%417?7312.8i449259~ 3d?2890q[<6d;1xRa3=:r.=>>4k1:&0a<<1:<1vZi852z&566;%1f=?05=2w/:o65199~yx=n:0i1<7*=ab81=f=Q:ho1>vB=b182S7c838p(k6:706?x"1j10:;6sr}:k17}#9l21>5j4V061>7}#>;?1=:5+636952=zutF9mk4>{W0b1?4|^m?1>v*92281{M0a4?7|^m=1>v*>e9820d=Q9=81>v*9248a?!05<3h0qpsr;h37f?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{5<6290;w)N5i91d=h?50;&1ef<6m810qo8;e;297?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5179'272=9?1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228<0(;<;:048yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c465?6=:3:1N5i91b=9750;&1ef<6<010c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f33?29096=4?{%0bg?db3A8j<6g>4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e><7E{M0a4?7|^8n;6?u+1d:951?<^8>96?u+6379f>"1:=0i7psr}:kg4?6=,;kh6i>4V3cf>4}K:k:1=vX>d181!7b03n;7[?;2;0x 3422k1/:?:5b:~yx=nl80;6)a=zutF9mk4;{W0:`?5|^m?1>v*9228g5>"4m00=>85rVe496~"1::0:845+3d;92736?u+6319`4=#;l31:?;4}Wf5>7}#>;91=974$2g:>3433t.=n54>3:U6a7<,:o26;<:;|Tg2?4|,?886i>4$2g:>3423t.=n54l;|T2a2<5s_n>6?u+6319`4=#;l31:?;4}%4a52z&2a=<51j1]=9<52z&560<6?2.=>94>7:~yI4fn3;pZ?o::3yU`0<5s-<9?7<6c:&0a<<1:<1v(;l7:058yx{P6<;09w)8=5;34?!05<3;<7psrL3ce>4}Q:h?1>vXk5;0x 3442;2o7)=j9;411>{#>k21=:5r}|9l5`7=83.9mn4>e098yg02:3:1?7>50z&1ef<5i01C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422k1/:?:5b:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560;:a203=8391<7>t$3c`>23<@;k;7d?;a;29 7gd28>27[o6P5il0:wA4c9U514=:r.=>84>6:&561<6>2wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e><<1<7=50;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{i6=4+2`a951?<^;kn6=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e><=1<7:50;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3;=7)8=4;35?x{zu2c:8o4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=9?1/:?:5179~yx{;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{87>54;294~"5ij0:i=5G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6o5+6369f>{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+6379f>"1:=0i7psr}:k213<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9;5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c46=?6==3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637952=#>;>1=:5r}|8m42e290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;34?!05<3;<7psr}:k213<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5169'272=9>1vqps4i042>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?91:T207<5s-<997?8;%410?703twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228;0(;<;:038yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>7:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5169'272=9>1vqps4i042>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?91:T207<5s-<997?8;%410?703twvq6g>6583>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;:4V061>7}#>;?1=:5+636952=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f33e29036=4?{%0bg?123A8j<6g>4`83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=<5+636954=zutw0e<:m:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:9;4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9<<0Z<:=:3y'273=981/:?:5109~yx{P5il0:wA659U514=:r.=>84>7:&561<6?2wvqp5f17794?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9??0Z<:=:3y'273=9>1/:?:5169~yx{2\:8?4={%411?703-<987?8;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d1<729q/>lm51d28L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{52;294~"5ij0ii6F=a19j51?=83.9mn4>4898k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6k5+6369b>{zut1vn??l:180>5<7s-8jo74c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0qo<>d;295?6=8r.9mn4=b29K6d65}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<>4$707>4627E{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a676=8391<7>t$3c`>23<@;k;7d?;a;29 7gd28>27[o6P5il0:wA4c9U514=:r.=>84>0:&561<682wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e:8o1<7=50;2x 7gd28o;7E5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;`8 3432k1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj;896=4=:183!4fk3hn7Ee09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi>?650;094?6|,;kh6ok4H3c3?l7313:1(?ol:06:?>i6m80;6)P5il0:wAe09U514=:r.=>84i;%410?`6<729q/>lm52`;8L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615<7s-8jo79:;I0b4>o6P5il0:wA4`9U514=:r.=>84>0:&561<682wvqp5f15`94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<84$707>4050z&1ef<0=2B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=9?1/:?:5179~yx{i6=4+2`a951?<^;kn6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{53;294~"5ij0:i=5G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6o5+6369f>{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th98=4?:383>5}#:hi1nh5G2`28m42>290/>lm515;8?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo<;6;296?6=8r.9mn4me:J1e5=n9=31<7*=ab820<=5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379b>"1:=0m7psr}:a617=8391<7>t$3c`>7g>3A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f725290:6=4?{%0bg?4e;2B9m=5`1d394?"5ij0:i<54}c070?6=;3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637955=#>;>1==5r}|8m42e290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;35?!05<3;=7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th9884?:283>5}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<84$707>4027[i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d6<729q/>lm51d28L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&561{M0a4?7|^m=1>v*>e9820d=Q9=81>v*924823>"1:=0:;6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=:5+636952=zutw0e<;9:18'6de=9=30Z?oj:0yO6g6=9r\o;74313_;?>741<,?8?6<94}|~?l7193:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?91:T207<5s-<997?8;%410?703twvq6g>6583>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>=1]=9<52z&560<6?2.=>94>7:~yx=n9??1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74023_;?>741<,?8?6<94}|~?l71>3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?96:T207<5s-<997?8;%410?703twvq6g>6683>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>>1]=9<52z&560<6?2.=>94>7:~yx=n9?21<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6517:8R4252;q/:?;5169'272=9>1vqps4i04:>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<27[?;2;0x 34228=0(;<;:058yx{z3`;?o7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37g>P6<;09w)8=5;34?!05<3;<7psr}:k20a<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8i5Y15096~"1:<0:;6*925823>{zut1b=9k50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951c<^8>96?u+637952=#>;>1=:5r}|8m42a290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:i;W376?4|,?8>6o5+6369f>{zut1b=8>50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9506<^8>96?u+6379f>"1:=0i7psr}:k214<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9<5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c07=?6=:3:1N5i91b=9750;&1ef<6<010c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f72a29096=4?{%0bg?db3A8j<6g>4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e:<91<7<50;2x 7gd2ko0D?o?;h37=?6=,;kh6<:6;:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0m7)8=4;d8yx{z3th98l4?:283>5}#:hi1>l74H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi>9l50;394?6|,;kh6?l<;I0b4>i6m80;6)=zj;>o6=4<:183!4fk3=>7E{zut1b=9l50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228<0(;<;:048yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c07a?6=;3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637953=#>;>1=;5r}|8m42e290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<>4$707>4650z&1ef<6m91C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422k1/:?:5b:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&5604?:1y'6de=?<1C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228;0(;<;:038yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>7:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;34?!05<3;<7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0:=6*925825>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a604=8391<7>t$3c`>4c73A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f73329096=4?{%0bg?db3A8j<6g>4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e:<21<7<50;2x 7gd2ko0D?o?;h37=?6=,;kh6<:6;:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th99k4?:383>5}#:hi1nh5G2`28m42>290/>lm515;8?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997h4$707>c=zutw0qo<:5;297?6=8r.9mn4=a89K6d6j6=4+2`a951?<^;kn6=9=h0Z<:=:3y'273=j2.=>94m;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d4<729q/>lm52c18L7g73f;n=7>5$3c`>4c632wi>8950;194?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi>8750;494?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?>;%410?763twvq6g>4c83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=:5+636952=zutw0e<;9:18'6de=9=30Z?oj:0yO6g6=9r\o;74313_;?>741<,?8?6<94}|~?l7193:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*924823>"1:=0:;6sr}|9j532=83.9mn4>489K6dd<^;kn6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{m7>58;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=<5+636954=zutw0e<:m:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924823>"1:=0:;6sr}|9j537=83.9mn4>489K6dd<^;kn6P5il0:wA659U514=:r.=>84>7:&561<6?2wvqp5f17794?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<8:;W376?4|,?8>6<94$707>4127E{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a60d=8321<7>t$3c`>23<@;k;7d?;a;29 7gd28>27[;|~y>o6P5il0:wA4c9U514=:r.=>84>7:&561<6?2wvqp5f14494?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9<<0Z<:=:3y'273=9>1/:?:5169~yx{o6>=0;6)N5ik1]>lk51zN1f5<6s_n<6?u+1d:9532<^8>96?u+637952=#>;>1=:5r}|8m402290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;351>P6<;09w)8=5;34?!05<3;<7psr}:k223<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651748R4252;q/:?;5169'272=9>1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj;?h6=47:183!4fk3=>7E{zut1b=9l50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228;0(;<;:038yx{z3`;>:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;34?!05<3;<7psr}:k224<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651738R4252;q/:?;5169'272=9>1vqps4i047>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?94:T207<5s-<997?8;%410?703twvq6g>6483>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;;4V061>7}#>;?1=:5+636952=zutw0e<89:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;<7)8=4;34?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`11a<7210;6=u+2`a930=O:h:0e<:n:18'6de=9=30Z?oj:0yO6g6=9r\o;742f3_;?>747<,?8?65783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560<6?2.=>94>7:~yx=n9?;1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74063_;?>741<,?8?6<94}|~?l71<3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98221=Q9=81>v*924823>"1:=0:;6sr}|9j533=83.9mn4>489K6dd<^;kn6P5il0:wA679U514=:r.=>84>7:&561<6?2wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e:5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;`8 3432k1vqps4i075>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 3422k1/:?:5b:~yx=n9?;1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651738R4252;q/:?;5b:&5616583>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>=1]=9<52z&560g=zutw0qo<90;296?6=8r.9mn4me:J1e5=n9=31<7*=ab820<=5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a63d=8381<7>t$3c`>gc<@;k;7d?;9;29 7gd28>276a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&56080;6>4?:1y'6de=:h30D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{i6=4+2`a951?<^;kn6=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e:?81<7?50;2x 7gd2;h87E4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f70229036=4?{%0bg?123A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9=h1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742e3_;?>741<,?8?6<94}|~?l72>3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997?8;%410?703twvq6g>6083>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1=:5+636952=zutw0e<8;:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;<7)8=4;34?x{zu2c::84?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9??0Z<:=:3y'273=9>1/:?:5169~yx{2\:8?4={%411?703-<987?8;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d47=83:p(?ol:678L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;32?!05<3;:7psr}:k20g<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5109'272=981vqps4i075>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228=0(;<;:058yx{z3`;==7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6>81]=9<52z&560<6?2.=>94>7:~yx=n9?>1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74033_;?>741<,?8?6<94}|~?l71=3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98220=Q9=81>v*924823>"1:=0:;6sr}|9j530=83.9mn4>489K6dd<^;kn6P5il0:wA669U514=:r.=>84>7:&561<6?2wvqp5f17:94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<87;W376?4|,?8>6<94$707>4127[lm515;8R7gb28qG>o>51zTg3?4|,8o36<:l;W376?4|,?8>6o5+6369f>{zut1b=9j50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951b<^8>96?u+6379f>"1:=0i7psr}:k20`<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8h5Y15096~"1:<0i7)8=4;`8yx{z3`;?j7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37b>P6<;09w)8=5;`8 3432k1vqps4i073>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?;7[?;2;0x 3422k1/:?:5b:~yx=n9<;1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651438R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560>0;6?0D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924823>"1:=0:;6sr}|9j500=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1=:5+636952=zutw0e<8>:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;<7)8=4;34?x{zu2c::94?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9?>0Z<:=:3y'273=9>1/:?:5169~yx{6=4+2`a951?<@;ki7[o6>?0;6)N5ik1]>lk51zN1f5<6s_n<6?u+1d:9530<^8>96?u+637952=#>;>1=:5r}|8m400290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;353>P6<;09w)8=5;34?!05<3;<7psr}:k22=<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6517:8R4252;q/:?;5169'272=9>1vqps4i04:>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<27[?;2;0x 3422k1/:?:5b:~yx=n9=i1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515a8R4252;q/:?;5b:&5614e83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0e<:i:18'6de=9=30Z?oj:0yO6g6=9r\o;742a3_;?>7g=#>;>1n6sr}|9j506=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=8>4V061>7}#>;?1n6*9258a?x{zu2c:9<4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98214=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{51083>5}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>64727E{zut1b=8850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+637952=#>;>1=:5r}|8m406290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;355>P6<;09w)8=5;34?!05<3;<7psr}:k221<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651768R4252;q/:?;5169'272=9>1vqps4i046>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?95:T207<5s-<997?8;%410?703twvq6g>6783>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;84V061>7}#>;?1=:5+636952=zutw0e<88:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;<7)8=4;34?x{zu2c::54?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9?20Z<:=:3y'273=9>1/:?:5169~yx{=9=i0Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4e9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<:i;W376?4|,?8>6o5+6369f>{zut1b=8>50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9506<^8>96?u+6379f>"1:=0i7psr}:k214<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9<5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c05=?6=980;6=u+2`a930=O:h:0e<:n:18'6de=9=30Z?oj:0yO6g6=9r\o;742f3_;?>747<,?8?65783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560<6?2.=>94>7:~yx=n9?;1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74063_;?>741<,?8?6<94}|~?l71<3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98221=Q9=81>v*924823>"1:=0:;6sr}|9j533=83.9mn4>489K6dd<^;kn6P5il0:wA679U514=:r.=>84>7:&561<6?2wvqp5f17594?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<88;W376?4|,?8>6<94$707>4127E{zut1b=;750;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:953?<^8>96?u+6379f>"1:=0i7psr}:k20f<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8n5Y15096~"1:<0i7)8=4;`8yx{z3`;?h7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37`>P6<;09w)8=5;`8 3432k1vqps4i06f>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>n7[?;2;0x 3422k1/:?:5b:~yx=n9=l1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515d8R4252;q/:?;5b:&5615183>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=91]=9<52z&560g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f70f290=6=4?{%0bg?7b82B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4c9U514=:r.=>84m;%410?d27[2\:8?4={%411?d<,?8?6o5r}|8m406290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6o5+6369f>{zut1b=;:50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9532<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th9:n4?:383>5}#:hi1nh5G2`28m42>290/>lm515;8?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo<80;296?6=8r.9mn4me:J1e5=n9=31<7*=ab820<=5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379b>"1:=0m7psr}:a63b=8391<7>t$3c`>7g>3A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f70b290:6=4?{%0bg?4e;2B9m=5`1d394?"5ij0:i<54}c05b?6=;3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+6379f>"1:=0i7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c045?6=;3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637954=#>;>1=<5r}|8m42e290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;34?!05<3;<7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th9;?4?:283>5}#:hi1;85G2`28m42f290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;34?!05<3;<7psr}:k20g<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5169'272=9>1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj;=86=4<:183!4fk3=>7E1vqps4i06a>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?8;%410?703twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560?0D?o?;h37e?6=,;kh6<:6;I0bf>P5il0:wA4`9U514=:r.=>84>1:&561<692wvqp5f15`94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>64727[2\:8?4={%411?763-<987?>;|~y>o6>80;6)P5il0:wA609U514=:r.=>84>1:&561<692wvqp5f17694?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?>0Z<:=:3y'273=981/:?:5109~yx{6=4+2`a951?<^;kn64381!05=3;:7)8=4;32?x{zu2c:::4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98222=Q9=81>v*924825>"1:=0:=6sr}|9j53>=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;64V061>7}#>;?1=<5+636954=zutw0e<86:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:8n4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9=i0Z<:=:3y'273=9>1/:?:5169~yx{o6=4+2`a951?<^;kn6=9=o0Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4g9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;>;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a623=83;:6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=<5+636954=zutw0e<:m:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924825>"1:=0:=6sr}|9j537=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1=<5+636954=zutw0e<8;:18'6de=9=30Z?oj:0yO6g6=9r\o;74033_;?>747<,?8?6;%410?763twvq6g>6783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>?1]=9<52z&560<692.=>94>1:~yx=n9?=1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651758R4252;q/:?;5109'272=981vqps4i04;>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<37[?;2;0x 34228;0(;<;:038yx{z3`;=57>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6>01]=9<52z&560<692.=>94>1:~yx=n9=i1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742d3_;?>741<,?8?6<94}|~?l73l3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;d:T207<5s-<997l4$707>g=zutw0e<:j:18'6de=9=30Z?oj:0yO6g6=9r\o;742b3_;?>7g=#>;>1n6sr}|9j51`=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9h4V061>7}#>;?1n6*9258a?x{zu2c:9=4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98215=Q9=81>v*9248a?!05<3h0qpsr;h365?6=,;kh6<:6;W0ba?7|D;h;6=6X>4381!05=3h0(;<;:c9~yx{5<1290;w)N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+6379f>"1:=0i7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0i7)8=4;`8yx{z3`;>:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;`8 3432k1vqps4i042>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<:7[?;2;0x 3422k1/:?:5b:~yx=n9?>1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651768R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{52;294~"5ij0ii6F=a19j51?=83.9mn4>4898k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6k5+6369b>{zut1vn?96:180>5<7s-8jo74c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0qo<8a;295?6=8r.9mn4=b29K6d65}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<>4$707>4627E{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a62b=8391<7>t$3c`>23<@;k;7d?;a;29 7gd28>27[o6P5il0:wA4c9U514=:r.=>84>0:&561<682wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e:>h1<7=50;2x 7gd28o;7E5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;`8 3432k1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj;=m6=4=:183!4fk3hn7Ee09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi>5;50;094?6|,;kh6ok4H3c3?l7313:1(?ol:06:?>i6m80;6)P5il0:wAe09U514=:r.=>84i;%410?`6<729q/>lm52`;8L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615<7s-8jo79:;I0b4>o6P5il0:wA4`9U514=:r.=>84>0:&561<682wvqp5f15`94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=9?1/:?:5179~yx{5<4290;w){M0a4?7|^m=1>v*>e9820d=Q9=81>v*924824>"1:=0:<6sr}|9j51d=83.9mn4>489K6dd<^;kn6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{7>53;294~"5ij0:i=5G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6o5+6369f>{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th94;4?:383>5}#:hi1nh5G2`28m42>290/>lm515;8?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo<7c;296?6=8r.9mn4me:J1e5=n9=31<7*=ab820<=5<4290;w)N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+6379f>"1:=0i7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c0;:18'6de=9l;07pl=8`83>6<729q/>lm5749K6d6j6=4+2`a951?<^;kn6P5il0:wA4c9U514=:r.=>84>6:&561<6>2wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e:1h1<7=50;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3;;7)8=4;33?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924822>"1:=0::6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi>5750;194?6|,;kh6o6P5il0:wA4`9U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn8k;:181>5<7s-8jo7lj;I0b4>o6<00;6)=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>32e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`6a`<72;0;6=u+2`a9f`=O:h:0e<:6:18'6de=9=307b?j1;29 7gd28o:7[50z&1ef<5i01C>l>4i06b>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi9h850;394?6|,;kh6?l<;I0b4>i6m80;6)=zj7E5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997??;%410?773twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560?0D?o?;h37e?6=,;kh6<:6;I0bf>P5il0:wA4`9U514=:r.=>84>1:&561<692wvqp5f15`94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>64727[2\:8?4={%411?763-<987?>;|~y>o6>80;6)P5il0:wA609U514=:r.=>84>1:&561<692wvqp5f17694?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?>0Z<:=:3y'273=981/:?:5109~yx{6=4+2`a951?<^;kn64381!05=3;:7)8=4;32?x{zu2c:::4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98222=Q9=81>v*924825>"1:=0:=6sr}|9j53>=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;64V061>7}#>;?1=<5+636954=zutw0e<86:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:8n4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9=i0Z<:=:3y'273=981/:?:5109~yx{o6=4+2`a951?<@;ki7[o6P5il0:wA4d9U514=:r.=>84>7:&561<6?2wvqp5f15d94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=l0Z<:=:3y'273=j2.=>94m;|~y>o6=90;6)P5il0:wA519U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn8kn:1825?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742f3_;?>747<,?8?6{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924825>"1:=0:=6sr}|9j500=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1=<5+636954=zutw0e<8>:18'6de=9=30Z?oj:0yO6g6=9r\o;74063_;?>747<,?8?6;%410?763twvq6g>6483>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6><1]=9<52z&560<692.=>94>1:~yx=n9?<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651748R4252;q/:?;5109'272=981vqps4i044>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<<7[?;2;0x 34228;0(;<;:038yx{z3`;=47>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;35<>P6<;09w)8=5;32?!05<3;:7psr}:k22<<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6517;8R4252;q/:?;5109'272=981vqps4i06`>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;c:T207<5s-<997?8;%410?703twvq6g>4e83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>7:~yx=n9=o1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515g8R4252;q/:?;5169'272=9>1vqps4i06e>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>m7[?;2;0x 3422k1/:?:5b:~yx=n9<:1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651428R4252;q/:?;5b:&5615083>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=81]=9<52z&560g=zutw0qo;jb;2954<729q/>lm5749K6d6j6=4+2`a951?<@;ki7[;|~y>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637954=#>;>1=<5r}|8m431290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>64727[;|~y>o6>=0;6)P5il0:wA659U514=:r.=>84>1:&561<692wvqp5f17794?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9??0Z<:=:3y'273=981/:?:5109~yx{4381!05=3;:7)8=4;32?x{zu2c::54?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9822==Q9=81>v*924825>"1:=0:=6sr}|9j53?=83.9mn4>489K6dd<^;kn6P5il0:wA4b9U514=:r.=>84>1:&561<692wvqp5f15f94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:k;W376?4|,?8>6<94$707>4127[o6P5il0:wA4g9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;>;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a1`e=83;:6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=<5+636954=zutw0e<:m:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924825>"1:=0:=6sr}|9j537=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1=<5+636954=zutw0e<8;:18'6de=9=30Z?oj:0yO6g6=9r\o;74033_;?>747<,?8?6;%410?763twvq6g>6783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>?1]=9<52z&560<692.=>94>1:~yx=n9?=1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651758R4252;q/:?;5109'272=981vqps4i04;>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<37[?;2;0x 34228;0(;<;:038yx{z3`;=57>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6>01]=9<52z&560<692.=>94>1:~yx=n9=i1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742d3_;?>741<,?8?6<94}|~?l73l3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;d:T207<5s-<997?8;%410?703twvq6g>4d83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>7:~yx=n9=l1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515d8R4252;q/:?;5b:&5615183>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=91]=9<52z&560g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f0cc290>6=4?{%0bg?7b82B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4c9U514=:r.=>84m;%410?d27[2\:8?4={%411?d<,?8?6o5r}|8m406290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a0`>=8381<7>t$3c`>gc<@;k;7d?;9;29 7gd28>276a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3l0(;<;:g9~yx{n57>53;294~"5ij09m45G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6o5+6369f>{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th?il4?:083>5}#:hi1>o=4H3c3?j7b93:1(?ol:0g2?>{e?0D?o?;h37e?6=,;kh6<:6;I0bf>P5il0:wA4`9U514=:r.=>84>6:&561<6>2wvqp5f15`94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<84$707>407>50z&1ef5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj<>i6=49:183!4fk3;?;6F=a19'53g=901b=9750;&1ef<6<01]>lk51zN1f5<6s_;o<742>3_;?>7g=#>;>1n6sr}|9j`5<72-8jo7j?;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{P5il09wA84k;%410?blh54zT1=a<4s_n>6?u+6319`4=#;l31:?;4}Wf5>7}#>;91=974$2g:>3423t.=n54>3:U6a7<,:o26;<:;|Tg2?4|,?886<:6;%1f=?05<2w/:o65129~R7?c2:q]h84={%417?b63-9n578=5:U`3<5s-<9?7j?;%1f=?05=2w/:o65c:U5`1=:r\o97a7<,:o26;<:;|&5f=<602wvq6g=9b83>!4fk382o6X=ad81I4e83;pZ=:0i0Z<:=:3y'273=9>1/:?:5169~yxJ5io0:w[>4=9b9'7`?=>;?0q)8m8;34?x{z3`83h7>5$3c`>7>c3_8ji74}Q9m:1>v*>e981v*924823>"1:=0:;6sr}M0bb?7|^;k>6?uYd481!05;383h6*lm51d38?xd2<90;6>4?:1y'6de=:h30D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{i6=4+2`a951?<^;kn6=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e==;1<7?50;2x 7gd2;h87E4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5179'272=9?1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj<>?6=4<:183!4fk3=>7E{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637953=#>;>1=;5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn8:::180>5<7s-8jo79:;I0b4>o6P5il0:wA4`9U514=:r.=>84>6:&561<6>2wvqp5f15`94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=9?1/:?:5179~yx{5<4290;w){M0a4?7|^m=1>v*>e9820d=Q9=81>v*9248a?!05<3h0qpsr;h37f?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{5<4290;w){M0a4?7|^m=1>v*>e9820d=Q9=81>v*9248a?!05<3h0qpsr;h37f?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{5<4290;w)=9=k0Z<:=:3y'273=9?1/:?:5179~yx{i6=4+2`a951?<^;kn6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{53;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=;5+636953=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>740<,?8?6<84}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo;;2;29e19K6d6j6=4+2`a951?<^;kn6=9=h0Z<:=:3y'273=j2.=>94m;|~y>o6=?0;6)P5il0:wA579U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<8;;W376?4|,?8>6o5+6369f>{zut1b=;;50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9533<^8>96?u+6379f>"1:=0i7psr}:k223<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::;5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c754?6=:3:1N5i91b=9750;&1ef<6<010c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f00329096=4?{%0bg?db3A8j<6g>4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e=?k1<7850;2x 7gd28><7E{M0a4?7|^8n;6?u+1d:951?<^8>96?u+6379f>"1:=0i7psr}:kg4?6=,;kh6i>4V3cf>4}K:k:1=vX>d181!7b03n;7[?;2;0x 3422k1/:?:5b:~yx=nl80;6)a=zutF9mk4;{W0:`?5|^m?1>v*9228g5>"4m00=>85rVe496~"1::0:845+3d;92736?u+6319`4=#;l31:?;4}Wf5>7}#>;91=974$2g:>3433t.=n54>3:U6a7<,:o26;<:;|Tg2?4|,?886i>4$2g:>3423t.=n54l;|T2a2<5s_n>6?u+6319`4=#;l31:?;4}%4a52z&2a=<51j1]=9<52z&560<6?2.=>94>7:~yI4fn3;pZ?o::3yU`0<5s-<9?7<6c:&0a<<1:<1v(;l7:058yx{P6<;09w)8=5;34?!05<3;<7psrL3ce>4}Q:h?1>vXk5;0x 3442;2o7)=j9;411>{#>k21=:5r}|9l5`7=83.9mn4>e098yg3193:1?7>50z&1ef<5i01C>l>4i06b>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi9;<50;394?6|,;kh6?l<;I0b4>i6m80;6)=zj<<86=4<:183!4fk3=>7E5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?9;%410?713twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560<0;694?:1y'6de=?<1C>l>4i06b>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?8;%410?703twvq6g>4c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>7:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5169'272=9>1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj<<=6=4;:183!4fk3=>7E{zut1b=9l50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228=0(;<;:058yx{z3`;>:7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560<6?2.=>94>7:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;34?!05<3;<7psr}:k20g<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5169'272=9>1vqps4i075>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997?8;%410?703twvq6g>6083>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1=:5+636952=zutw0e<8;:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;<7)8=4;34?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`62=<72=0;6=u+2`a95`6<@;k;7d?;a;29 7gd28>27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6o5+6369f>{zut1b=8850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th>5:4?:383>5}#:hi1nh5G2`28m42>290/>lm515;8?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo;6b;296?6=8r.9mn4me:J1e5=n9=31<7*=ab820<=5<1290;w)N5i91/=;o5189j51?=83.9mn4>489U6dc=9rF9n=4>{W3g4?4|,8o36<:6;W376?4|,?8>6o5+6369f>{zut1bh=4?:%0bg?b73_8ji7?tL3`3>4}Q9m:1>v*>e98g4>P6<;09w)8=5;`8 3432k1vqps4ie394?"5ij0o=6X=ad81I4e83;pZ=l81]=9<52z&5607}#>;91h<5+3d;92734j53zTg1?4|,?886i?4$2g:>3423t\o:742>3-9n578=4:'2g>=9:1vZ?7k:2yU`0<5s-<9?7j>;%1f=?05=2w]h;4={%417?b73-9n578=5:'2g>=k2w]=h952zTg1?4|,?886i?4$2g:>3423t.=n54>8:~y>o51j0;6)P5il09wA1vqpB=ag82S4f=38pZi;52z&566<51j1/?h756378y!0e03;<7psr;h0;`?6=,;kh6?6k;W0ba?4|D;h;6{zuE8jj7?tV3c6>7}Ql<09w)8=3;0;`>"4m00=>85r$7`;>41:18'6de=9l;07pl:9983>6<729q/>lm52`;8L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615<7s-8jo79:;I0b4>o6P5il0:wA4`9U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn87l:187>5<7s-8jo79:;I0b4>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637952=#>;>1=:5r}|8m42e290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<94$707>4127[2\:8?4={%411?703-<987?8;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d0<729q/>lm5749K6d6j6=4+2`a951?<@;ki7[;|~y>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637954=#>;>1=<5r}|8m431290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;34?!05<3;<7psr}:k224<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::<5Y15096~"1:<0:;6*925823>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a1t$3c`>23<@;k;7d?;a;29 7gd28>27[;|~y>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637952=#>;>1=:5r}|8m431290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;34?!05<3;<7psr}:k224<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::<5Y15096~"1:<0:;6*925823>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a1<`=83<1<7>t$3c`>23<@;k;7d?;a;29 7gd28>27E{zut1b=9l50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228;0(;<;:038yx{z3`;>:7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560<692.=>94>1:~yx=n9?;1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651738R4252;q/:?;5169'272=9>1vqps4i047>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?285$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c7b4?6=>3:1N5i91b=9o50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228;0(;<;:038yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5169'272=9>1vqps4i042>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<:7[?;2;0x 34228=0(;<;:058yx{z3`;=87>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;350>P6<;09w)8=5;34?!05<3;<7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th>m<4?:783>5}#:hi1=h>4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9j500=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1n6*9258a?x{zu2c::<4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*9248a?!05<3h0qpsr;h350?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a5a0=8381<7>t$3c`>gc<@;k;7d?;9;29 7gd28>276a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&5600;6?4?:1y'6de=jl1C>l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{52;294~"5ij0ii6F=a19j51?=83.9mn4>4898k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6k5+6369b>{zut1vn5<7s-8jo74c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0qo?k9;295?6=8r.9mn4=b29K6d65}#:hi1>o=4H3c3?j7b93:1(?ol:0g2?>{e9mi1<7=50;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;I0bf>P5il0:wA4`9U514=:r.=>84j;%410?c27E5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c01=?6=:3:1N5i91b=9750;&1ef<6<010c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f74c29096=4?{%0bg?db3A8j<6g>4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e::>1<7<50;2x 7gd2ko0D?o?;h37=?6=,;kh6<:6;:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0m7)8=4;d8yx{z3th9>l4?:283>5}#:hi1>l74H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi>?l50;394?6|,;kh6?l<;I0b4>i6m80;6)=zj;8h6=4<:183!4fk3=>7E5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;`8 3432k1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj;8n6=49:183!4fk3=>7E5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?>;%410?763twvq6g>5783>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1=<5+636954=zutw0e<8>:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;<7)8=4;34?x{zu2c::94?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98221=Q9=81>v*924823>"1:=0:;6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi>?h50;494?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820d=Q9=81>v*924825>"1:=0:=6sr}|9j51d=83.9mn4>489K6dd<^;kn6P5il0:wA579U514=:r.=>84>1:&561<692wvqp5f17394?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6<94$707>4127[i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d3<729q/>lm5749K6d6j6=4+2`a951?<@;ki7[;|~y>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637954=#>;>1=<5r}|8m431290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;32?!05<3;:7psr}:k224<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651738R4252;q/:?;5169'272=9>1vqps4i047>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?285$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c005?6=>3:1N5i91b=9o50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228;0(;<;:038yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9<<1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74313_;?>747<,?8?6{M0a4?7|^m=1>v*>e98224=Q9=81>v*924823>"1:=0:;6sr}|9j532=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;:4V061>7}#>;?1=:5+636952=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f755290<6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=<5+636954=zutw0e<:m:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:9;4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9<<0Z<:=:3y'273=981/:?:5109~yx{o6>=0;6)P5il0:wA659U514=:r.=>84>7:&561<6?2wvqp5f17794?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9??0Z<:=:3y'273=9>1/:?:5169~yx{5<1290;w)N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+6379f>"1:=0i7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0i7)8=4;`8yx{z3`;>:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;`8 3432k1vqps4i042>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<:7[?;2;0x 3422k1/:?:5b:~yx=n9?>1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651768R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi8k850;494?6|,;kh6<:8;I0b4>"6>h0:m6g>4883>!4fk3;?56X=ad82I4e83;pZ=9=30Z<:=:3y'273=j2.=>94m;|~y>oc83:1(?ol:e28R7gb28qG>o>51zT2`5<5s-;n47j?;W376?4|,?8>6o5+6369f>{zut1bh<4?:%0bg?b63_8ji74}Q9m:1>v*>e98g5>P6<;09w)8=5;f8 3432m1vqpB=ag87S4>l39pZi;52z&566>4>489'7`?=>;?0q)8m8;30?xP51m08w[j::3y'275=l81/?h756378ySb12;q/:?=515;8 6c>2?8?7p*9b9827>{Q:0n1?vXk5;0x 3442m;0(>k6:706?xPc>38p(;<<:e28 6c>2?8>7p*9b98`?xP6m>09w[j::3y'275=l81/?h756378y!0e03;37psr;h0:g?6=,;kh6?7l;W0ba?4|D;h;6{zuE8jj7?tV3c6>7}Ql<09w)8=3;0:g>"4m00=>85r$7`;>41l;52zTg1?4|,?886?6k;%1f=?05=2w/:o65169~yx=h9l;1<7*=ab82a4=m<7>53;294~"5ij09m45G2`28m42f290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615<7s-8jo79:;I0b4>o6P5il0:wA4`9U514=:r.=>84>6:&561<6>2wvqp5f15`94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=9?1/:?:5179~yx{5<4290;w)=9=k0Z<:=:3y'273=9?1/:?:5179~yx{i6=4+2`a951?<@;ki7[i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d6<729q/>lm51d28L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>32e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`a`?6=:3:1N5i91b=9750;&1ef<6<010c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f06a29096=4?{%0bg?db3A8j<6g>4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e=8=1<7850;2x 7gd28><7E{M0a4?7|^8n;6?u+1d:951?<^8>96?u+6379f>"1:=0i7psr}:kg4?6=,;kh6i>4V3cf>4}K:k:1=vX>d181!7b03n;7[?;2;0x 3422k1/:?:5b:~yx=nl80;6)a=zutF9mk4;{W0:`?5|^m?1>v*9228g5>"4m00=>85rVe496~"1::0:845+3d;92736?u+6319`4=#;l31:?;4}Wf5>7}#>;91=974$2g:>3433t.=n54>3:U6a7<,:o26;<:;|Tg2?4|,?886i>4$2g:>3423t.=n54l;|T2a2<5s_n>6?u+6319`4=#;l31:?;4}%4a52z&2a=<51j1]=9<52z&560<6?2.=>94>7:~yI4fn3;pZ?o::3yU`0<5s-<9?7<6c:&0a<<1:<1v(;l7:058yx{P6<;09w)8=5;34?!05<3;<7psrL3ce>4}Q:h?1>vXk5;0x 3442;2o7)=j9;411>{#>k21=:5r}|9l5`7=83.9mn4>e098yg37k3:1?7>50z&1ef<5i01C>l>4i06b>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi9=j50;394?6|,;kh6?l<;I0b4>i6m80;6)=zj<:n6=4<:183!4fk3=>7E5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;`8 3432k1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj<;;6=4=1;294~"5ij0<96F=a19j51g=83.9mn4>489K6dd<^;kn6P5il0:wA4c9U514=:r.=>84>1:&561<692wvqp5f14494?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9<<0Z<:=:3y'273=981/:?:5109~yx{4381!05=3;:7)8=4;32?x{zu2c::84?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98220=Q9=81>v*924825>"1:=0:=6sr}|9j530=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;84V061>7}#>;?1=<5+636954=zutw0e<88:18'6de=9=30Z?oj:0yO6g6=9r\o;74003_;?>747<,?8?6;%410?763twvq6g>6883>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>01]=9<52z&560<692.=>94>1:~yx=n9=i1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515a8R4252;q/:?;5109'272=981vqps4i06g>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>o7[?;2;0x 34228;0(;<;:038yx{z3`;?i7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37a>P6<;09w)8=5;32?!05<3;:7psr}:k20c<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8k5Y15096~"1:<0:=6*925825>{zut1b=8>50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28?;7[?;2;0x 34228;0(;<;:038yx{z3`;>=7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;365>P6<;09w)8=5;32?!05<3;:7psr}:k217<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9?5Y15096~"1:<0:;6*925823>{zut1b=8=50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9505<^8>96?u+637952=#>;>1=:5r}|8m433290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;360>P6<;09w)8=5;34?!05<3;<7psr}:k210<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:985Y15096~"1:<0:;6*925823>{zut1b=8950;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9501<^8>96?u+6379f>"1:=0i7psr}:k21=<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:955Y15096~"1:<0i7)8=4;`8yx{z3`;>57>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;36=>P6<;09w)8=5;`8 3432k1vqps4i07b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?j7[?;2;0x 3422k1/:?:5b:~yx=n95b83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=j1]=9<52z&560g=zutw0e<;j:18'6de=9=30Z?oj:0yO6g6=9r\o;743b3_;?>7g=#>;>1n6sr}|9j50`=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=8h4V061>7}#>;?1n6*9258a?x{zu2c::=4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98225=Q9=81>v*9248a?!05<3h0qpsr;h356?6=,;kh6<:6;W0ba?7|D;h;66X>4381!05=3h0(;<;:c9~yx{=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e=8;1<7<>:183!4fk3=>7E5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?>;%410?763twvq6g>5783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560<692.=>94>1:~yx=n9?;1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651738R4252;q/:?;5109'272=981vqps4i047>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?285$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;351>P6<;09w)8=5;32?!05<3;:7psr}:k223<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::;5Y15096~"1:<0:=6*925825>{zut1b=;950;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9531<^8>96?u+637954=#>;>1=<5r}|8m40?290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<87;W376?4|,?8>64727[;|~y>o6P5il0:wA4b9U514=:r.=>84>1:&561<692wvqp5f15f94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=n0Z<:=:3y'273=981/:?:5109~yx{n6=4+2`a951?<^;kn64381!05=3;:7)8=4;32?x{zu2c:9=4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9<:0Z<:=:3y'273=981/:?:5109~yx{>6X>4381!05=3;<7)8=4;34?x{zu2c:9>4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98216=Q9=81>v*924823>"1:=0:;6sr}|9j502=83.9mn4>489K6dd<^;kn696X>4381!05=3;<7)8=4;34?x{zu2c:9:4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98212=Q9=81>v*9248a?!05<3h0qpsr;h3646X>4381!05=3h0(;<;:c9~yx{=994m;|~y>o6=k0;6)P5il0:wA5c9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;k;W376?4|,?8>6o5+6369f>{zut1b=8k50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:950c<^8>96?u+6379f>"1:=0i7psr}:k21c<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9k5Y15096~"1:<0i7)8=4;`8yx{z3`;=<7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;354>P6<;09w)8=5;`8 3432k1vqps4i041>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<97[?;2;0x 3422k1/:?:5b:~yx=n9?91<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651718R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560?0D?o?;h37e?6=,;kh6<:6;I0bf>P5il0:wA4`9U514=:r.=>84>1:&561<692wvqp5f15`94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>64727[2\:8?4={%411?763-<987?>;|~y>o6>80;6)P5il0:wA609U514=:r.=>84>1:&561<692wvqp5f17694?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?>0Z<:=:3y'273=981/:?:5109~yx{6=4+2`a951?<^;kn64381!05=3;:7)8=4;32?x{zu2c:::4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98222=Q9=81>v*924825>"1:=0:=6sr}|9j53>=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;64V061>7}#>;?1=<5+636954=zutw0e<86:18'6de=9=30Z?oj:0yO6g6=9r\o;740>3_;?>747<,?8?6{M0a4?7|^m=1>v*>e9820f=Q9=81>v*924825>"1:=0:=6sr}|9j51b=83.9mn4>489K6dd<^;kn6P5il0:wA4d9U514=:r.=>84>1:&561<692wvqp5f15d94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:i;W376?4|,?8>64727E{zut1b=8?50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28?:7[?;2;0x 34228;0(;<;:038yx{z3`;>>7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;366>P6<;09w)8=5;32?!05<3;:7psr}:k216<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9>5Y15096~"1:<0:;6*925823>{zut1b=8:50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9502<^8>96?u+637952=#>;>1=:5r}|8m432290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;361>P6<;09w)8=5;34?!05<3;<7psr}:k212<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9:5Y15096~"1:<0i7)8=4;`8yx{z3`;>47>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;36<>P6<;09w)8=5;`8 3432k1vqps4i07:>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?27[?;2;0x 3422k1/:?:5b:~yx=n95c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=k1]=9<52z&560g=zutw0e<;k:18'6de=9=30Z?oj:0yO6g6=9r\o;743c3_;?>7g=#>;>1n6sr}|9j50c=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=8k4V061>7}#>;?1n6*9258a?x{zu2c:9k4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9821c=Q9=81>v*9248a?!05<3h0qpsr;h354?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{=9?90Z<:=:3y'273=j2.=>94m;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d77=83:p(?ol:678L7g73`;?m7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9=h1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742e3_;?>747<,?8?63:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997?>;%410?763twvq6g>6083>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>81]=9<52z&560<692.=>94>1:~yx=n9?>1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651768R4252;q/:?;5109'272=981vqps4i046>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<>7[?;2;0x 34228;0(;<;:038yx{z3`;=:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;352>P6<;09w)8=5;32?!05<3;:7psr}:k222<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:::5Y15096~"1:<0:=6*925825>{zut1b=;650;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:953><^8>96?u+637954=#>;>1=<5r}|8m40>290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<86;W376?4|,?8>64727E{zut1b=9j50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>o7[?;2;0x 34228;0(;<;:038yx{z3`;?i7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9=l1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742a3_;?>747<,?8?6{M0a4?7|^m=1>v*>e98215=Q9=81>v*924825>"1:=0:=6sr}|9j507=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=8?4V061>7}#>;?1=<5+636954=zutw0e<;=:18'6de=9=30Z?oj:0yO6g6=9r\o;74353_;?>741<,?8?6<94}|~?l72;3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?:3:T207<5s-<997?8;%410?703twvq6g>5583>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=8:4V061>7}#>;?1=:5+636952=zutw0e<;::18'6de=9=30Z?oj:0yO6g6=9r\o;74323_;?>741<,?8?6<94}|~?l72?3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?:7:T207<5s-<997l4$707>g=zutw0e<;7:18'6de=9=30Z?oj:0yO6g6=9r\o;743?3_;?>7g=#>;>1n6sr}|9j50?=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=874V061>7}#>;?1n6*9258a?x{zu2c:9l4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9821d=Q9=81>v*9248a?!05<3h0qpsr;h36f?6=,;kh6<:6;W0ba?7|D;h;6n6X>4381!05=3h0(;<;:c9~yx{=994m;|~y>o6=l0;6)P5il0:wA5d9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<8?;W376?4|,?8>6o5+6369f>{zut1b=;<50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9534<^8>96?u+6379f>"1:=0i7psr}:k226<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::>5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c720?6=:80;6=u+2`a930=O:h:0e<:n:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:8o4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=981/:?:5109~yx{4381!05=3;:7)8=4;32?x{zu2c::94?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98221=Q9=81>v*924825>"1:=0:=6sr}|9j533=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;;4V061>7}#>;?1=<5+636954=zutw0e<89:18'6de=9=30Z?oj:0yO6g6=9r\o;74013_;?>747<,?8?6;%410?763twvq6g>6983>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>11]=9<52z&560<692.=>94>1:~yx=n9?31<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6517;8R4252;q/:?;5109'272=981vqps4i06`>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;c:T207<5s-<997?>;%410?763twvq6g>4e83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9j4V061>7}#>;?1=<5+636954=zutw0e<:j:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:8k4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9=l0Z<:=:3y'273=981/:?:5109~yx{;|~y>o6=80;6)P5il0:wA509U514=:r.=>84>1:&561<692wvqp5f14094?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9<80Z<:=:3y'273=9>1/:?:5169~yx{P5il0:wA559U514=:r.=>84>7:&561<6?2wvqp5f14794?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=91/:?:5169~yx{=9<20Z<:=:3y'273=j2.=>94m;|~y>o6=00;6)P5il0:wA589U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;m;W376?4|,?8>6o5+6369f>{zut1b=8m50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:950e<^8>96?u+6379f>"1:=0i7psr}:k21a<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9i5Y15096~"1:<0i7)8=4;`8yx{z3`;>i7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;36a>P6<;09w)8=5;`8 3432k1vqps4i07e>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?m7[?;2;0x 3422k1/:?:5b:~yx=n9?:1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651728R4252;q/:?;5b:&5616383>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>;1]=9<52z&560g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f072290=6=4?{%0bg?7b82B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4c9U514=:r.=>84m;%410?d27[2\:8?4={%411?d<,?8?6o5r}|8m406290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6o5+6369f>{zut1b=;:50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9532<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th>:i4?:383>5}#:hi1nh5G2`28m42>290/>lm515;8?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo;84;296?6=8r.9mn4me:J1e5=n9=31<7*=ab820<=5<4290;w)N5i91b=9o50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422k1/:?:5b:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560o0;6<4?:1y'6de=:k90D?o?;n3f5?6=,;kh6;:a127=83>1<7>t$3c`>23<@;k;7d?;a;29 7gd28>27[o6P5il0:wA4c9U514=:r.=>84>0:&561<682wvqp5f14494?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>6<>4$707>4650z&1ef<0=2B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=991/:?:5119~yx{i6=4+2`a951?<^;kn6:6X>4381!05=3;;7)8=4;33?x{zu2c::<4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9?;0Z<:=:3y'273=991/:?:5119~yx{5<2290;w){M0a4?7|^m=1>v*>e9820d=Q9=81>v*924824>"1:=0:<6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1==5+636955=zutw0e<;9:18'6de=9=30Z?oj:0yO6g6=9r\o;74313_;?>746<,?8?6<>4}|~?l7193:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*924824>"1:=0:<6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi9:>50;694?6|,;kh6o6P5il0:wA4`9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a121=8381<7>t$3c`>gc<@;k;7d?;9;29 7gd28>276a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3l0(;<;:g9~yx{53;294~"5ij09m45G2`28m42f290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615<7s-8jo79:;I0b4>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637953=#>;>1=;5r}|8m42e290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<84$707>4050z&1ef<0=2B9m=5f15c94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<84$707>4027E{zut1b=8850;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228<0(;<;:048yx{z3`;==7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;355>P6<;09w)8=5;35?!05<3;=7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th>;l4?:283>5}#:hi1=h>4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi9l850;094?6|,;kh6ok4H3c3?l7313:1(?ol:06:?>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d7<729q/>lm5bd9K6d626=4+2`a951?<3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c7bb?6=:3:1N5i91b=9750;&1ef<6<010c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7c=#>;>1j6sr}|9~f0g029086=4?{%0bg?4f12B9m=5f15c94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6o5+6369f>{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th>m54?:083>5}#:hi1>o=4H3c3?j7b93:1(?ol:0g2?>{e=h31<7:50;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;I0bf>P5il0:wA4`9U514=:r.=>84>0:&561<682wvqp5f15`94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<>4$707>4627[2\:8?4={%411?713-<987?9;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d6<729q/>lm5749K6d6j6=4+2`a951?<^;kn64381!05=3;<7)8=4;34?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`6ef<72:0;6=u+2`a930=O:h:0e<:n:18'6de=9=30Z?oj:0yO6g6=9r\o;742f3_;?>741<,?8?6<94}|~?l73j3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?8;%410?703twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06b>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?>;%410?763twvq6g>4c83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=<5+636954=zutw0e<;9:18'6de=9=30D?om;W0ba?7|D;h;6:6X>4381!05=3;:7)8=4;32?x{zu2c::<4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*924823>"1:=0:;6sr}|9j532=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;:4V061>7}#>;?1=:5+636952=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f0gb290?6=4?{%0bg?7b82B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4c9U514=:r.=>84m;%410?d27[2\:8?4={%411?d<,?8?6o5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn8l=:181>5<7s-8jo7lj;I0b4>o6<00;6)=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>32e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`6fa<72;0;6=u+2`a9f`=O:h:0e<:6:18'6de=9=307b?j1;29 7gd28o:7[50z&1ef<5i01C>l>4i06b>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi9o:50;394?6|,;kh6?l<;I0b4>i6m80;6)=zj6=4<:183!4fk3=>7E5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;`8 3432k1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj7E{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637954=#>;>1=<5r}|8m431290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;32?!05<3;:7psr}:k224<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651738R4252;q/:?;5109'272=981vqps4i047>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?94:T207<5s-<997?8;%410?703twvq6g>6483>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;;4V061>7}#>;?1=:5+636952=zutw0e<89:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;<7)8=4;34?x{zu2c:::4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98222=Q9=81>v*924823>"1:=0:;6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi9o650;;94?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?>;%410?763twvq6g>4c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9<<1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74313_;?>747<,?8?6{M0a4?7|^m=1>v*>e98224=Q9=81>v*924823>"1:=0:;6sr}|9j532=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;:4V061>7}#>;?1=:5+636952=zutw0e<8::18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;<7)8=4;34?x{zu2c::;4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9?<0Z<:=:3y'273=9>1/:?:5169~yx{;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{59;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=<5+636954=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>747<,?8?63:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924825>"1:=0:=6sr}|9j537=83.9mn4>489K6dd<^;kn6P5il0:wA659U514=:r.=>84>7:&561<6?2wvqp5f17794?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<8:;W376?4|,?8>6<94$707>4127E{zut1b=;950;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9531<^8>96?u+637952=#>;>1=:5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn8ln:18:>5<7s-8jo79:;I0b4>o6P5il0:wA4`9U514=:r.=>84>1:&561<692wvqp5f15`94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=981/:?:5109~yx{2\:8?4={%411?763-<987?>;|~y>o6>80;6)N5ik1]>lk51zN1f5<6s_n<6?u+1d:9537<^8>96?u+637952=#>;>1=:5r}|8m403290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8;;W376?4|,?8>6<94$707>4127E{zut1b=;850;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28<=7[?;2;0x 34228=0(;<;:058yx{z3`;=;7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;353>P6<;09w)8=5;34?!05<3;<7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th>no4?:883>5}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>64727E{zut1b=8850;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228;0(;<;:038yx{z3`;==7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6>81]=9<52z&560<6?2.=>94>7:~yx=n9?>1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74033_;?>741<,?8?6<94}|~?l71=3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98220=Q9=81>v*924823>"1:=0:;6sr}|9j530=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;84V061>7}#>;?1=:5+636952=zutw0e<88:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;<7)8=4;34?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`6ff<72?0;6=u+2`a95`6<@;k;7d?;a;29 7gd28>27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6o5+6369f>{zut1b=8850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+6379f>"1:=0i7psr}:k224<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::<5Y15096~"1:<0i7)8=4;`8yx{z3`;=87>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;350>P6<;09w)8=5;`8 3432k1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zje09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi9io50;094?6|,;kh6ok4H3c3?l7313:1(?ol:06:?>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d7<729q/>lm5bd9K6d626=4+2`a951?<3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;d8 3432o1vqps4}c7g3?6=;3:14381!05=3h0(;<;:c9~yx{i6=4+2`a951?<^;kn6=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e=m21<7?50;2x 7gd2;h87E29086=4?{%0bg?123A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f0be29036=4?{%0bg?123A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9=h1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742e3_;?>747<,?8?63:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997?8;%410?703twvq6g>6083>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1=:5+636952=zutw0e<8;:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;<7)8=4;34?x{zu2c::84?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9??0Z<:=:3y'273=9>1/:?:5169~yx{2\:8?4={%411?703-<987?8;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d=<729q/>lm5749K6d6j6=4+2`a951?<^;kn64381!05=3;<7)8=4;34?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924823>"1:=0:;6sr}|9j537=83.9mn4>489K6dd<^;kn6P5il0:wA659U514=:r.=>84>7:&561<6?2wvqp5f17794?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<8:;W376?4|,?8>6<94$707>4127E{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a1ab=8321<7>t$3c`>23<@;k;7d?;a;29 7gd28>27E{zut1b=9l50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228;0(;<;:038yx{z3`;>:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;34?!05<3;<7psr}:k224<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::<5Y15096~"1:<0:;6*925823>{zut1b=;:50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?285$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;351>P6<;09w)8=5;34?!05<3;<7psr}:k223<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651748R4252;q/:?;5169'272=9>1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj7E{zut1b=9l50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228;0(;<;:038yx{z3`;>:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;34?!05<3;<7psr}:k224<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651738R4252;q/:?;5169'272=9>1vqps4i047>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?94:T207<5s-<997?8;%410?703twvq6g>6483>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;;4V061>7}#>;?1=:5+636952=zutw0e<89:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;<7)8=4;34?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`6`c<7210;6=u+2`a930=O:h:0e<:n:18'6de=9=30Z?oj:0yO6g6=9r\o;742f3_;?>747<,?8?65783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560<6?2.=>94>7:~yx=n9?;1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74063_;?>741<,?8?6<94}|~?l71<3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98221=Q9=81>v*924823>"1:=0:;6sr}|9j533=83.9mn4>489K6dd<^;kn6P5il0:wA679U514=:r.=>84>7:&561<6?2wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e=l:1<7850;2x 7gd28o;7E5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;`8 3432k1vqps4i075>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 3422k1/:?:5b:~yx=n9?;1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651738R4252;q/:?;5b:&5616583>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>=1]=9<52z&560g=zutw0qom9:181>5<7s-8jo7lj;I0b4>o6<00;6)=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5617<729q/>lm5bd9K6d626=4+2`a951?<3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;d8 3432o1vqps4}ca4>5<4290;w)N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+6379f>"1:=0i7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}ca;>5<6290;w)N5i91d=h?50;&1ef<6m810qom6:182>5<7s-8jo7?kf:J1e5=h9l;1<7*=ab82a4=52;294~"5ij0ii6F=a19j51?=83.9mn4>4898k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn>8j:181>5<7s-8jo7lj;I0b4>o6<00;6)=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5f:&561{M0a4?7|^m=1>v*>e9820d=Q9=81>v*9248a?!05<3h0qpsr;h37f?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{5<6290;w)N5i91d=h?50;&1ef<6m810qo=97;297?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5b:&5614c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0qo=98;297?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5b:&5614c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0qo=99;290?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5179'272=9?1vqps4i06a>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?9;%410?713twvq6g>5783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560<6>2.=>94>6:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&561=9=k0Z<:=:3y'273=9?1/:?:5179~yx{i6=4+2`a951?<^;kn6P5il0:wA579U514=:r.=>84>6:&561<6>2wvqp5f17394?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6<84$707>4027E{zut1b=;;50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28<>7[?;2;0x 34228<0(;<;:048yx{z3`;=:7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6>?1]=9<52z&560<6>2.=>94>6:~yx=n9?=1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74003_;?>740<,?8?6<84}|~?l7103:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9822==Q9=81>v*924822>"1:=0::6sr}|9j53?=83.9mn4>489K6dd<^;kn6P5il0:wA4b9U514=:r.=>84>6:&561<6>2wvqp5f15f94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:k;W376?4|,?8>6<84$707>4027E{zut1b=9h50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>m7[?;2;0x 34228<0(;<;:048yx{z3`;><7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;364>P6<;09w)8=5;`8 3432k1vqps4i072>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?:7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&561=9=k0Z<:=:3y'273=991/:?:5119~yx{i6=4+2`a951?<@;ki7[o6=?0;6)P5il0:wA579U514=:r.=>84>0:&561<682wvqp5f17394?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?;0Z<:=:3y'273=991/:?:5119~yx{4381!05=3;;7)8=4;33?x{zu2c::;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98223=Q9=81>v*924824>"1:=0:<6sr}|9j531=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;94V061>7}#>;?1==5+636955=zutw0e<87:18'6de=9=30Z?oj:0yO6g6=9r\o;740?3_;?>746<,?8?6<>4}|~?l7113:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?99:T207<5s-<997??;%410?773twvq6g>4b83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>0:~yx=n9=n1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515f8R4252;q/:?;5119'272=991vqps4i06f>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>n7[?;2;0x 34228:0(;<;:028yx{z3`;?j7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37b>P6<;09w)8=5;33?!05<3;;7psr}:k215<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651428R4252;q/:?;5119'272=991vqps4i072>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?:1:T207<5s-<997??;%410?773twvq6g>5383>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=;1]=9<52z&560<682.=>94>0:~yx=n9<91<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651418R4252;q/:?;5179'272=9?1vqps4i077>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?:4:T207<5s-<997?9;%410?713twvq6g>5483>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=<1]=9<52z&560g=zutw0e<;7:18'6de=9=30Z?oj:0yO6g6=9r\o;743?3_;?>7g=#>;>1n6sr}|9j50?=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=874V061>7}#>;?1n6*9258a?x{zu2c:9l4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9821d=Q9=81>v*9248a?!05<3h0qpsr;h36f?6=,;kh6<:6;W0ba?7|D;h;6n6X>4381!05=3h0(;<;:c9~yx{=994m;|~y>o6=l0;6)P5il0:wA5d9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<8?;W376?4|,?8>6o5+6369f>{zut1b=;<50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9534<^8>96?u+6379f>"1:=0i7psr}:k226<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::>5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c15g?6=:80;6=u+2`a930=O:h:0e<:n:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;;7)8=4;33?x{zu2c:8o4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=991/:?:5119~yx{4381!05=3;;7)8=4;33?x{zu2c::94?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98221=Q9=81>v*924824>"1:=0:<6sr}|9j533=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;;4V061>7}#>;?1==5+636955=zutw0e<89:18'6de=9=30Z?oj:0yO6g6=9r\o;74013_;?>746<,?8?6<>4}|~?l71?3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?97:T207<5s-<997??;%410?773twvq6g>6983>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>11]=9<52z&560<682.=>94>0:~yx=n9?31<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6517;8R4252;q/:?;5119'272=991vqps4i06`>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>h7[?;2;0x 34228:0(;<;:028yx{z3`;?h7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37`>P6<;09w)8=5;33?!05<3;;7psr}:k20`<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8h5Y15096~"1:<0:<6*925824>{zut1b=9h50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951`<^8>96?u+637955=#>;>1==5r}|8m437290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;364>P6<;09w)8=5;33?!05<3;;7psr}:k214<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651438R4252;q/:?;5119'272=991vqps4i071>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?97[?;2;0x 34228:0(;<;:028yx{z3`;>?7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;367>P6<;09w)8=5;35?!05<3;=7psr}:k211<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651468R4252;q/:?;5179'272=9?1vqps4i076>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?>7[?;2;0x 3422k1/:?:5b:~yx=n9<=1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651458R4252;q/:?;5b:&5615983>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=11]=9<52z&560g=zutw0e<;n:18'6de=9=30Z?oj:0yO6g6=9r\o;743f3_;?>7g=#>;>1n6sr}|9j50d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=8l4V061>7}#>;?1n6*9258a?x{zu2c:9n4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9821f=Q9=81>v*9248a?!05<3h0qpsr;h36`?6=,;kh6<:6;W0ba?7|D;h;6h6X>4381!05=3h0(;<;:c9~yx{=994m;|~y>o6>90;6)P5il0:wA619U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<8<;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a73b=838:6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1==5+636955=zutw0e<:m:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;;7)8=4;33?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924824>"1:=0:<6sr}|9j537=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1==5+636955=zutw0e<8;:18'6de=9=30Z?oj:0yO6g6=9r\o;74033_;?>746<,?8?6<>4}|~?l71=3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?95:T207<5s-<997??;%410?773twvq6g>6783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>?1]=9<52z&560<682.=>94>0:~yx=n9?=1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651758R4252;q/:?;5119'272=991vqps4i04;>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<37[?;2;0x 34228:0(;<;:028yx{z3`;=57>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;35=>P6<;09w)8=5;33?!05<3;;7psr}:k20f<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515a8R4252;q/:?;5119'272=991vqps4i06g>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;d:T207<5s-<997??;%410?773twvq6g>4d83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9k4V061>7}#>;?1==5+636955=zutw0e<:i:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;;7)8=4;33?x{zu2c:9=4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9<:0Z<:=:3y'273=991/:?:5119~yx{o6=;0;6)P5il0:wA539U514=:r.=>84>0:&561<682wvqp5f14194?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9<90Z<:=:3y'273=9?1/:?:5179~yx{o6=<0;6)P5il0:wA549U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;7;W376?4|,?8>6o5+6369f>{zut1b=8750;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:950?<^8>96?u+6379f>"1:=0i7psr}:k21d<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9l5Y15096~"1:<0i7)8=4;`8yx{z3`;>n7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;36f>P6<;09w)8=5;`8 3432k1vqps4i07`>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?h7[?;2;0x 3422k1/:?:5b:~yx=n95d83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=l1]=9<52z&560g=zutw0e<8?:18'6de=9=30Z?oj:0yO6g6=9r\o;74073_;?>7g=#>;>1n6sr}|9j534=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;<4V061>7}#>;?1n6*9258a?x{zu2c::>4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98226=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{58;294~"5ij0:i=5G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6o5+6369f>{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+6379f>"1:=0i7psr}:k213<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9;5Y15096~"1:<0i7)8=4;`8yx{z3`;==7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;355>P6<;09w)8=5;`8 3432k1vqps4i047>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?286783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>?1]=9<52z&560g=zutw0qo750;094?6|,;kh6ok4H3c3?l7313:1(?ol:06:?>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d5<7s-8jo7lj;I0b4>o6<00;6)=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5616<729q/>lm52`;8L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5617E{zut1b=9l50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228<0(;<;:048yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c694?46290;w)=9=k0Z<:=:3y'273=981/:?:5109~yx{i6=4+2`a951?<@;ki7[;|~y>o6=?0;6)P5il0:wA579U514=:r.=>84>1:&561<692wvqp5f17394?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?;0Z<:=:3y'273=981/:?:5109~yx{4381!05=3;:7)8=4;32?x{zu2c::;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98223=Q9=81>v*924825>"1:=0:=6sr}|9j531=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;94V061>7}#>;?1=<5+636954=zutw0e<87:18'6de=9=30Z?oj:0yO6g6=9r\o;740?3_;?>747<,?8?6;%410?763twvq6g>4b83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9=n1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515f8R4252;q/:?;5109'272=981vqps4i06f>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>n7[?;2;0x 34228;0(;<;:038yx{z3`;?j7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37b>P6<;09w)8=5;32?!05<3;:7psr}:k215<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651428R4252;q/:?;5109'272=981vqps4i072>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?:7[?;2;0x 34228;0(;<;:038yx{z3`;>>7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;366>P6<;09w)8=5;34?!05<3;<7psr}:k216<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9>5Y15096~"1:<0:;6*925823>{zut1b=8:50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28??7[?;2;0x 34228=0(;<;:058yx{z3`;>97>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;361>P6<;09w)8=5;34?!05<3;<7psr}:k212<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9:5Y15096~"1:<0i7)8=4;`8yx{z3`;>47>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;36<>P6<;09w)8=5;`8 3432k1vqps4i07:>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?27[?;2;0x 3422k1/:?:5b:~yx=n95c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=k1]=9<52z&560g=zutw0e<;k:18'6de=9=30Z?oj:0yO6g6=9r\o;743c3_;?>7g=#>;>1n6sr}|9j50c=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=8k4V061>7}#>;?1n6*9258a?x{zu2c:9k4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9821c=Q9=81>v*9248a?!05<3h0qpsr;h354?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{=9?90Z<:=:3y'273=j2.=>94m;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d42f3_;?>747<,?8?6{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924825>"1:=0:=6sr}|9j500=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1=<5+636954=zutw0e<8>:18'6de=9=30Z?oj:0yO6g6=9r\o;74063_;?>747<,?8?6;%410?763twvq6g>6483>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6><1]=9<52z&560<692.=>94>1:~yx=n9?<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651748R4252;q/:?;5109'272=981vqps4i044>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<<7[?;2;0x 34228;0(;<;:038yx{z3`;=47>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;35<>P6<;09w)8=5;32?!05<3;:7psr}:k22<<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::45Y15096~"1:<0:=6*925825>{zut1b=9m50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>h7[?;2;0x 34228;0(;<;:038yx{z3`;?h7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9=o1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742b3_;?>747<,?8?6{M0a4?7|^m=1>v*>e9820c=Q9=81>v*924825>"1:=0:=6sr}|9j506=83.9mn4>489K6dd<^;kn6=6X>4381!05=3;:7)8=4;32?x{zu2c:9?4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98217=Q9=81>v*924823>"1:=0:;6sr}|9j505=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=8=4V061>7}#>;?1=:5+636952=zutw0e<;;:18'6de=9=30D?om;W0ba?7|D;h;686X>4381!05=3;<7)8=4;34?x{zu2c:984?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98210=Q9=81>v*924823>"1:=0:;6sr}|9j501=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=894V061>7}#>;?1n6*9258a?x{zu2c:954?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9821==Q9=81>v*9248a?!05<3h0qpsr;h36=?6=,;kh6<:6;W0ba?7|D;h;656X>4381!05=3h0(;<;:c9~yx{=994m;|~y>o6=j0;6)P5il0:wA5b9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;j;W376?4|,?8>6o5+6369f>{zut1b=8h50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:950`<^8>96?u+6379f>"1:=0i7psr}:k225<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::=5Y15096~"1:<0i7)8=4;`8yx{z3`;=>7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;356>P6<;09w)8=5;`8 3432k1vqps4i040>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<87[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&561lm5749K6d6j6=4+2`a951?<@;ki7[;|~y>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637954=#>;>1=<5r}|8m431290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>64727[;|~y>o6>=0;6)P5il0:wA659U514=:r.=>84>1:&561<692wvqp5f17794?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9??0Z<:=:3y'273=981/:?:5109~yx{4381!05=3;:7)8=4;32?x{zu2c::54?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9822==Q9=81>v*924825>"1:=0:=6sr}|9j53?=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;74V061>7}#>;?1=<5+636954=zutw0e<:l:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:8i4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9=n0Z<:=:3y'273=981/:?:5109~yx{n6=4+2`a951?<@;ki7[;|~y>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951`<^8>96?u+637954=#>;>1=<5r}|8m437290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;364>P6<;09w)8=5;32?!05<3;:7psr}:k214<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9<5Y15096~"1:<0:=6*925825>{zut1b=8<50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9504<^8>96?u+637952=#>;>1=:5r}|8m434290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<;<;W376?4|,?8>6<94$707>4127E{zut1b=8;50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9503<^8>96?u+637952=#>;>1=:5r}|8m430290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<;8;W376?4|,?8>6o5+6369f>{zut1b=8650;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:950><^8>96?u+6379f>"1:=0i7psr}:k21<<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:945Y15096~"1:<0i7)8=4;`8yx{z3`;>m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;36e>P6<;09w)8=5;`8 3432k1vqps4i07a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?i7[?;2;0x 3422k1/:?:5b:~yx=n95e83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=m1]=9<52z&560g=zutw0e<;i:18'6de=9=30Z?oj:0yO6g6=9r\o;743a3_;?>7g=#>;>1n6sr}|9j536=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;>4V061>7}#>;?1n6*9258a?x{zu2c::?4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98227=Q9=81>v*9248a?!05<3h0qpsr;h357?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{1<729q/>lm51d28L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&5607>50z&1ef5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zjmh1<7=50;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3;>7)8=4;36?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924821>"1:=0:96sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wihn4?:583>5}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>64727[;|~y>o6=?0;6)N5ik1]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+637952=#>;>1=:5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vnij50;694?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?>;%410?763twvq6g>4c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9<<1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74313_;?>741<,?8?6<94}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qojj:187>5<7s-8jo79:;I0b4>o6P5il0:wA4`9U514=:r.=>84>1:&561<692wvqp5f15`94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=981/:?:5109~yx{2\:8?4={%411?703-<987?8;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228;0(;<;:038yx{z3`;>:7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560<6?2.=>94>7:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5611<729q/>lm5749K6d6j6=4+2`a951?<^;kn64381!05=3;:7)8=4;32?x{zu2c:9;4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9<<0Z<:=:3y'273=9>1/:?:5169~yx{{M0a4?7|^m=1>v*>e9820d=Q9=81>v*9248a?!05<3h0qpsr;h37f?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{=9?;0Z<:=:3y'273=j2.=>94m;|~y>o6>=0;6)P5il0:wA659U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vnh<50;094?6|,;kh6ok4H3c3?l7313:1(?ol:06:?>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228?0(;<;:078yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}cg7>5<4290;w){M0a4?7|^m=1>v*>e9820d=Q9=81>v*924823>"1:=0:;6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=:5+636952=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f`3=8391<7>t$3c`>23<@;k;7d?;a;29 7gd28>27[o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637952=#>;>1=:5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vnh850;694?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820d=Q9=81>v*924825>"1:=0:=6sr}|9j51d=83.9mn4>489K6dd<^;kn6:6X>4381!05=3;<7)8=4;34?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`f3?6=<3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637952=#>;>1=:5r}|8m42e290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;34?!05<3;<7psr}:k213<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9;5Y15096~"1:<0:;6*925823>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:aa=<72=0;6=u+2`a930=O:h:0e<:n:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;<7)8=4;34?x{zu2c:8o4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=9>1/:?:5169~yx{2\:8?4={%411?703-<987?8;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?de19K6d6j6=4+2`a951?<^;kn6=9=h0Z<:=:3y'273=j2.=>94m;|~y>o6=?0;6)P5il0:wA579U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<8;;W376?4|,?8>6o5+6369f>{zut1b=;;50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9533<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3thnm7>52;294~"5ij0ii6F=a19j51?=83.9mn4>4898k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vnhl50;194?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?:;%410?723twvq6g>4c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>5:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5611<729q/>lm5749K6d6j6=4+2`a951?<@;ki7[o6P5il0:wA4c9U514=:r.=>84>7:&561<6?2wvqp5f14494?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9<<0Z<:=:3y'273=9>1/:?:5169~yx{5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>7:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5169'272=9>1vqps4i075>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228=0(;<;:058yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}cgf>5<3290;w)=9=k0Z<:=:3y'273=9>1/:?:5169~yx{i6=4+2`a951?<^;kn6:6X>4381!05=3;<7)8=4;34?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`fb?6=<3:1N5i91b=9o50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228=0(;<;:058yx{z3`;?n7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;34?!05<3;<7psr}:k213<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9;5Y15096~"1:<0:;6*925823>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:ab5<72;;1<7>t$3c`>23<@;k;7d?;a;29 7gd28>27E{zut1b=9l50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228;0(;<;:038yx{z3`;>:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;32?!05<3;:7psr}:k224<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::<5Y15096~"1:<0:=6*925825>{zut1b=;:50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9532<^8>96?u+637954=#>;>1=<5r}|8m402290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8:;W376?4|,?8>64727[2\:8?4={%411?763-<987?>;|~y>o6>>0;6)P5il0:wA669U514=:r.=>84>1:&561<692wvqp5f17:94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?20Z<:=:3y'273=981/:?:5109~yx{4381!05=3;:7)8=4;32?x{zu2c:8i4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820a=Q9=81>v*924825>"1:=0:=6sr}|9j51c=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9k4V061>7}#>;?1=<5+636954=zutw0e<:i:18'6de=9=30Z?oj:0yO6g6=9r\o;742a3_;?>747<,?8?6{M0a4?7|^m=1>v*>e98215=Q9=81>v*924825>"1:=0:=6sr}|9j507=83.9mn4>489K6dd<^;kn6>6X>4381!05=3;:7)8=4;32?x{zu2c:9>4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98216=Q9=81>v*924823>"1:=0:;6sr}|9j502=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=8:4V061>7}#>;?1=:5+636952=zutw0e<;::18'6de=9=30D?om;W0ba?7|D;h;696X>4381!05=3;<7)8=4;34?x{zu2c:9:4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98212=Q9=81>v*9248a?!05<3h0qpsr;h3646X>4381!05=3h0(;<;:c9~yx{=994m;|~y>o6=k0;6)P5il0:wA5c9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;k;W376?4|,?8>6o5+6369f>{zut1b=8k50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:950c<^8>96?u+6379f>"1:=0i7psr}:k21c<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9k5Y15096~"1:<0i7)8=4;`8yx{z3`;=<7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;354>P6<;09w)8=5;`8 3432k1vqps4i041>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<97[?;2;0x 3422k1/:?:5b:~yx=n9?91<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651718R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&56050z&1ef<6m91C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422k1/:?:5b:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5b:&5615783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560g=zutw0e<8;:18'6de=9=30Z?oj:0yO6g6=9r\o;74033_;?>7g=#>;>1n6sr}|9j533=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;;4V061>7}#>;?1n6*9258a?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`e6?6=:3:1N5i91b=9750;&1ef<6<010c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~fc5=83>1<7>t$3c`>23<@;k;7d?;a;29 7gd28>27E{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637952=#>;>1=:5r}|8m431290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;34?!05<3;<7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3thm87>54;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=:5+636952=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>741<,?8?6<94}|~?l72>3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924823>"1:=0:;6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wij84?:283>5}#:hi1=h>4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wij;4?:383>5}#:hi1nh5G2`28m42>290/>lm515;8?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qoh8:180>5<7s-8jo79:;I0b4>o6P5il0:wA4`9U514=:r.=>84>5:&561<6=2wvqp5f15`94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=9<1/:?:5149~yx{=9=k0Z<:=:3y'273=981/:?:5109~yx{i6=4+2`a951?<@;ki7[;|~y>o6=?0;6)P5il0:wA579U514=:r.=>84>1:&561<692wvqp5f17394?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?;0Z<:=:3y'273=981/:?:5109~yx{4381!05=3;:7)8=4;32?x{zu2c::;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98223=Q9=81>v*924825>"1:=0:=6sr}|9j531=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;94V061>7}#>;?1=<5+636954=zutw0e<87:18'6de=9=30Z?oj:0yO6g6=9r\o;740?3_;?>747<,?8?6;%410?763twvq6g>4b83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9=n1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515f8R4252;q/:?;5109'272=981vqps4i06f>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>n7[?;2;0x 34228;0(;<;:038yx{z3`;?j7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37b>P6<;09w)8=5;32?!05<3;:7psr}:k215<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651428R4252;q/:?;5109'272=981vqps4i072>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?:1:T207<5s-<997?>;%410?763twvq6g>5383>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=;1]=9<52z&560<692.=>94>1:~yx=n9<91<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651418R4252;q/:?;5169'272=9>1vqps4i077>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28??7[?;2;0x 34228=0(;<;:058yx{z3`;>97>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6=<1]=9<52z&560<6?2.=>94>7:~yx=n9<=1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651458R4252;q/:?;5b:&5615983>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=11]=9<52z&560g=zutw0e<;n:18'6de=9=30Z?oj:0yO6g6=9r\o;743f3_;?>7g=#>;>1n6sr}|9j50d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=8l4V061>7}#>;?1n6*9258a?x{zu2c:9n4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9821f=Q9=81>v*9248a?!05<3h0qpsr;h36`?6=,;kh6<:6;W0ba?7|D;h;6h6X>4381!05=3h0(;<;:c9~yx{=994m;|~y>o6>90;6)P5il0:wA619U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<8<;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:ab<<72;;1<7>t$3c`>23<@;k;7d?;a;29 7gd28>27E{zut1b=9l50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228;0(;<;:038yx{z3`;>:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;32?!05<3;:7psr}:k224<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::<5Y15096~"1:<0:=6*925825>{zut1b=;:50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9532<^8>96?u+637954=#>;>1=<5r}|8m402290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8:;W376?4|,?8>64727[2\:8?4={%411?763-<987?>;|~y>o6>>0;6)P5il0:wA669U514=:r.=>84>1:&561<692wvqp5f17:94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?20Z<:=:3y'273=981/:?:5109~yx{4381!05=3;:7)8=4;32?x{zu2c:8i4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820a=Q9=81>v*924825>"1:=0:=6sr}|9j51c=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9k4V061>7}#>;?1=<5+636954=zutw0e<:i:18'6de=9=30Z?oj:0yO6g6=9r\o;742a3_;?>747<,?8?6{M0a4?7|^m=1>v*>e98215=Q9=81>v*924825>"1:=0:=6sr}|9j507=83.9mn4>489K6dd<^;kn6>6X>4381!05=3;:7)8=4;32?x{zu2c:9>4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98216=Q9=81>v*924823>"1:=0:;6sr}|9j502=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=8:4V061>7}#>;?1=:5+636952=zutw0e<;::18'6de=9=30D?om;W0ba?7|D;h;696X>4381!05=3;<7)8=4;34?x{zu2c:9:4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98212=Q9=81>v*9248a?!05<3h0qpsr;h3646X>4381!05=3h0(;<;:c9~yx{=994m;|~y>o6=k0;6)P5il0:wA5c9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;k;W376?4|,?8>6o5+6369f>{zut1b=8k50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:950c<^8>96?u+6379f>"1:=0i7psr}:k21c<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9k5Y15096~"1:<0i7)8=4;`8yx{z3`;=<7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;354>P6<;09w)8=5;`8 3432k1vqps4i041>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<97[?;2;0x 3422k1/:?:5b:~yx=n9?91<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651718R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560<4?:1y'6de=?<1C>l>4i06b>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?>;%410?763twvq6g>4c83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=<5+636954=zutw0e<;9:18'6de=9=30Z?oj:0yO6g6=9r\o;74313_;?>747<,?8?6;%410?763twvq6g>6583>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>=1]=9<52z&560<692.=>94>1:~yx=n9??1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651778R4252;q/:?;5109'272=981vqps4i045>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<=7[?;2;0x 34228;0(;<;:038yx{z3`;=;7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;353>P6<;09w)8=5;32?!05<3;:7psr}:k22=<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::55Y15096~"1:<0:=6*925825>{zut1b=;750;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:953?<^8>96?u+637954=#>;>1=<5r}|8m42d290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:l;W376?4|,?8>64727[;|~y>o6P5il0:wA4d9U514=:r.=>84>1:&561<692wvqp5f15d94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=l0Z<:=:3y'273=981/:?:5109~yx{;|~y>o6=80;6)P5il0:wA509U514=:r.=>84>1:&561<692wvqp5f14094?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9<80Z<:=:3y'273=9>1/:?:5169~yx{P5il0:wA559U514=:r.=>84>7:&561<6?2wvqp5f14794?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=91/:?:5169~yx{=9<20Z<:=:3y'273=j2.=>94m;|~y>o6=00;6)P5il0:wA589U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;m;W376?4|,?8>6o5+6369f>{zut1b=8m50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:950e<^8>96?u+6379f>"1:=0i7psr}:k21a<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9i5Y15096~"1:<0i7)8=4;`8yx{z3`;>i7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;36a>P6<;09w)8=5;`8 3432k1vqps4i07e>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?m7[?;2;0x 3422k1/:?:5b:~yx=n9?:1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651728R4252;q/:?;5b:&5616383>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>;1]=9<52z&560g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~fcd=838:6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=<5+636954=zutw0e<:m:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924825>"1:=0:=6sr}|9j537=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1=<5+636954=zutw0e<8;:18'6de=9=30Z?oj:0yO6g6=9r\o;74033_;?>747<,?8?6;%410?763twvq6g>6783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>?1]=9<52z&560<692.=>94>1:~yx=n9?=1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651758R4252;q/:?;5109'272=981vqps4i04;>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<37[?;2;0x 34228;0(;<;:038yx{z3`;=57>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;35=>P6<;09w)8=5;32?!05<3;:7psr}:k20f<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515a8R4252;q/:?;5109'272=981vqps4i06g>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;d:T207<5s-<997?>;%410?763twvq6g>4d83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9k4V061>7}#>;?1=<5+636954=zutw0e<:i:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:9=4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9<:0Z<:=:3y'273=981/:?:5109~yx{;|~y>o6=;0;6)P5il0:wA539U514=:r.=>84>1:&561<692wvqp5f14194?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9<90Z<:=:3y'273=9>1/:?:5169~yx{P5il0:wA549U514=:r.=>84>7:&561<6?2wvqp5f14594?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9<=0Z<:=:3y'273=j2.=>94m;|~y>o6=10;6)P5il0:wA599U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;n;W376?4|,?8>6o5+6369f>{zut1b=8l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:950d<^8>96?u+6379f>"1:=0i7psr}:k21f<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9n5Y15096~"1:<0i7)8=4;`8yx{z3`;>h7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;36`>P6<;09w)8=5;`8 3432k1vqps4i07f>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?n7[?;2;0x 3422k1/:?:5b:~yx=n96183>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>91]=9<52z&560g=zutw0e<8<:18'6de=9=30Z?oj:0yO6g6=9r\o;74043_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wijn4?:3394?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820d=Q9=81>v*924825>"1:=0:=6sr}|9j51d=83.9mn4>489K6dd<^;kn6:6X>4381!05=3;:7)8=4;32?x{zu2c::<4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*924825>"1:=0:=6sr}|9j532=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;:4V061>7}#>;?1=<5+636954=zutw0e<8::18'6de=9=30Z?oj:0yO6g6=9r\o;74023_;?>747<,?8?63:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?96:T207<5s-<997?>;%410?763twvq6g>6683>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>>1]=9<52z&560<692.=>94>1:~yx=n9?21<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6517:8R4252;q/:?;5109'272=981vqps4i04:>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<27[?;2;0x 34228;0(;<;:038yx{z3`;?o7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9=n1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742c3_;?>747<,?8?6{M0a4?7|^m=1>v*>e9820`=Q9=81>v*924825>"1:=0:=6sr}|9j51`=83.9mn4>489K6dd<^;kn6P5il0:wA519U514=:r.=>84>1:&561<692wvqp5f14394?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<;>;W376?4|,?8>64727[;|~y>o6=:0;6)P5il0:wA529U514=:r.=>84>7:&561<6?2wvqp5f14694?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9<>0Z<:=:3y'273=9>1/:?:5169~yx{6=4+2`a951?<@;ki7[o6=>0;6)P5il0:wA569U514=:r.=>84m;%410?d27[290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<;6;W376?4|,?8>6o5+6369f>{zut1b=8o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:950g<^8>96?u+6379f>"1:=0i7psr}:k21g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9o5Y15096~"1:<0i7)8=4;`8yx{z3`;>o7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;36g>P6<;09w)8=5;`8 3432k1vqps4i07g>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?o7[?;2;0x 3422k1/:?:5b:~yx=n95g83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=o1]=9<52z&560g=zutw0e<8=:18'6de=9=30Z?oj:0yO6g6=9r\o;74053_;?>7g=#>;>1n6sr}|9j535=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;=4V061>7}#>;?1n6*9258a?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`e`?6=?3:142f3_;?>7g=#>;>1n6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1n6*9258a?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*9248a?!05<3h0qpsr;h355?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{=9??0Z<:=:3y'273=j2.=>94m;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;36?!05<3;>7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0:96*925821>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a556=83>1<7>t$3c`>23<@;k;7d?;a;29 7gd28>27E{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637952=#>;>1=:5r}|8m431290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>6<94$707>4150z&1ef<0=2B9m=5f15c94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<94$707>4127[o6=?0;6)P5il0:wA579U514=:r.=>84>7:&561<6?2wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e9981<7:50;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;I0bf>P5il0:wA4`9U514=:r.=>84>7:&561<6?2wvqp5f15`94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=9>1/:?:5169~yx{;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{54;294~"5ij0<96F=a19j51g=83.9mn4>489K6dd<^;kn64381!05=3;<7)8=4;34?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924823>"1:=0:;6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi==:50;694?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820d=Q9=81>v*924823>"1:=0:;6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=:5+636952=zutw0e<;9:18'6de=9=30Z?oj:0yO6g6=9r\o;74313_;?>741<,?8?6<94}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo??5;293?6=8r.9mn4>e19K6d6j6=4+2`a951?<^;kn6=9=h0Z<:=:3y'273=j2.=>94m;|~y>o6=?0;6)P5il0:wA579U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<8;;W376?4|,?8>6o5+6369f>{zut1b=;;50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9533<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th:<;4?:383>5}#:hi1nh5G2`28m42>290/>lm515;8?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo??7;291?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742f3_;?>747<,?8?6;%410?763twvq6g>5783>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1=<5+636954=zutw0e<8>:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`24=<72<0;6=u+2`a930=O:h:0e<:n:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:8o4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=981/:?:5109~yx{2\:8?4={%411?763-<987?>;|~y>o6>80;6)N5ik1]>lk51zN1f5<6s_n<6?u+1d:9537<^8>96?u+637952=#>;>1=:5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn<>6:180>5<7s-8jo7?j0:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5b:&5614c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0qo??a;296?6=8r.9mn4me:J1e5=n9=31<7*=ab820<=5<4290;w)=9=k0Z<:=:3y'273=9>1/:?:5169~yx{i6=4+2`a951?<^;kn6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{53;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=:5+636952=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>741<,?8?6<94}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo??d;297?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5169'272=9>1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228=0(;<;:058yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c33a?6=;3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637952=#>;>1=:5r}|8m42e290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<94$707>4150z&1ef<0=2B9m=5f15c94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<94$707>4127E{zut1b=8850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+637952=#>;>1=:5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn5<7s-8jo7?j0:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5b:&5614c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<63:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997l4$707>g=zutw0e<8>:18'6de=9=30Z?oj:0yO6g6=9r\o;74063_;?>7g=#>;>1n6sr}|9j532=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;:4V061>7}#>;?1n6*9258a?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`254<72;0;6=u+2`a9f`=O:h:0e<:6:18'6de=9=307b?j1;29 7gd28o:7[50z&1ef<0=2B9m=5f15c94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>64727E{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a545=8391<7>t$3c`>23<@;k;7d?;a;29 7gd28>27[;|~y>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637952=#>;>1=:5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn5<7s-8jo79:;I0b4>o6P5il0:wA4`9U514=:r.=>84>7:&561<6?2wvqp5f15`94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=9>1/:?:5169~yx{5<3290;w)N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+6379f>"1:=0i7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0i7)8=4;`8yx{z3`;>:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;`8 3432k1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj8;=6=4=:183!4fk3hn7Ee09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi=<950;194?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?:;%410?723twvq6g>4c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>5:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;32?!05<3;:7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0:;6*925823>{zut1b=8850;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228=0(;<;:058yx{z3`;==7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;355>P6<;09w)8=5;34?!05<3;<7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th:=44?:483>5}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>64727[o6=?0;6)N5ik1]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+637952=#>;>1=:5r}|8m406290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6<94$707>4150z&1ef<0=2B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=9>1/:?:5169~yx{i6=4+2`a951?<@;ki7[o6=?0;6)P5il0:wA579U514=:r.=>84>7:&561<6?2wvqp5f17394?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6<94$707>4150z&1ef<0=2B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=981/:?:5109~yx{i6=4+2`a951?<@;ki7[o6=?0;6)P5il0:wA579U514=:r.=>84>7:&561<6?2wvqp5f17394?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6<94$707>4127[i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d1b83>2<729q/>lm5749K6d6j6=4+2`a951?<@;ki7[;|~y>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637954=#>;>1=<5r}|8m431290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;32?!05<3;:7psr}:k224<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::<5Y15096~"1:<0:;6*925823>{zut1b=;:50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9532<^8>96?u+637952=#>;>1=:5r}|8m402290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8:;W376?4|,?8>6<94$707>4150z&1ef<6m91C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422k1/:?:5b:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5b:&5615783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560g=zutw0e<8;:18'6de=9=30Z?oj:0yO6g6=9r\o;74033_;?>7g=#>;>1n6sr}|9j533=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;;4V061>7}#>;?1n6*9258a?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`25`<72;0;6=u+2`a9f`=O:h:0e<:6:18'6de=9=307b?j1;29 7gd28o:7[50z&1ef<0=2B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=9<1/:?:5149~yx{i6=4+2`a951?<^;kn6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{55;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=<5+636954=zutw0e<:m:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;<7)8=4;34?x{zu2c:9;4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9<<0Z<:=:3y'273=9>1/:?:5169~yx{;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{56;294~"5ij0<96F=a19j51g=83.9mn4>489K6dd<^;kn6P5il0:wA4c9U514=:r.=>84>1:&561<692wvqp5f14494?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>64727E{zut1b=;:50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9532<^8>96?u+637952=#>;>1=:5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn<<=:185>5<7s-8jo79:;I0b4>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637954=#>;>1=<5r}|8m42e290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;32?!05<3;:7psr}:k213<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5109'272=981vqps4i042>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?91:T207<5s-<997?8;%410?703twvq6g>6583>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>=1]=9<52z&560<6?2.=>94>7:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9=h1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742e3_;?>747<,?8?63:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924825>"1:=0:=6sr}|9j537=83.9mn4>489K6dd<^;kn64381!05=3;<7)8=4;34?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`261<72?0;6=u+2`a930=O:h:0e<:n:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:8o4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=981/:?:5109~yx{P5il0:wA609U514=:r.=>84>7:&561<6?2wvqp5f17694?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?>0Z<:=:3y'273=9>1/:?:5169~yx{5<0290;w)N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+6379f>"1:=0i7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0i7)8=4;`8yx{z3`;>:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;`8 3432k1vqps4i042>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<:7[?;2;0x 3422k1/:?:5b:~yx=n9?>1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651768R4252;q/:?;5b:&5616483>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6><1]=9<52z&560g=zutw0qo?=6;296?6=8r.9mn4me:J1e5=n9=31<7*=ab820<=5<3290;w)=9=k0Z<:=:3y'273=981/:?:5109~yx{i6=4+2`a951?<@;ki7[;|~y>o6=?0;6)P5il0:wA579U514=:r.=>84>7:&561<6?2wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e9;21<7<50;2x 7gd2ko0D?o?;h37=?6=,;kh6<:6;:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th:>44?:883>5}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>64727E{zut1b=8850;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228=0(;<;:058yx{z3`;==7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;355>P6<;09w)8=5;34?!05<3;<7psr}:k221<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651768R4252;q/:?;5169'272=9>1vqps4i046>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?95:T207<5s-<997?8;%410?703twvq6g>6783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>?1]=9<52z&560<6?2.=>94>7:~yx=n9?=1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74003_;?>741<,?8?6<94}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo?=a;2954<729q/>lm5749K6d6j6=4+2`a951?<^;kn64381!05=3;:7)8=4;32?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924825>"1:=0:=6sr}|9j537=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1=<5+636954=zutw0e<8;:18'6de=9=30Z?oj:0yO6g6=9r\o;74033_;?>747<,?8?6;%410?763twvq6g>6783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>?1]=9<52z&560<692.=>94>1:~yx=n9?=1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74003_;?>747<,?8?6{M0a4?7|^m=1>v*>e9822==Q9=81>v*924825>"1:=0:=6sr}|9j53?=83.9mn4>489K6dd<^;kn6P5il0:wA4b9U514=:r.=>84>7:&561<6?2wvqp5f15f94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:k;W376?4|,?8>6<94$707>4127[o6P5il0:wA4g9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;>;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a57d=83;:6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5109'272=981vqps4i075>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228;0(;<;:038yx{z3`;==7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;355>P6<;09w)8=5;32?!05<3;:7psr}:k221<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::95Y15096~"1:<0:=6*925825>{zut1b=;;50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9533<^8>96?u+637954=#>;>1=<5r}|8m401290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<89;W376?4|,?8>64727E{zut1b=;650;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28<37[?;2;0x 34228=0(;<;:058yx{z3`;=57>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;35=>P6<;09w)8=5;34?!05<3;<7psr}:k20f<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515a8R4252;q/:?;5169'272=9>1vqps4i06g>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;d:T207<5s-<997?8;%410?703twvq6g>4d83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>7:~yx=n9=l1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515d8R4252;q/:?;5b:&5615183>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=91]=9<52z&560g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f44d290:=7>50z&1ef<0=2B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=981/:?:5109~yx{i6=4+2`a951?<^;kn6:6X>4381!05=3;:7)8=4;32?x{zu2c::<4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*924825>"1:=0:=6sr}|9j532=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;:4V061>7}#>;?1=<5+636954=zutw0e<8::18'6de=9=30Z?oj:0yO6g6=9r\o;74023_;?>747<,?8?63:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?96:T207<5s-<997?>;%410?763twvq6g>6683>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;94V061>7}#>;?1=<5+636954=zutw0e<87:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c::44?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9?30Z<:=:3y'273=9>1/:?:5169~yx{h6=4+2`a951?<@;ki7[o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951b<^8>96?u+637952=#>;>1=:5r}|8m42b290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:j;W376?4|,?8>6<94$707>4127[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;?;W376?4|,?8>6o5+6369f>{zut1b=8?50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9507<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th:>i4?:0394?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?>;%410?763twvq6g>4c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5109'272=981vqps4i042>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<:7[?;2;0x 34228;0(;<;:038yx{z3`;=87>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;350>P6<;09w)8=5;32?!05<3;:7psr}:k220<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::85Y15096~"1:<0:=6*925825>{zut1b=;850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9530<^8>96?u+637954=#>;>1=<5r}|8m400290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;353>P6<;09w)8=5;32?!05<3;:7psr}:k22=<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6517:8R4252;q/:?;5169'272=9>1vqps4i04:>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<27[?;2;0x 34228=0(;<;:058yx{z3`;?o7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>7:~yx=n9=n1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742c3_;?>741<,?8?6<94}|~?l73m3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;e:T207<5s-<997?8;%410?703twvq6g>4g83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0e<;>:18'6de=9=30Z?oj:0yO6g6=9r\o;74363_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi=?k50;494?6|,;kh6o6P5il0:wA4`9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>6o5+6369f>{zut1b=;?50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9537<^8>96?u+6379f>"1:=0i7psr}:k221<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::95Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c31b?6=:3:1N5i91b=9750;&1ef<6<010c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f45729086=4?{%0bg?123A8j<6g>4`83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=:5+636952=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>741<,?8?6<94}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo?<1;296?6=8r.9mn4me:J1e5=n9=31<7*=ab820<=5<4290;w){M0a4?7|^m=1>v*>e9820d=Q9=81>v*924821>"1:=0:96sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=85+636950=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f454290?6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=:5+636952=zutw0e<:m:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;<7)8=4;34?x{zu2c:9;4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9<<0Z<:=:3y'273=9>1/:?:5169~yx{5<2290;w){M0a4?7|^m=1>v*>e9820d=Q9=81>v*924825>"1:=0:=6sr}|9j51d=83.9mn4>489K6dd<^;kn6P5il0:wA579U514=:r.=>84>7:&561<6?2wvqp5f17394?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?;0Z<:=:3y'273=9>1/:?:5169~yx{5<1290;w)=9=k0Z<:=:3y'273=981/:?:5109~yx{i6=4+2`a951?<@;ki7[;|~y>o6=?0;6)N5ik1]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+637954=#>;>1=<5r}|8m406290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;355>P6<;09w)8=5;34?!05<3;<7psr}:k221<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::95Y15096~"1:<0:;6*925823>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a560=83<1<7>t$3c`>23<@;k;7d?;a;29 7gd28>27E{zut1b=9l50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228;0(;<;:038yx{z3`;>:7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560<692.=>94>1:~yx=n9?;1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74063_;?>741<,?8?6<94}|~?l71<3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?94:T207<5s-<997?8;%410?703twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&5600;6;4?:1y'6de=?<1C>l>4i06b>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?>;%410?763twvq6g>4c83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=<5+636954=zutw0e<;9:18'6de=9=30Z?oj:0yO6g6=9r\o;74313_;?>747<,?8?6{M0a4?7|^m=1>v*>e98224=Q9=81>v*924823>"1:=0:;6sr}|9j532=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;:4V061>7}#>;?1=:5+636952=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f45?290<6=4?{%0bg?7b82B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4c9U514=:r.=>84m;%410?d27[2\:8?4={%411?d<,?8?6o5r}|8m406290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6o5+6369f>{zut1b=;:50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9532<^8>96?u+6379f>"1:=0i7psr}:k220<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::85Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c30=?6=:3:1N5i91b=9750;&1ef<6<010c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f45f29086=4?{%0bg?123A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>5:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5149'272=9<1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj89i6=4;:183!4fk3=>7E1vqps4i06a>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?8;%410?703twvq6g>5783>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1=:5+636952=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f45d290>6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9=h1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742e3_;?>741<,?8?6<94}|~?l72>3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924823>"1:=0:;6sr}|9j537=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1=:5+636952=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f45c290=6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=<5+636954=zutw0e<:m:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:9;4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9<<0Z<:=:3y'273=981/:?:5109~yx{o6>=0;6)P5il0:wA659U514=:r.=>84>7:&561<6?2wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e9:o1<7850;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;I0bf>P5il0:wA4`9U514=:r.=>84>1:&561<692wvqp5f15`94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>64727E{zut1b=;?50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28<:7[?;2;0x 34228=0(;<;:058yx{z3`;=87>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;350>P6<;09w)8=5;34?!05<3;<7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th:?k4?:783>5}#:hi1;85G2`28m42f290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;32?!05<3;:7psr}:k20g<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5109'272=981vqps4i075>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228;0(;<;:038yx{z3`;==7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6>81]=9<52z&560<6?2.=>94>7:~yx=n9?>1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651768R4252;q/:?;5169'272=9>1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj8>;6=48:183!4fk3;n<6F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1n6*9258a?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*9248a?!05<3h0qpsr;h362?6=,;kh6<:6;W0ba?7|D;h;6:6X>4381!05=3h0(;<;:c9~yx{=9?>0Z<:=:3y'273=j2.=>94m;|~y>o6><0;6)P5il0:wA649U514=:r.=>84m;%410?de09>752=9=k01>>::06b?82d13;?m63;c`820d=::lh1=9o4=3g`>42f349>;7?;a:?01=<6j70:n6;37e>;3i>0:8l524`:951g<5=k26<:n;<6bf?73i27:5k4>4`9>5d6=9=k01:06b?835:3;?m63:22820d=:=;>1=9o4=73;>42f34<:57?;a:?6g0<6j70;l8;37e>;2k00:8l52524951g<5<9<6<:n;<70994>4`9>261=9=k01;=7:06b?804i3;?m6393c820d=:>hk1=9o4=3a6>42f348h:7?;a:?1g2<6n6515c8932228>j70:mb;37e>;3jj0:8l524cf951g<5=hn6<:n;<6ab?73i27=4k4>4`9>2<6=9=k01;7k:06b?85e;3;?m6342f34<<=7?;a:?2gf<6j70<>5;37e>;3010:8l5249c951g<5??i6<:n;<06e?73i2799o4>4`9>630=9=k01?88:06b?840<3;?m63=74820d=:=l31=9o4=4gb>42f34?nn7?;a:?6af<6j70;n0;37e>;5:l0:8l5223d951g<5;9;6<:n;<005?73i279??4>4`9>146=9=k018?>:06b?836:3;?m63:12820d=:=8>1=9o4=452>42f34?jh7?;a:?6`g<6j70=9b;37e>;4>j0:8l5237f951g<5=0:8l525;37e>;128>j70h?:06b?8`?28>j70h6:06b?8`f28>j70hm:06b?8`d28>j70??7;37e>;6810:8l5210:951g<58;h6<:n;<315?73i27:>?4>4`9>575=9=k01<<;:06b?874=3;?m63>37820d=:9:=1=9o4=01g>42f34;8i7?;a:?27c<64c9>753=9=h019mm:06b?82dk3;?m63=ec820g=::li1=9l4=274>42e349>47?;b:?01<<6i70:n6;37f>;3i>0:8o524`:951d<5=k26<:m;<6bf?73j27:m<4>4c9>5d4=9=k01821=9l4=73:>42e34?h97?;b:?6g3<6i70;<6;37f>;2;>0:8o5252:951d<54c9>26>=9=h01;=n:06a?804j3;?n639ac820d=::j?1=9l4=3a5>42e348h;7?;b:?1g=<6j7088e;37e>;14c9>0g`=9=h01;6i:06a?80>83;?n6399d820d=:;k91=9l4=2`7>42e349i97?;b:?0g5<6j70=l3;37e>;1?;0:8l52661951g<58kn6<:n;<3bb?73i27:n=4>4`9>5g7=9=k013;?m63;89820g=:>42f348>h7?;a:?12=<6;7515c8971328>i70<85;37f>;2m00:8o525dc951d<55i4>4c9>1<`=9=h018o?:06a?845m3;?n63=2g820g=::::1=9l4=312>42e34?:<7?;b:?654<6i70;>4;37f>;2?;0:8l52561951g<5n54>4`9>1g?=9=k018ln:06b?83ej3;?m63:de820g=:=mo1=9o4=4fe>42f349=n7?;b:?02f<642e34nh6<:n;42f34nn6<:n;42f34o;6<:n;42e34l36<:m;42e34lj6<:m;42e34lh6<:m;<333?73j27:=44>4`9>54e=9=h01<<>:06a?875:3;?n63>22820g=:9;>1=9l4=00:>42f34;897?;b:?273<69515`8945c28>i70?;6;o0:8o5rs9d94?5|50:1=h<4^9d89g4=9=30q~l=:187f~;e:3;n=63<058213=:;9?1=884=5aa>42e348nn7?:6:?1af<6=?16?8951448963?28?=70=:9;362>;4m;0:9;523d1951g<5:o?6<:n;<6b2?72>27?m:4>579>0d>=9<<019o6:075?835<3;?n639198213=:>831=884=4a:>42e34?>;7?;b:?57d<6=?16:>l5144897e228?=70;5k>0:9;522b:9500<5=hi6<;9;<6ag?72>27?ni4>579>0gc=9<<019li:075?85e;3;>:6342e34>3m7?;b:?131<6=?16>:;5144897>428>j70;j9;362>;2mh0:9;525d`9500<5==4>579>147=9<<018?=:075?836;3;>:63:158213=:=>81=9l4=4`4>42e34?i47?;b:?02g<6=?16?;m51448960c28?=70:5144890<6=?16:7?:6:?gg?73j27m<7?:6:?e27m57?:6:?ee?72>27mn7?:6:?eg?72>27:<:4>579>55>=9=h01<<>:075?875i3;?m63>2c820d=:9;i1=9o4=00g>42f34;897?:6:?27a<6=?1v5j50;1x9=c=9l80R5j4=c3951?609>753=9?;019ml:06a?84bj3;==63=eb8224=:;<=1=;?4=27;>406349>57?91:?0a7<6>816?h=515`896c328>i70:n6;355>;3i>0::<524`:9537<5=k26<8>;<710?72>27==54>609>24?=9?;018m6:075?84413;?m63:59820g=:>:k1=;?4=71a>40634>n=7?;a:?1g0<6>816>n85173897e028<:70;3jk0::<524ca9537<5=ho6<8>;<6aa?71927?nk4>609>7g5=9?;01>l;:042?85e=3;==63>ag820g=:9o91=9l4=5:b>431348<87?91:?130<6>8169h75173890cf28<:70;jb;355>;2mj0::<522209500<5<;;6<8>;<725?71927>=?4>609>145=9?;018?;:042?830;3;?n63:b8820g=:=kk1=9l4=24a>406349=o7?91:?02a<6>81687?91:?6>40634<1=;?4=g29537<5o21=;?4=g;9537<5ok1=;?4=g`9537<5oi1=;?4=024>40634;;47?:6:?267<6=?16=?o515`8944e28>i70?=c;37f>;6:m0:8o521249500<589n6<;9;|q;=?6=;r73m7?j2:\;=>;fn3;?56s|ag83>6c|5hl1=h?4=227>403349;97?94:?1ag<6>=16>hm51768963028;4=00::9523d09532<5:o86<;9;<1f0?72>27?m;4>659>0d1=9?>019o7:047?82f13;=863:238213=:>821=;:4=73:>40334?h97?:6:?17d<6;5k>0::9522b:9532<5=hi6<8;;<6ag?71<27?ni4>659>0gc=9?>019li:047?85e;3;=863403348<97?94:?6a<<6>=169ho5176890ce28;5:l0:9;525029532<5<;:6<8;;<726?71<27>=>4>659>142=9?>01>8m:047?851k3;=863<6e8221=:<3;=863::047?80=9?>01ij515`89c6=9?>01k6517689c?=9?>01ko517689cd=9?>01km51768944f28?=70?=b;362>;6:j0:9;5213f95004c53W2<70oj:06:?xufm3:1?iu2ad82a4=:;9>1=;;4=226>402348nn7?95:?1af<6><16?8951778963?28<>70=:9;351>;4m;0::8523d19537<5:o?6<8>;<6b2?71=27?m:4>649>0d>=9??019o6:046?80603;=9639188220=:=j<1=884=415>431348h97?95:?1g3<6><16>n95177897e?28<>70:mb;351>;3jj0::8524cf9533<5=hn6<8:;<6ab?71=278n>4>649>7g2=9??01>l::046?840<3;=963=748220=::1>1=9o4=4g:>40234?nm7?95:?6ag<6><169hm51778974a28?=70;>0;351>;2980::8525009533<5<;86<8:;<720?71=278:o4>649>73e=9??01>8k:046?82=9??0184>649>2?71=27oi7?;b:?e4?71=27m47?95:?e=?71=27mm7?95:?ef?71=27mo7?95:?26d<6>816=?l51738944d28<:70?=d;355>{t0<0;6>u28782a7=Y0<16mi4>489~wdb=839ow0ok:0g2?857<3;=:63<048223=::lh1=;84=3g`>401349>;7?96:?01=<6>?16?875174896c528<=70=j3;350>;4m=0::9524`49530<5=k<6<89;<6b27?m44>679>24>=9?<01;?6:045?83d?3;>:63:368213=::j?1=;84=3a5>401348h;7?96:?1g=<6>?168ol5174891dd28<=70:md;352>;3jl0::;524cd9530<5:h86<89;<1a0?71>278n84>679>7f6=9=h01?<9:06b?840<3;=:63=748223=:=l31=;84=4gb>40134?nn7?96:?6af<6>?16>>>51448907728<=70;>1;352>;29;0::;525019530<5<;?6<89;<15f?71>278:n4>679>73b=9?<0194>679>1?71>27=6<89;40134l36<89;40134lj6<89;40134lh6<89;<31e?71<27:>o4>659>57e=9?>01<X?;27jo7?;9:pef<72:np1lm51d38966328<<70=?5;353>;5mk0:::522da9531<5:?<6<88;<16669>7`4=9?=01>k<:046?85b<3;=963;a78222=:40034>j57?97:?55=<6>>16:<751758905?28?=70;5k?0:::522b59531<5;i36<88;<6af?71?27?nn4>669>0gb=9?=019lj:044?82en3;=;631=;94=2`6>400349h=7?;b:?10a<6::51758971228<<70;j9;353>;2mh0:::525d`9531<527>==4>669>147=9?=018?=:044?836;3;=;63:158222=:;?h1=;94=24`>400349=h7?97:?7>40034?1=;94=78222=:n90:::52f98222=:n00:::52f`8222=:nk0:::52fb8222=:9;91=884=00b>40234;9n7?95:?26f<6><16=?j51778yv>62908w06=:0g1?[>634ki6<:6;|qbf?6=9kq6mo4>e09>752=9?201>>::04;?84bj3;=463=eb822==:;<=1=;64=27;>40?349>57?98:?1517:8907628<370;>2;35<>;29:0::552506953><5:699>0?71027>6<87;<4953><5ml1=9l4=g2953><5o21=;64=g;953><5ok1=;64=g`953><5oi1=;64}r5g>5<4s4=n628>27p}n9;296f}:i00:i<52316953?<5::>6<86;<0ff?711279in4>689>701=9?301>;7:04:?85213;=56340?34>jn7?:6:?666<6=?169n65144897e228<370;3jk0::5524ca953><5:h86<87;<1a0?710278o?4>4c9>606=9=k01?9;:04;?83b13;=463:e`822==:=8:1=;74=432>40>34?:>7?99:?656<6>0169<:517;8960e28<270=9c;35=>;4>m0::4524;35=>;228<2708517;89c6=9?301k6517;89c?=9?301ko517;89cd=9?301km517;8944f28<=70?=b;352>{t?k0;6>u27b82a7=Y?k16m54>489~wd>=83;iw0o7:0g2?857<3;?o63<04820f=::lh1=9m4=3g`>42d349>;7?;c:?01=<6j70;>0;37g>;2980:8n52500951e<5<;86<:l;<720?73k278:o4>4b9>73e=9=i01>8k:06`?82=9=i0184>4b9>2?73k27n<7?;b:?e4?73k27m47?;c:?e=?73k27mm7?;c:?ef?73k27mo7?;c:pgg<72e39]gg=:km0:8452cd820<=:ko0:845rsbf94?5|5jn1=h?4=2d0>42f349m?7?;b:pg`<72;q6oh4>e09>25`=l81vnh50;;x9f`=9l;01<9l:e3894>>2m;019>m:e38917a2m;019?9:e38914f2m;019=>:e3891>52m;0q~m?:1878e628o97Sm?;42>34i86<:6;|q`6?6=nr7h>7?j1:?733;=7j>;<676?b634>;|q`7?6==r7h?7?j1:?6425>4k1:?544:l4k1:p3c<72:q64=4>e39]3c=:ih0:845rs`c94?4ds4kj6;<130?73l278<84>4e9>6`d=9=n01?kl:06g?852?3;?h63<59820a=:;<31=9j4=2g7>40134>j47?98:?7e<<6>1168ll51738904428<:70;l8;355>;5k>0::5522b:953><5=ho6<87;<6aa?71027?nk4>699>7g3=9?201>m<:06a?840=3;=463=7b820d=:=lh1=;64=4g`>40?34?:<7?;d:?654<6o70;>4;37`>;4>k0:8i5237a951b<5:;a83;?h63i8;37`>;a13;?h63ia;37`>;aj3;?h63ic;37`>;6:j0::;5213f95304c53W=270o8:06:?xuf?3:1=ou2a682a4=:;9>1=9k4=226>42b348nn7?;e:?1af<6n70=:9;37a>;1?j0:8o523`7951g<5<;;6<:j;<725?73m27>=?4>4d9>145=9=o018?;:06f?851j3;?i63<6b820`=:;?n1=9k4=5820`=:=3;?i639:06f?8`728>n70h7:06f?8`>28>n70hn:06f?8`e28>n70hl:06f?xu0?3:1?v388;3f6>X0?27j:7?;9:pe3<728hp1l851d38966328>m70=?5;37b>;5mk0:8k522da951`<5:?<6<:i;<164g9>22b=9=h01?:;:06b?83683;?j63:10820c=:=881=9h4=430>42a34?:87?;f:?02g<6m70:515d890<6p1<:;:0g1?[73;27:884>489>510=9=30q~?;5;2902}:9=?1=h?4=3g1>a7<5::36i?4=255>a7<5:2:6i?4=2:`>a7<5=in6i?4=3;a>a7<5;om6i?4=232>a7<5:;i6i?4=206>a7<5:8m6i?4=21:>a7<5:>86i?4=26g>a7<5:?h6i?4=2;4>a7<5;3?6i?4=3d:>a7<5:3m6i?4=2g4>a7<5=ko6i?4=7`4>a7<58k=6i?4=5c3>a7<5=h>6i?4=404>a7<5?;i6i?4=41a>a7<5a7<5=n<6i?4=5g6>a7<5?km6i?4=3aa>a7<5;n?6i?4=7:1>a7<5?>j6i?4=747>a7<5:k26i?4=5;0>a7<5=i:6i?4=712>a7<5?2j6i?4=7;5>a7<5?k96i?4=0;;>a7<58i:6i?4=2`;>a7<5:i=6i?4=74b>a7<5?==6i?4=0`7>a7<58im6i?4=0d6>a7<5;h26i?4=2f1>a7<5:n26i?4=70a>a7<5>91h<5220c9`4=::kl1h<523719`4=:<1n1h<5248;9`4=:=m91h<5264g9`4=:=h91h<524g49`4=:=8=1h<5rs065>5<3s4;?:7?j1:?0ac50;1x934628o97S8=0:?567<6<01v;<=:187e~;1:;0:i<523169506<5::>6<;?;<0ff?728279in4>519>701=9<:01>;7:073?85213;><631=;94=5c5>40>34>j;7?99:?7e=<6>0168l7517;897e228<270;5k>0::4522b:953?<5=hi6<86;<6ag?71127?ni4>689>0gc=9?3019li:04:?85e;3;=56342e34;hh7?;b:?2b5<6::517;8971228<270;j7;37e>;2m00::4525dc953?<5==4>519>147=9<:018?=:073?836;3;><63:158215=:=>;1=9l4=451>43134?;2jh0:9;525c`951d<5:519>0?72827>6<;?;<49506<5l<1=9o4=g29506<5o21=8>4=g;9506<5ok1=8>4=g`9506<5oi1=8>4=031>42f34;:>7?;b:?261<6=?16=?9515c8944>28>i70?=a;353>;6:k0:::5213a9531<588o6<88;<303?72>27:?k4>579~w1`02908w0:i8;3f6>X3n>168k7515;8yv2a13:19>u24g;95`7<5::?6<;>;<131?72927?o54>4`9>0f?=9=h019mm:075?82dk3;>:63=eb8214=:;ml1=9o4=5c5>42d34>j;7?;c:?7e=<6i70?n2;37f>;29o0:8l5260:953><5?;h6<:n;<42g?73j27>984>4`9>261=9<<01;=n:047?85f;3;?m63;bc820f=:42d34>ii7?;c:?5j70=me;37e>;1?90:8o52660951d<58h;6<:m;<3`g?72>278on4>4`9>7fb=9=k01>j?:06b?80513;?m6342f34<>m7?;a:?51g<6=?16>87515c8973f28>i70<:c;37f>;5><0:8l52274951d<5;<36<:m;<045?73i27>i:4>4c9>1`?=9=i018km:06`?83>m3;?m63:9g8213=:=881=8?4=452>43134?<>7?91:?636<6>8169lj5144890d028<:70;m9;355>;2jk0:9;525e`951d<5509>73b=9<;01?4>4`9>b5<6=816j54>509>b<<6=816jo4>509>bf<6=816=<=515c8947e28>j70?>c;362>;6:90:8l5213c953><588h6<87;<300?73i27:?n4>4`9~wd4=839p1l=51d08Zd4<5kh1=974}r`a>5;<131?72:279io4>509>70>=9<;01;9j:06a?84?j3;?m63:118214=:=891=8?4=24a>435349=h7?:2:?6>43634l;6<;=;43534lj6<;>;4353tyj87>53z?b1?7b:2Tj863mc;37=>{tjj0;6ku2bb82a4=:;9>1=8<4=3g`>435349>;7?:1:?01<<6=816::k51448977a28>j70;>1;365>;29;0:9?525069507<5:5<4s4?9m7?j2:\66<=:=;h1=974}r71f?6=;r7>>o4>e09>52g=9=k01<9n:06a?xu2kj0;69u21ef951?<5;2kj0:i<5rs0fg>5<3s4;oh7?j1:?2<6<66}:=;<3;0?73i27:494>4c9~w0>62908w0;72;3f6>X2081695=515;8yv3?;3:1:v3:8282a4=:<0o1=9o4=5;f>42e34;2?7?:6:?2=0<6=?169l7515`8yv3bn3:1?v3:f182a7=Y=ll018h>:06:?xu2n80;6;u25g395`7<5=h86<:n;<6a7?73j27:nn4>579>5gc=9<<01<<8:06a?xuc03:1?v3k9;3f6>Xc027:8<4>489~w426290hw0?;1;3f5>;38>0:8o5241:9532<5=;i6<;9;<62`?73j27?=>4>4`9>073=9=h019<9:042?84e?3;?m63=b6820g=:<;l1=9o4=50e>42e3ty>;h4?:2y>12`=9l80R89j;<7;4?7312wx95>50;1x90>728o:70=k7;37e>;4l>0:8o5rs73g>5<4s4<:i7?j2:\55a=:>8l1=974}r42b?6=;r7==k4>e09>0=6=9=k0196?:06a?xu?j3:1?v37c;3f6>X?j27i<7?;9:pf5<72;q6n=4>e09>676=9=h0q~o?:1808g628o97So?;<`b>42>3tyim7>53z?ae?7b9279>:4>4c9>61c=9=h0q~79:1808?028o97S79;<`6>42>3tyi97>52z?a1?7b9279884>4c9~w<>=839p14751d08Z<><5k<1=974}r`5>5<5s4h=6;<1b2?73j2wx5l4?:2y>=g<6m;1U5l52b6820<=z{k=1<7;e03;?56s|b983>7}:j10:i<52243951d4c53W3n70l6:06:?xue13:1>v3m9;3f5>;5?m0:8o5rs720>5<4s4<;87?j2:\546=:>991=h?4}r433?6=:r7=<>4>489>251=9l;0q~8?6;296~;18:0o86390782a4=z{=lj6=4<{<6ef?7b:2T?jl524gc95`7mi7>52z?7bd<6<0168kk51d38yv2al3:1>v3;f`8g0>;3nm0:i<5rs4:7>5<4s4?397?j2:\6<1=:=1>1=h?4}r7;494>489>1=>=9l;0q~;77;296~;20=0o863:8682a4=z{j?525g095`752z?6b7<6<0169k851d38yv3a=3:1>v3:f38g0>;2n<0:i<5rs43;>5<4s4?:57?j2:\65==:=821=h?4}r72e?6=:r7>=54>489>14g=9l;0q~8>2;297~;19:0:i?5Q6008937528o:7p}91583>7}:>881=974=737>4c63ty>nh4?:2y>1g`=9l80R8lj;<7aa?7b92wx9n>50;0x90db28>270;l0;3f5>{t=;i1<7=t=40g>4c53W?9o63:2b82a4=z{<8m6=4={<71g?73127>>k4>e09~w7662908w0X58816>=?51d38yv44=3:1>v3=00820<=:::?1=h?4}r77g?6=;r7>8i4>e39]11e<5<>h6;|q60c<72;q699m515;8902a28o:7p}>f783>6}:9o=1=h<4^0d5?87a>3;n=6s|20`94?4|58l=6<:6;<02f?7b92wx=k650;1x94`>28o97S?i8:?2b=<6m81v?<=:18187a03;?563=2382a4=z{8lo6=4<{<3ea?7b:2T:ji521gf95`752z?2ba<6<016>9>51d38yv7an3:1?v3=0182a7=Y9ol0126;|q146<72:q6>=:51d08Z764348;?7?j1:p602=838p1?><:06:?842<3;n=6s|21794?5|5;:=6;58<0:i<5rs343>5<5s48;97?;9:?125<6m81v?>8:18084703;n>6P=069>651=9l;0q~<9c;296~;58>0:845227a95`753z?14d<6m;1U>=74=32:>4c63ty9;54?:3y>65?=9=301?97:0g2?xu58k0;6>u221a95`4{t:>l1<742>348j:0g1?[47l279e09~w7>12909w0;50?0:i<5rs4g1>5<4s4?n?7?j2:\6a7=:=l81=h?4}r7f0?6=:r7>i?4>489>1`2=9l;0q~:j6;297~;3m>0:i?5Q4d4891c128o:7p};e983>7}:4c63ty>?i4?:2y>16c=9l80R8=k;<70`?7b92wx9>h50;0x905c28>270;{t=4c53W?>i63:5d82a4=z{<<;6=4={<76a?73127>:=4>e09~w0?22908w0;66;3f6>X21<1694;51d38yv3>?3:1>v3:94820<=:=0=1=h?4}r3g1?6=:r7>on4>489>5a3=9l;0q~?k6;296~;2kj0o863>d782a4=z{8li6=4<{<3eg?7b:2T:jo521g`95`752z?2bg<6<016>?751d38yv2bl3:1?v3;ed82a7=Y;|q64<<72:q69=o51d08Z06>34?;57?j1:p15d=838p18>6:06:?837j3;n=6s|57`94?5|5<;2>k0:i<5rs44g>5<5s4?=n7?;9:?62a<6m81v89::180830>3;n>6P:749>123=9l;0q~;87;296~;2?<0:845256595`753z?6e0<6m;1U9l:4=4c7>4c63ty>m;4?:3y>1d2=9=3018o9:0g2?xu2j90;6>u25c395`4{t=k81<742>34?i>7?j1:p1a2=839p18j::0g1?[3c<27>h94>e09~w0b12909w0;k4;37=>;2l?0:i<5rsb694?5|5j?1=h<4^b689f2=9l;0q~m9:1818e328>270m9:0g2?xu18l0;69u2615951?<5?:>6<:6;<425?73j27=e09~w362290?w08?5;3f5>;18j0:8l5261f951g<582>6<:n;|q54=<72;q6:=8515;8936?28o:7p}91183>7}:>921=974=733>4c63ty=<44?:3y>247=9=k01;>6:0g2?xu1980;6?u260395`7<5?:m6<:6;|q20=<72j2p1<:7:0g2?807n382o6390g814m4=424>7>c34?2?7<6c:?6=6<50m16:=?528a893662;2o70;5m;094i5231:96k278;;4=8e9>7=7=:0i01>6>:3:g?85?k382o63<8b814m4=5af>7>c34;>2;3h70<6b;0:g>;51k094i522dd96k278=<4=8e9>74d=:0i01>?m:3:g?855=382o63<24814m4=20e>7>c349857<6c:?07<<50m16?9=528a896242;2o70=;d;0:g>;4k2785:4=8e9>6<2=:0i01?7;:3:g?84a1382o63=f8814m4=2;e>7>c349n;7<6c:?0a2<50m168lj528a891gc2;2o708m7;0:g>;1j>094i521`496k27?m=4=8e9>0g3=:0i019l::3:g?835?382o63:26818h1>5j4=41a>7?d34?8n7<7d:?61d<51j1698o529f8935b2;3h708;3l>095n524e596=b<5=o>6?7l;<6f1?4?l27?;;4=9b9>020=:1n019;6:3;`?8221383h635j4=52a>7?d34>;n7<7d:?71c<51j1688h529f8917a2;3h70:>f;0;`>;3><095n5247796=b<5=2d`=:1n01?mm:3;`?84dj383h63=d581=f=::m>1>5j4=535>7?d34>::7<7d:?76d<51j168?o529f893>52;3h70872;0;`>;1520=:1n01>o6:3;`?85f1383h63;4981=f=:<=21>5j4=573>7?d34>><7<7d:?7=6<51j1684=529f891e62;3h70:l1;0;`>;1;8095n5262396=b<5?2j6?7l;<4;e?4?l27=5;4=9b9>2<0=:1n01;o=:3;`?80f:383h63>9981=f=:9021>5j4=0a2>7?d34;h=7<7d:?0f=<51j16?o6529f896e12;3h70=l6;0;`>;3;>095n5242596=b<5?220=:1n01;j528a893b=:1n01cg81=f=:9jl1>5j4=0d6>7?d34;m97<7d:?1f<<51j16>o7529f896b52;3h70=k2;0;`>;4l0095n523e;96=b<5=::6?7l;<635?4?l27??<4=9b9>067=:1n019:=:3;`?823:383h63;7b81=f=:<>i1>5j4=5:1>7?d34>3>7<7d:?56g<51j16:?l529f8925=:0i01:=529f8977f2;3h70<>a;0;`>;5jo095n522cd96=b<5:<86?7l;<157?4?l278ik4=9b9>7``=:1n0196k:3;`?82?l383h63;9881=f=:<031>5j4=4f0>7?d34?o?7<7d:?515<51j16:8>529f8933b2;3h708:e;0;`>;2m>4=9b9>1d5=:1n019h9:3;`?82a>383h63:1681=f=:=8=1>5j4}r0b6?6=:::p1?o=:0g2?807n3n;70;?7;f3?83>;3n;708?1;f3?84b:3n;70=?8;f3?857<3;>;63<05821==:;9>1=874=227>43f349;87?:b:?041<6=j16?=:514f8966328?n70=?4;36b>;48=0::=523169534<5::?6<8<;<131?72?278<84>599>753=9<301>>::07b?857=3;>n63<04821f=:;9?1=8j4=226>43b349;97?:f:?040<6>916?=;51708966228<870:le;f3?870k3n;70?79;f3?84bn3n;70;5m10:9<522d;9506<5;o26<;>;<0ff?72=279io4>569>6`d=9<201?km:07:?84bj3;>m63=ec821g=::lh1=8m4=3ga>43c348nn7?:e:?1ag<6=o16>hl5172897ce28<970;5mj0:98522da9501<5;oh6<;7;<0fg?721279in4>5`9>6`e=9h63=eb821`=::li1=8h4=3g`>407348no7?92:?1af<6>:16?74d=l916??;5d19>77`=l916??m517;8964d28>h70==c;37`>;4:j0:8h5233a951`<5:8h6<;?;<11g?729278>i4>689>77b=9=i01>4=20g>436349857j?;<102?73k278?;4>4e9>760=9=o01>=9:06e?854>3;><63<378214=:;:=1=9m4=214>42c3498;7?;e:?072<6951428965028?:70=;3;f3?85383;?h63<41820`=:;=:1=9h4=263>437349?<7?:1:?004<6m70=;1;364>;4<80:9<5235f9`5=:;=k1=9k4=26b>42a349?m7?:0:?00d<6=816?9l515g8962e28>m70=;b;364>;4437349>97?:1:?012<6=<16?8951458963028?370=:7;36=>;4=>0:9l52345950d<5:?<6<;l;<163?72l2789:4>5d9>701=9;8:043?852?3;=>63<568226=:;<21=8;4=27;>430349>47?:8:?01=<6=016?86514c8963?28?i70=:8;36g>;4=10:9i5234:950c<5:?36<;i;<16639>70>=9?901>;6:076?85213;>;63<58821==:;<31=874=27:>43f349>57?:b:?01<<6=j16?87514f8963>28?n70=:9;36b>;4=00::=5234;9534<5:?26<8<;<0e=?b7348m:7?:1:?1b2<6=816?h95d19>7`5=9=i01>k<:06g?85b;3;?i634=2g0>436349n87?;c:?0a1<6m70=j4;364>;4m=0:9<524`f9`5=:43634>j47?:1:?7e<<6=816:o95d19>5d0=l9169?95d19>24d=l916:<6515a8937?28>o708>8;37a>;1910:8k5260:9506<5?;36<;>;<42=?73k27==44>4e9>24?=9=o01;?6:06e?80613;><639188214=:=:h1h=5254c9`5=:>:o1h=524e59`5=:;<0`3?729279o54>509>6a2=l9168<85d19>07g=l916:5<5d19>21g=l916:;:5d19>520=l916?l75d19>01>=l91688>5d19>0<5=l9168n?5d19>0gd=9<:019lm:072?82ek3;><63;bb8214=:4=5`g>43634>ii7?:0:?7f`<6=8168oh5142891da28?:708<1;f3?80?i3n;70866;f3?80f:3n;70?68;f3?87d93n;70=m8;f3?85e;3;><631=8>4=2`7>436349i97?:0:?0f0<6=816?n85d19>061=l916:;o5d19>220=l916:i4k0:?2f1o4k0:?47?b7348:m7j?;<0ab?b7349=?7j?;<155?73m278:<4>4g9>737=9<:01>8>:072?85bn3n;70:7d;f3?82>13n;70;k3;f3?80283n;708:e;f3?843?3;?j63=468215=::==1=8?4=345>40>348=:7?;c:?123<6;8515g8970128>m70<96;364>;5>?0:9<52275953?<5;<<6<:l;<053?73l279::4>4d9>631=9=l01?88:073?841?3;>=63=69822<=::?21=9m4=34;>42c348=47?;e:?12=<6;651428970?28?:70<99;35=>;5>00:8n5227;951b<5;<26<:j;<05=?73n279:44>519>63?=9<;01?9;:06g?840<3;?i63=75820c=::>>1=8>4=357>436348<97?;d:?130<6:;515d8971228?;70<85;365>;2m00:8k525d;9506<5;<7fe?73n27>il4>519>1`g=9<;018km:06e?83bj3;><63:ec8214=:=li1=9h4=4g`>43734?no7?:1:?60g:l4k0:?6e6==4>569>146=9<2018??:07:?83683;>m63:11821g=:=8:1=8m4=433>43c34?:<7?:e:?655<6=o169<>51728907728<970;>0;357>;2980:9:52503950><5<;:6<;6;<725?72i27>=<4>5c9>147=9:07g?83693;>i63:10821c=:=8;1=;>4=432>40534?:=7?93:?657<6=>169<<514:8907528?270;>2;36e>;29;0:9o52500950e<5<;96<;k;<726?72m27>=?4>5g9>144=9?:018?=:041?836:3;=?63:128212=:=891=864=430>43>34?:?7?:a:?656<6=k169<=514a8907428?o70;>3;36a>;29:0:9k525019536<5<;86<8=;<727?71;27>=94>569>142=9<2018?;:07:?836<3;>m63:15821g=:=8>1=8m4=437>43c34?:87?:e:?651<6=o169<:51728907328<970;>4;357>;4>h0:9=5237c9507<5:599>73d=9<301>8m:07b?851j3;>n63<6c821f=:;?h1=8j4=24a>43b349=n7?:f:?02g<6>916?;l51708960e28<870=9c;361>;4>j0:9:5237a950><5:5c9>73e=98l:07g?851k3;>i63<6b821c=:;?i1=;>4=24`>405349=o7?93:?02a<6=<16?;j51458960c28?370=9d;36=>;4>m0:9l5237f950d<5:5d9>73b=98k:043?851l3;=>63<6e8226=:<3;>;63;:07;?82=9<30194>5`9>0?72j27?6<;l;<6950b<5=0:9h524;36b>;328<;70:5170891<6>:1697?:7:?6>43?34?1=874=4821d=:=3;>n63::07`?83=95d9>1?72n27>6<8?;<79534<5<0::>526;363>;128?3708514;893<6=h16:7?:b:?5>43d34<1=8j4=7821`=:>3;>j639:043?80=9?801;4>629>b5<6=>16j=4>599>b5<6=016j=4>5`9>b5<6=k16j=4>5b9>b5<6=m16j=4>5d9>b5<6=o16j=4>619>b5<6>;16j=4>629>b=<6=>16j54>599>b=<6=016j54>5`9>b=<6=k16j54>5b9>b=<6=m16j54>5d9>b=<6=o16j54>619>b=<6>;16j54>629>b<<6=>16j44>599>b<<6=016j44>5`9>b<<6=k16j44>5b9>b<<6=m16j44>5d9>b<<6=o16j44>619>b<<6>;16j44>629>bd<6=>16jl4>599>bd<6=016jl4>5`9>bd<6=k16jl4>5b9>bd<6=m16jl4>5d9>bd<6=o16jl4>619>bd<6>;16jl4>629>bg<6=>16jo4>599>bg<6=016jo4>5`9>bg<6=k16jo4>5b9>bg<6=m16jo4>5d9>bg<6=o16jo4>619>bg<6>;16jo4>629>bf<6=>16jn4>599>bf<6=016jn4>5`9>bf<6=k16jn4>5b9>bf<6=m16jn4>5d9>bf<6=o16jn4>619>bf<6>;16jn4>629>57g=9=l01<=63>2c820c=:9;h1=8>4=00a>43634;9o7?;f:?26f<6=916=?m51438944c28>m70?=d;364>;6:m0:9<5rs72b>5<5s4<;57?;a:?54d<6m81v;>m:18180713;?n6390c82a4=z{=:86=4i{<43g?73j27=4c9>5=3=9=h019><:0g2?827?3;?m63;09820d=:<931=9o4=53a>42f34>:o7?;a:?754<6j70:=6;37e>;3:>0:8l5243:951g:;7>5bz?54f<6=?16:=j5144894>228?=70:?8;37f>;3800:8o5240595`7<5=;o6<:n;<620?73j27?>;4>4c9>071=9=h019<7:06a?xu38m0;6iu261a9537<5?:o6<8>;<3;1?71927?<54>579>05?=9<<019?m:06a?826k3;?n63;0e82a4=:<8;1=9l4=531>42e34>9:7?:6:?762<6=?168?651448yv2593:15v390b8221=:>9n1=;:4=0:6>40334>;47?91:?74<<6>8168<:51448914628o:70:=5;37e>;3:10::<5rs72`>5<5s4<;o7?j1:?54g<66c82a4=:<0;1=9o4=5;2>42e34?=;7?;a:p02b=838p1;>k:045?820l3;n=6s|61f94?4|5?:o6;<43f?73j2wxni4?:06x937728>j708>0;37f>;2810:8l5251:951d<5<3?6<:n;<7:0?73j27=4`9>254=9=h0199<:06b?820<3;?m63;6`820d=:42f34<<6<:n;<60`?73i27>:84>4`9>fa<6m8169:l515c8901d28>j70h<:06b?xu28?0;6>u24gg951?<5=lh6<:6;<733?7b92wx8km50;7x91`d28o:70?8d;37e>;6?m0:8o5219c951g<582j6<:m;|q7bc<72;q68kj515;891`a28o:7p}:0983>7}:4c63ty><=4?:3y>151=9=3018>?:0g2?xu2880;6?u2512951g<5<::6;|q647<72;q69=>515`8906528o:7p};5083>41|5<:86<:n;<730?73i27><84>4`9>1=c=9=k018hl:06b?82293;n=63;57820d=:<<=1=9o4=050>42f34>?h7?;a:?70`<64c9>2=<64`9>2d<64`9>7cd=9=k01>hl:06b?85al3;?m63;41820d=:=?91=9o4=445>42f34?=;7?;b:p7c6=83lp18><:06a?87??3;?m63;72820g=:<>>1=9l4=575>42e349m<7?j1:?72d<6i70:;d;37f>;34`9~w13f290:8v3:028213=:=9>1=9l4=426>42e34?3j7?;a:?6ba<6i70::a;3f5>;6>o0:8l5217d951d<5?=1=884=7:951d<5?31=9l4=7c951d<5?h1=9l4=2da>431349mo7?;b:?0ba<6515`8900428>i7p};6183>45|5<:86<8>;<730?72>27><84>579>1<6=9=k018hj:06b?822l3;?m63;5e820g=:42f34;<<7?;b:?53?71927=47?:6:?5=?72>27=m7?:6:?5f?72>278jo4>609>7ce=9<<01>hk:075?82383;>:6s|47494?74s4?;?7?94:?641<6>8169=;5173890?628>j70;if;37e>;3>:0:8l52471951d<5=<=6;<345?73i27:;<4>4c9>22<6>=16:54>609>2<<6>816:l4>609>2g<6>816?kl5176896`d28<:70=id;355>;3<90::<5rs420>5<5s4?;?7?j1:?647<6;<646?73i27?:l4>579>03d=9<<01<9;:06a?80028<>7087:047?80>28;4nj0::9523gd951d<5=9o6<:m;<60a?73j27??k4>4`9>016=9?>0188::06a?831?3;>:6s|51694?4|5<:?6;<736?73j2wx8><50;cx906228;3?:0:9;5247c9537<58=?6<;9;<606?7b927=n7?95:?0bc<6=?168>h515`8901d28>i7p};7683>d}:=9?1=;;4=557>43134>=n7?91:?5f?71>278jl4>579>7cb=9?>019=i:075?820?3;n=63:648213=:=>i1=884}r731?6=:r7><84>e09>154=9<<0q~;62;297~;2010:8452594951?<5<386;|q6<3<72;q695851d3890?628?=7p}:8883>7}:=1=1=974=4::>4c63ty>594?:3y>1=?=9=30187;:0g2?xu20h0;6?u2581951?<5<2j6;|q6e28o:7p}:8b83>7}:=1k1=9l4=4:`>4c63ty8jh4?:2y>1=b=9=k0186k:06a?85am3;n=6s|59f94?4|5<2o6;<7;g?73i2wx=5m50;cx90>b28>i70;7f;37f>;2190:8o52583951d<582h6;<3:7?73i27:594>4`9>5<3=9=k01>hi:042?874j3;?m6s|59g94?4|5<2n6;<7;g?73j2wx95h50;0x90>a28o:70;7c;362>{t=0:1<74c634?3o7?91:p1<7=838p187>:0g2?83?k3;=86s|61294?5|5e09~w0`32909w0;i4;3f5>;2no0:9;5rs4d4>5<5s4?m97?;9:?6b2<6m81v;>=:18183a?3;?56390382a4=z{j54>e09~w0`>2909w0;i8;37e>;2n00:i<5rs4db>5<5s4?m47?;b:?6bd<6m81v<69:18083aj3;?m63:fc820g=:91<1=h?4}r7ef?6=:r7>jo4>e09>1cg=9=k0q~?m5;29e~;2nj0:8o525gf951d<5609>5g3=9l;01bd820d=:9:91=9o4}r7eg?6=:r7>jn4>e09>1cg=9=h0q~;id;296~;2nm0:i<525gc950052z?6b`<6m8169ko51738yv3an3:1>v3:fg82a4=:=ok1=;:4}r0f5?6=:r79h;4>489>6`4=9l;0q~42f348oh7?;a:?1``<6ih515c897c728>j70=?0;37e>;48;0:8l522d:951g<5;o26<:n;<13a?73i2784`9>74>=9=k01>?6:06b?855:3;?m63<22820d=:;;i1=9o4=20g>42f3498:7?;a:?072<6515c8962628>j70=;a;37e>;44`9>737=9=k01?:8:06b?851i3;?m63jc;37e>;bl3;?m63je;37e>;bn3;?m63>01820d=:99;1=9o4=021>42f34;;?7?;a:?241<6e09~w7b>2909w0;5l00:i<5rsdc94?5|5;nj6<:n;<0ge?73j27nm7?j1:p6ag=838p1?jn:0g2?84c13;?m6s|fd83>6}::mh1=9o4=3fa>42e34ln6;|q1`g<72;q6>il51d3897b>28>i7p}<6g83>77|5;nh6<:m;<0g`?73j279hh4>4c9>6a`=9=h01?k?:06a?85793;?m63<03820g=:;9>1=8=4=226>43434?:<7?:2:?654<6=;169<<51418907428?970;>4;366>;4>o0:i<524;366>;228?9708514089`e=9=h01hj515`89`c=9=h01hh515`89c6=9<901k6514189c?=9<901ko514089cd=9<901km51418946728>i70??1;37f>;68;0:8o52111951d<58:?6<:m;|q1`f<72;q6>im51d3897b>28?=7p}=c183>61|5;no6<;9;<130?72<278<84>559>6`1=9=k01?k7:06a?84bj3;>>63=eb8216=:;9n1=9o4=22f>42e349:;7?;a:?05=<6i70==b;37e>;4:j0:8o52327951g<5:9=6<:m;<10b?73i2788=4>4c9>71?=9=k01>:n:06a?852<3;?m63<54820g=:;<=1=8<4=27;>435349>57?:2:?1b0<6k8515`8905428>j70;<4;37e>;5k90:i<522b1951g<5;n:6<:n;<0g6?73i27>h<4>4`9>146=9<9018?>:070?836:3;>863:128216=:=8>1=8=4=24b>42e349=n7?:3:?02f<6=:16?;j5141891<6=:1697?:3:?5>43434l;6<;;;42e34l?6<:n;43334l26<;;;43434li6<;;;4333ty9hi4?:3y>6ab=9l;01?j6:042?xu5km0;6>9t=3ff>431349;87?:5:?040<6=<16>h9515`897c>28>i70;5mj0:995231f951d<5::m6<:m;<123?73j278=44>4c9>777=9=h01><<:06a?855j3;?n63<2e820g=:;:?1=9l4=214>42e3498j7?;b:?004<6i70=:4;37f>;4=>0:9>5234:9505<5:?26<;<;<0e1?73j279j:4>4c9>165=9=h018=;:06a?84d;3;?n63=ce82a4=::m;1=9l4=3f1>42e34?o=7?;b:?102<651468907628??70;>2;361>;29:0:99525069502<5:559>73b=9<>0194>559>1?72<27=6<;;;43234l86<;9;42e34l36<;:;43234lj6<;;;43234lh6<;:;|q1``<72;q6>ik51d3897b>284e|5;nm6<;9;<0ef?7b9278<=4>4c9>757=9=h01>>=:075?84b03;>:63=e88213=:;9o1=884=22e>431349:47?:6:?05<<6=?16??<51448964428?=70==c;362>;4:m0:9;523249500<5:9<6<;9;<174?72>2788<4>579>71g=9<<01>:m:075?852=3;>:63=f78213=::o=1=884=0d2>42e349==7?;b:?102<6=?16?;o51738yv4cn3:1>v3=dg82a4=::m31=;;4}r13=?6=9>q6>h>5144897c?28<:70;4800:i<5231f9500<5:;36<8>;<12=?719278>?4>609>775=9?;01>406349?=7?91:?00d<6>816?9l51738963228<:70;5n>0::<523739500<5;><6<8>;<15e?71<2wx>h>50;0x97c728o:70{t;9=1<742>349;47?j1:p750=838p1>><:06:?857>3;n=6s|31194?5|5::86;42f34lm6<:m;|q1bf<72;q6?=6515;897`d28o:7p}=fe83>7}::oi1=9o4=3dg>4c63ty9jh4?:3y>6ce=9=h01?hj:0g2?xua>3:1?v3=fg820d=::ol1=9l4=g495`752z?1bc<6m816>kk515c8yv5783:1>v3<0182a4=::oo1=9l4}r135?6=:r78<<4>e09>6cc=9<<0q~=?2;296~;48;0:i<522gg953752z?041<6m816?=8515c8yv57=3:1>v3<0482a4=:;9<1=9l4}r141?6=;r78;=4>489>721=9=h01>99:0g2?xu4?90;6ou236295`7<5:=?6<:n;<14`?73i278;h4>4`9>7=1=9=k01>66:06b?85>:3;?m63<92820d=:;0>1=9o4=3;1>42f3492h7?;a:p724=838p1>98:06b?850:3;n=6s|36594?4|5:=<6;<142?7312wx?:?50;0x96112m:01>9>:0g2?xu4?:0;6?u2360951g<5:=86;|q031<72;q6?:<515`8961328o:7p}<9883>4c|5:=?6<:m;<14b?73i2784l4>4`9>6`>=9?>01?k6:047?857m3;==63<0g8224=:;821=;:4=23:>4033499>7?94:?066<6>=16??m51768964c28;4;>0::9523529532<5:>:6<8;;<17e?71<2788o4>659>703=9?>01>7=:06a?85>=3;?m63=f78221=::o=1=;:4=2;:>4c6348h?7?:6:?1`4<6=?16>i<51448972028{t:k>1<7<8{<140?72>278;<4>4`9>72`=9=h01>96:06b?85?i3;?n63<82820d=::0<1=9o4=3g;>402348n57?95:?04`<6>=16?=h51768967?28<>70=>9;351>;4:;0::8523319533<5:8h6<8:;<11`?71=278?;4>649>761=9??01>:?:046?85393;=963<4`8220=:;=h1=;;4=276>4023492?7?;b:?0=0<6a28>j70;5n>0::85238c951g<5;i86<8>;<0g5?719279h?4>609>6g2=9l;01?lk:06b?84el3;?n63=468220=:;?31=9l4}r0ae?6=:i70=8f;362>;4?00:8o5239c9500<5:286<:m;<0:2?73j279i54>679>6`?=9?<01>>j:046?857n3;=963<198223=:;831=;84=201>4013499?7?96:?06f<6>?16??j51748965128<=70=<7;352>;4<90::;523539530<5:>j6<89;<17f?71>278984>679>7<2=9=h01>7::075?85?m3;?n63=8g820g=::o<1=;84=3d4>4013492m7?;b:?1g6<6>=16>i?5176897b528;5<>0::;5237;950052z?03=<6<016?5?51d38yv5003:1nv3<7982a4=:;>n1=9l4=25f>42e349347?;a:?0<<<647515c896?528?=70=63;362>;41=0:9;52280951d<5:3o6<:m;|q03d<72;q6?5?515;8961f28o:7p}<7883>7}:;1;1h=5236;95`752z?03d<6v3<7`820g=:;>i1=h?4}r14`?6=:r78;i4>e09>72e=9=k0q~=8e;296~;4?l0:i<5236a951d52z?03c<6m816?:m51448yv5?j3:1>v3<83820<=:;1i1=h?4}r1;6?6=ir784?4>e09>7=1=9=h01>67:06a?85?13;>:63=98820g=:;081=;?4=2;0>406349287?91:?1=7<6=?16?4j51448yv5?<3:1>v3<8b820<=:;1>1=h?4}r1;7?6=:r784n4k0:?0<6<6m81v>6::18185?<3;?m63<8482a4=z{:2=6=4={<1;0?73j2784;4>e09~w6>02909w0=77;3f5>;40?0:8l5rs2:;>5<5s49347?j1:?0<3<666:18185?13;n=63<878213=z{:2j6=4={<1;e?7b92784;4>609~w1ec2908w0:l2;37=>;3ko0:8o524bg95`7h>7>531y>0f4=9l;019m7:06a?82d13;>:63;c`820g=:40634>j:7?;d:?7e2<628>o70;=0;37e>;3lo0:8l522b7951e<5;i=6<:l;<0`3?73k279o54>4b9>0gd=9=n019ll:06g?82el3;?h63;bd820a=:42f34;ij7?;a:?0f6<6h70?ne;362>;6io0:9;521c29500<58h:6<:m;<3`g?71927:oi4>579>624=9=k018k6:06g?83bi3;?o63:ec820a=:=li1=9m4=4`4>40334?i47?91:?6f<<6>=169oo5173890de28<:70k8:06b?87513;>:63>2`822<=:9;h1=;64=00`>40>34;9h7?98:p0f5=838p19mi:06b?82d;3;n=6s|4bd94?4|5=im6;<6`a?7312wx8n:50;0x91e428>j70:l4;3f5>{t42e34>h97?j1:p5db=839p19m9:06b?82d>3;?n63>ae82a4=z{=i=6=4={<6`2?7b927?o84>4`9~w0c?2908w0:l7;37e>;3k>0:8o525d:95`7h;7>52z?7g2<6m8168n;515`8yv5bi3:18lu24b:9500<5=ij6<;9;<0ff?72<2789:4>559>70>=9<>01>;6:077?85cn3;?n63>a1820g=:9h;1=884=0c0>42e34?:j7?;b:?55<<6>11698;515`8935?28?=708;5k<0:8i522b4951b<5;i<6<:k;<0`4>4c9>0g`=9=n01;7?:075?80>:3;?n63992820d=:>h:1=9l4=2`0>42c349i87?;d:?0f0<6i70?ne;355>;6io0::<521c39500<58io6<8>;<1`g?73j278oh4>4`9>7a6=9=h01;<6:06a?85bi3;n=63;88820g=:>42e348>n7?;b:?11a<6;;515`8970028>i70<99;37f>;5?80:8o525dc951b<5m=4>579>146=9:076?836;3;>963:158210=:=k21=;:4=4`b>40334?oo7?;b:?6`a<6=?169ih515`897<643234<1=8;4=gc9503<58;86<:m;<32f?73j27:>=4>4c9>57?=9?;01<35820g=:9:i1=9l4}r6`e09>0f3=9<<0q~:k0;296<}:40634>hn7?94:?7gf<6>=168l8515g891g?28>n70:k0;3f5>;3l<0:8l524ef951g<5=nn6<:n;<0`1?73m279o:4>4d9>0gd=9=o019lk:06f?87>83;?m63>91820g=:9k31=9o4=0`:>42e349i?7?;e:?0f0<6;6j90::<521c39537<58i26<:n;<3`f?73i27:on4>659>5fb=9?>01969:06b?83e?3;=963:b98220=:=k31=;;4=4`b>40234?in7?94:?f0?73i27:>44>659>57g=9=i01<2e820f=z{=n36=4;fz?7g<<6>=168no5176891ee28<>70:lc;351>;3i>0:8h524`;951c<5=ki6<8;;<716?71927>>>4>659>172=9?;018m::042?83d>3;==63:c68224=:=j21=;:4=4a:>40634?8:7?91:?672<6>8169>65173891b328>j70:k4;37f>;3l10:i<524ef951d<5=nm6<:m;<0`2?73m279o54>4d9>0ge=9=o019lj:06f?82en3;?i63>97820g=:9kl1=9l4=2`7>42b34;jo7?;b:?2e`<6><16=lh5177894d728;6k00:8o521bc951g<58ii6<:m;<3`g?71=27:oi4>649>34<64c9>0=0=9=h01967:075?82?13;>:63;8`8224=::;o1=;?4=30e>4063488<7?91:?174<6>816>><5173890d028<=70;m8;352>;2j00::;525cc9530<542f34o=6<:m;42e34o36<:n;<314?72>27:><4>609>574=9?;01<<<:042?875<3;==63>288220=:9;k1=9j4=00a>42c34;9o7?;d:?26a<6:51448945228<:70?<6;355>;6;>0::<5212a9500<589o6<8>;<30a?71927:?k4>609~w22=83?hw0:l9;351>;3kh0::8524b`9530<5=ih6<89;<1f7?710278i94>699>0d0=9=l019o8:06e?82f03;?j63;a8820c=:40334?9?7?95:?661<6>=169n;5176890e128;2k10::8525b;9532<5<9=6<8;;<703?71<27>?54>659>0a`=9<<01?m::06e?84d>3;?j63=c6820c=::j21=9h4=5`a>42a34>io7?;f:?7fa<6m70?63;37f>;61=0:8o52187951d<583=6<;9;<3ag?73j27:ni4>4c9>5gc=9=h0140134;jj7?96:?2f5<6><16=o?5177894ee28?=70?lc;352>;6km0::;5249:9537<5=226<8>;<6;e?71<27>i44>4d9>1`g=9=o018km:06f?83bk3;?i63=2d8221=::;l1=;:4=313>4033488=7?94:?177<6>=16;94>e09>0c2=9=k018l8:044?83e03;=;63:b88222=:=kk1=;94=4`a>40134o=6<;9;43134o36<:m;<314?71927:><4>659>574=9?>01<<<:047?875<3;=863>288223=:9;k1=9k4=00a>42b34;9o7?;e:?26a<6=515`8945328<:70?<5;350>;6;?0::9521259532<589i6<:m;<30g?71927:?i4>659>56c=9?>01<=i:047?xu3k00;6?u24b;95`7<5=i>6<8>;|q7gd<72;q68no51d3891e2287}:4023ty?on4?:3y>0fe=9l;019m::045?xu6?k0;6?u2165951?<58=h6;|q232<72i70:;d;362>;2>?0:8o52122951g52z?23`<6v3>7d82a4=:9>i1>5j4}r34489>52>=9l;0q~?89;296~;6?10:8l5216;95`752z?23=<6v3>7g820<=:9131=h?4}r34b?6=>r7:;k4>e09>001=9<<019:j:075?82>?3;?m63;96820g=:=?<1=884}r3;3?6=:r7:4;4>489>5=1=9l;0q~?7a;296~;60k0:8l5219c95`752z?2v3>88820<=:91:1=h?4}r3;5?6=:r7:4=4>4`9>5=7=9l;0q~?72;296~;6090:8o5219095`752z?2<6<6m816=5<515c8yv7?<3:1>v3>8582a4=:9181=9l4}r3;1?6=:r7:484>e09>5=4=9<<0q~<6a;296~;51<0:845228`95`7523y>6<3=9l;01;l;:06b?80fi3;?n639ac820g=:>hi1=9o4=7cg>42f34j7086c;37e>;11m0:8o5268g951d<5?3m6<:n;<4b4?72>279=84>4c9>640=9=h01??8:06b?84603;?m63956820d=:><31=9o4=77b>431348>57?:6:?120<6=?16996515c890?d28>j70;6d;362>;21l0:9;5211`951g<58:m6<:n;<324c9>54g=9=k010;6?u228`951?<5;3<6;|q1=3<72;q6>4l5d19>6<0=9l;0q~<68;296~;51>0:8l5228:95`752z?1=2<64751d38yv5?l3:19v3=988213=:;1n1=h?4=2;6>4063482>7?91:?0=a<6>81v?kj:18084b;3;?563=f1820g=::ll1=h?4}r0f7?6=?r79i>4>e09>6`1=9<<01?h9:044?84a?3;=;63<608224=:;?k1=;;4=da950052z?1ad<6<016>hj51d38yv4bi3:1?v3=e`82a4=:;?=1=9o4=244>42e3ty9i94?:3y>6c6=9=k01?k;:0g2?xu5n90;6?u22g295`7<5;om6<:6;|q1a0<72;q6>h:515c897c228o:7p}=e783>7}::l>1=9l4=3g5>4c63ty9i:4?:3y>6`1=9l;01?k9:06b?xu49:0;6<;t=3g;>400348n57?97:?056<6m816?<951448964528<<70==3;353>;4:j0:::5233f9531<5:9=6<88;<103?71?2788=4>669>717=9?=01>:n:044?853j3;=;63<548222=::o<1=;64=3d4>40?349==7?94:?102<6>>16?;o51748946728?=7p}<1e83>45|5;o36<87;<0f=?710278=i4>e09>777=9<<01>40?349?=7?98:?00d<6>116?9l517:8963228<370;5n>0::4523739533<5;><6<87;<15e?71?27:<<4>579~w640290:=v3=e9822<=::l31=;74=204>4c63499n7?:6:?073<6>016?>9517;8962728<270=;1;35=>;46<86;<0e2?73k279j:4>4b9>737=9?<01?:8:04:?851i3;=463>038213=z{:9:6=4i{<0f4b9>767=9l;01>=::075?85383;?o63<40820f=:;=k1=9m4=26a>42d349>97?;c:?1b3<6k9515f8960628<<70<;7;37g>;4>h0::45211195005dz?1a=<6h7515f8965e28o:70=;46<:k;<0e2?73m279j:4>4d9>737=9?201?:8:06g?851i3;?o63>058213=z{:>>6=4m{<0f4d9>713=9l;01>:6:075?852=3;?i63=f7820c=::o=1=9h4=242>40>348?;7?;e:?02d<6579~w62a2902w0;5m00:8k5235d95`7<5:??6<;9;<0e2?728279j:4>519>737=9=i01>8n:06f?8cb28?=7p}=e983>7}::l21=h?4=3g5>42e3ty9i44?:3y>6`?=9l;01?k9:075?xu5mk0;6?u22d`95`7<5;oo6<:n;|q1af<72;q6>hm51d3897cc28>i7p}<1183>6}:;931=974=231>42e349:=7?j1:p75g=838p1>?=:06b?857i3;n=6s|30094?4|5:;96;<125?7312wx?=l50;0x966f28>j70=?b;3f5>{t;9i1<742e349;o7?j1:p75b=838p1>>k:0g2?857k3;?m6s|31g94?4|5::n6;<13g?73j2wx?=h50;0x966a28o:70=?c;362>{t;8k1<7=t=230>42>349:o7?;b:?05g<6m81v>?;:181856k3;?m63<1582a4=z{:;h6=4={<12g?7b9278=o4>489~w6722909w0=>4;37e>;49<0:i<5rs235>5<5s49:87?;b:?053<6m81v>?8:181856?3;n=63<17820d=z{:;36=4={<124c9~w67>2909w0=>9;3f5>;49?0:9;5rs207>5<4s49:h7?;9:?063<6v3<27820d=:;8o1=h?4}r112?6=:r78>;4>e09>773=9=30q~=>f;296~;49l0:8l5230d95`752z?05`<651d38yv5593:1>v3<2082a4=:;;:1=9o4}r116?6=:r78>?4>e09>776=9=h0q~==3;296~;4::0:i<52332950053z?062<6<016?>>515`8964a28o:7p}<2983>7}:;::1=9o4=20;>4c63ty8?=4?:3y>766=9l;01>;|q06d<72;q6??6515`8964f28o:7p}<2c83>7}:;;h1=h?4=20b>42f3ty8>n4?:3y>77e=9l;01>?515;8965f28>i70=<9;3f5>{t;:81<742f3498>7?j1:p76g=838p1>=n:0g2?85413;?56s|32194?4|5:996<:n;<107?7b92wx?>:50;0x965528>i70=<4;3f5>{t;:?1<74c6349887?;a:p760=838p1>=9:0g2?854<3;?n6s|32594?4|5:9<6;<100?72>2wx?9<50;1x965e28>270=;4;37f>;4<:0:i<5rs21`>5<5s49?87?;a:?07f<6m81v>:;:181853<3;n=63<42820<=z{:9o6=4={<10g?73i278?i4>e09~w65b2909w0=;4;l0:i<5rs21e>5<5s498j7?j1:?07`<6:?:18185383;n=63<3d820g=z{:>:6=4={<175?7b9278?h4>579~w62d2908w0=;5;37=>;452z?00`<6v3<4d82a4=:;=n1=974}r173?6=:r788;4>4`9>711=9l;0q~=;8;296~;452z?00<<6m816?96515c8yv53i3:1>v3<4`82a4=:;=21=9l4}r17f?6=:r788o4>e09>71>=9<<0q~=:b;297~;4;|q01d<72;q6?88515;8963f28o:7p}<5783>6}:;<<1=h?4=24;>42f349=47?;b:p706=838p1>;k:06b?85283;n=6s|34f94?4|5:?o6;<16g?7312wx?8?50;0x963728>j70=:1;3f5>{t;<81<742e349>>7?j1:p610=839p1>;<:06b?852;3;?n63=4782a4=z{:?86=4={<167?7b92789?4>4`9~w6332909w0=:4;3f5>;4=;0:8o5rs276>5<5s49>97?j1:?017<6=?1v>;8:181852?3;n=63<5`820d=z{:?36=4={<164c9~w63>2909w0=:9;3f5>;4=h0:9;5rs2;5>5<4s493h7?;9:?0==<6v3<99820d=:;1l1=h?4}r1:e09>7<1=9=30q~=7e;296~;41>0o<63<8d82a4=z{:3;6=4={<1;b?73i2785=4>e09~w6?62909w0=7f;37f>;4180:i<5rs2;1>5<5s492>7?j1:?0=4<67<:18185>;3;n=63<90820g=z{:3?6=4={<1:0?7b92785<4>579~w6?22909w0=65;3f5>;4180::<5rs3;0>5<5s483i7?;9:?1=1<6m81v?6j:1823~;50l0:i<526c6951d<583m6<;9;<3b4?72>27:m?4>579>5d5=9<<01;9?:075?80093;>:639738213=:>>91=884=37b>431348>n7?:6:?11f<6=?16>8j51448970128?=70<97;362>;5>10:9;5227;9500<527>hh4>579>1a`=9<<01<>i:06a?xu5190;6?u2286951?<5;3;6;|q14:5d19>6=`=9l;0q~<61;296~;5190:8l5228395`77>52z?1=5<64<51d38yv4a03:1?v3=f0820<=::ok1=9l4=3d:>4c63ty9j<4?:4y>6c7=9l;01?h::075?85193;?h63<6`820c=:mo0:9;5rs3d1>5<5s48mm7?;a:?1b7<6m81v?hn:18184ai3;n=63=f8820<=z{;l86=4={<0e6?73i279j>4>e09~w7`32909w0;5n=0:i<5rs3d6>5<5s48m97?j1:?1b1<63;n=63=f5820g=z{;l<6=4={<0e3?7b9279j94>579~w6?b2909w0=69;37=>;41o0:i<5rs2;a>5<5s492j7?;9:?0=g<6m81v>7n:18185>n3n;70=6a;3f5>{t;0i1<742f3492o7?j1:p77m:06a?85>l3;n=6s|3d494?5|5:nj6<:6;<1fe09~w6bf290:;v340634?987?95:?6g<<6><16:>951738935?28<:708;1;k0::8526`a951d<5?2m6<8>;<4:4?71927:n=4>679>5g7=9?<0196n:046?802j3;==63=338220=:=hn1=;?4=4`a>40034?oh7?91:?24=<6>816=28<<7p}7}:;l:1=974=2g6>4c63ty8i=4?:2y>7`6=9l;018;<:06b?832;3;?n6s|3e`94?4|5:o36<:n;<1gf?7b92wx?h650;0x96c?28o:70=j7;37=>{t;mi1<742f349oo7?j1:p7ab=838p1>jm:06a?85cl3;n=6s|62;94?5|5:nn6<:n;<1ga?73j27=?44>e09~w6bb2909w0=ke;3f5>;4lm0:8l5rs2fe>5<5s49oj7?j1:?0`a<61=;74=0;b>4c634<:47?99:?55<<6>01698:515`8903128>j70;:7;362>;2=10:9;52260951d<5;=86<:n;<040?73k279;84>4b9~w76a2909w0=j1;362>;58o0:i<5rs2g2>5<5s49n=7?j1:?0a0<6k=:18185b:3;n=63579~w6c32909w0=j4;3f5>;4m<0::<5rs5c`>5<4s4>j=7?;9:?7e`<64=5c4>43734>j47?:0:?7e<<6=916>n;5142897e128?;70;5k10:9=521b`9537<5l21=884}r6bf?6=:r7?ml4>489>0dd=9l;0q~:na;297~;3ih0:i<5212c951g<589j6<:m;|q7e7<72;q68lk515c891g528o:7p};ad83>7}:42>3ty?m>4?:3y>0d4=9=k019o<:0g2?xu3i=0;6?u24`0951d<5=k?6;|q1g1<72:q68l;515c891g228>i70{t4c634>j87?;a:p0d0=838p19o9:0g2?82f<3;?n6s|4`594?4|5=k<6;<6b0?72>2wx8l650;0x91g?28o:70:n4;355>{t4c634>j87?94:p2g0=838p1;l?:06:?80e?3;n=6s|6c294?54s4;6i:0::<526`c9500<5?ki6<;9;<4bg?72>27=mi4>4c9>213=9<<01;:9:075?803?3;?n63949820g=:>0i1=9l4=7;g>43134<2i7?:6:?5=c<651738931728<:70881;355>;1?;0::<526619537<5;;>6<;9;<022?72>279=:4>4c9>64>=9=h01;;8:06a?80213;?n6395`8224=::<31=;?4=37b>406348>n7?91:?11f<6>816>8j51738970228<:70<96;355>;5>>0::<5227:9537<5;<26<8>;<7gf?71927>hn4>609>1ac=9?;018ji:042?877k3;?m63>0e820d=:99o1=9o4=03;>43134;:57?:6:?25d<6v39b6820<=:>k;1=h?4}r4a6?6=:r7=n<4>4`9>2g4=9l;0q~8m3;296~;1j80:8o526c195`752z?5f1<6m816:o=515c8yv02n3:1>8u26c7951d<5?k26<:n;<4be?71927=mo4>609>2de=9?;01;ok:075?803<3;?m639448224=:>=<1=;?4=764>43134<:515c8977228<:70<>6;355>;59>0:9;5220:9500<5??26<;9;<46e?71<27=9o4>659>60?=9?>01?;n:047?842j3;=863=5b8221=::403348=:7?94:?122<6>=16>;651768970>28;2<:0:8o525e`9532<5hh4>659>1a`=9?>0q~867;29<~;1j<0:9;5268595`7<5?4`9>203=9=h018:7:06a?877k3;?n6s|67794?0|5?h>6<8>;<456?73i27=:?4>4c9>233=9l;018:;:06b?833<3;?n6s|67`94?0|5?h>6<8;;<454c9>23d=9l;018:::06b?833=3;?n6s|6c794?4|5?h>6;<4a7?73j2wx=l;50;0x94?f28>270?n6;3f5>{t9h>1<742>34;j87?j1:p516820g=z{83i6=4={<3b2?73127:5o4>e09~w4?d2909w0?6b;37e>;61j0:i<5rs0;g>5<5s4;2n7?;b:?2=a<6m81v?9?:18087>l3;?m63>9e820g=::>:1=h?4}r4b7?6=:=q6=4h5176894g728;6i;0::9521`19532<5?9<6<8;;<404>e09>2d?=9=h01;:;:06a?803?3;==6398g8221=:>0:1=;:4=7;g>40634<2i7?91:?5=c<6=?16:l>51768931728;1?;0::9526619532<5;;?6<:m;<023?71927=944>609>1m3;==63:9g8224=:=h:1=;?4=02g>42e34;;j7?:6:?25=<6>816=<751738947f28?=70?>b;350>;69j0::95rs705>5<6?r7:5k4>649>5d6=9??01>;1=;;4=751>40234<8o51778973e28<>70<:c;351>;5=m0::8522749533<5;<<6<8:;<05649>142f3ty:5k4?:3y>5<`=9l;01:046?804?3;=9639398220=:>hn1=;?4=71e>4c634<16:4>5177893?a28<:70<>8;355>;1=k0::85258d9532<5hi4>649>54g=9?;01a283>7}:9h91=h?4=0c7>4033ty?5k4?:3y>0u248c95`7<583?6<;9;<30f?72>2wx84m50;0x91g728>270:6c;3f5>{t<0h1<7a6<5=3i6;|q7=a<72;q684m515c891?c28o:7p};9d83>7}:<0i1=9l4=5;f>4c63ty?n;4?:6y>042f34>m?7?;b:p0g2=838p19oi:06:?82e=3;n=6s|4`d94?5|5=km6;<3a`?72>27:?>4>579~w1d62909w0:m5;37=>;3j80:i<5rs5`3>5<5s4>i97j?;<6a4?7b92wx8o<50;0x91d628>j70:m2;3f5>{t42e34>i?7?j1:p170=839p18?n:06:?83503;?563:2682a4=z{<836=4<{<71>=4>4c9>566=9=h0q~;=5;296~;2:80:845253795`753z?664<6m816=><515c8945528>i7p}:1c83>7}:=;=1=974=43a>4c63ty>=n4?:3y>14d=9=k018?l:0g2?xu29m0;6?u250`951d<5<;o6;|q26c<72:q69i70?=f;3f5>{t=8o1<74c634?:h7?;a:p14`=838p18?i:0g2?836l3;?n6s|53294?4|5<8;6;<72`?72>2wx9?<50;0x904528o:70;=5;37e>{t=;91<74c634?997?;b:p172=838p18<;:0g2?835=3;>:6s|60c94?4|5?;?6<:6;<42f?7b92wx:<;50;0x937e28>2708>5;3f5>{t>8i1<77?d34<:o7?j1:p240=838p1;?::06b?806>3;n=6s|60594?4|5?;>6<:m;<423?7b92wx:<650;0x937?28o:708>7;37e>{t>831<74c634<:;7?;b:p1fd=838p18m?:06:?83dj3;n=6s|5bc94?4|5f;37e>;69o0:8o5rs4a2>5<5s4?hn7?;9:?6g4<6m81v8m=:18183d93;?m63:c382a4=z{o>4>e09~w0gf2908w0;l3;37e>;2k:0:8o525`c95`752z?6g0<6m8169no515c8yv3d>3:1>v3:c782a4=:=jk1=9l4}r7`3?6=:r7>o:4>e09>1fg=9<<0q~;l8;296~;2k10:i<525bc953752z?6g<<6m8169no51768yv34i3:18v3:2g820<=:=;o1=974=41`>42e34?8n7?j1:p17c=83>p18:63:358213=:n=0:9;5rs41:>5<5s4?897?;9:?67<<6m81v8=::180834=3;n=63>b`820d=:9kk1=9l4}r704?6=:r7>?n4>4`9>166=9l;0q~;52z?675<6?51d38yv34:3:1>v3:31820g=:=:81=h?4}r707?6=:r7>?>4>e09>164=9=k0q~=k4;297~;2;=0::<522b19533<5:n?6;|q671<72;q69>:51d38905528>i7p}:3783>7}:=:<1=h?4=41:>42f3ty>?:4?:3y>161=9l;018=6:06a?xu2;10;6?u252:95`7<5<926<;9;|q17c<72;q6>>;515;8975a28o:7p}=3d83>7}:::h1=974=31f>4c63ty9?o4?:2y>66d=9l;01?8<:06b?841;3;?n6s|22494?4|5;9m6<:6;<002?7b92wx>>950;0x975128>j70<<7;3f5>{t::21<742e348847?j1:p264=83;iw0<<9;37f>;5;h0:8o5222a951d<5;9o6<:n;<406?7b927?i<4>4c9>0`4=9=h01>o::06a?85f>3;?m63=1g820g=::;:1=9o4=305>42e3489;7?;a:?101<69;515c8972c28>i70<;e;37e>;5=90:8o52243951g<5;=h6<:m;<04`?73i2794>4>4c9>6=2=9=h01?6n:06a?84?j3;?n63:a88213=:9;=1=884}r00=?6=:r79?44>e09>66>=9=k0q~<52z?17f<6m816>>k515c8yv44l3:1>v3=3e82a4=:::o1=9l4}r76=?6=;r7>8k4>489>11c=9=3018;n:0g2?xu2u255g95`7<5?8m6<:n;<41b?73j2wx98>50;0x903f28>270;:0;3f5>{t=<;1<742f34?>=7?j1:p104=838p18;?:06a?832:3;n=6s|54194?4|5;<766?73i2wx98:50;0x903328o:70;:2;37f>{t=4c634?>>7?:6:p5`?=839p18;9:06a?87b13;n=63>f3820d=z{9?4>609~w0302909w0;:7;3f5>;2=;0::95rs47;>5<5s4?>47?j1:?617<6><1v;=k:181804:3;?56393d82a4=z{?9h6=4={<40=?73127=?n4>e09~w3542909w08;1;:0:i<5rs717>5<5s4<8?7?;a:?571<6m81v;=::181804;3;?n6393482a4=z{?2i6=4>1z?573<68515`893>028>j70877;37f>;10k0:i<522069500<5;;>6<8;;<022?71<279=:4>659>64>=9?>01;:j:06b?803m3;?n63=648220=::?<1=;84=344>401348=47?96:?12<<6>?1v;=9:181804>3;n=63934820d=z{?9<6=4={<403?7b927=?84>4c9~w35?2909w08<8;3f5>;1;<0:9;5rs71b>5<5s4<8m7?j1:?57f<6e09~w1b62909w0:k7;37=>;3l80:i<5rs5f1>5<5s4>o=7?;a:?7`7<6m81v9j<:18182c93;?n63;d282a4=z{=n?6=4={<6g0?7b927?h>4>4`9~w1>b290>w0:k5;37f>;3ll0:8o5249g95`7<58ij6<:m;42e3ty?h84?:3y>0a3=9l;019j<:06a?xu3m=0;6?u24e:951?<5=o>6;|q7a6<72;q68h>515;891c428o:7p};e183>6}:42f3489o7?;b:p0a?=838p19k::06:?82c13;n=6s|4ec94?4|5=n26<:n;<6ge?7b92wx8il50;0x91b>28>i70:kb;3f5>{t98o1<7=t=5f`>42f34>oo7?;b:?25`<6m81v9jl:18182ck3;n=63;dc820d=z{=no6=4={<6g`?7b927?ho4>4c9~w1bb2909w0:ke;3f5>;3lk0:9;5rs5fe>5<5s4>oj7?j1:?7`g<6>81v9k>:18182b93;n=63;e2820d=z{=o96=4={<6f6?7b927?i>4>4c9~w1122909w0:9e;37=>;3??0:i<5rs54e>5<5s4><:7?;9:?72c<6m81v99?:181821n3;?m63;7182a4=z{==:6=4={<65b?73j27?;<4>e09~w1242903w0:82;37f>;3?:0::<524669537<5=>86;<4;>40234>8o7?;a:?77f<6v3;7382a4=:<>;1=9o4}r647?6=:r7?;>4>e09>027=9=h0q~:84;296~;3?=0:i<524639500>47>52z?714<6<01688751d38yv22:3:1>v3;58820<=:<<81=h?4}r667?6=:r7?9?4>4`9>005=9l;0q~::4;296~;3=;0:8o5244695`7>97>52z?710<6m81688:515c8yv22>3:1>v3;5782a4=:<<>1=9l4}r663?6=:r7?9:4>e09>002=9<<0q~=i4;296~;4n90:84523g795`752z?0b0<6<016?k?51d38yv5a:3:1>v34c9>7c5=9l;0q~:?a;297~;38:0:845241a951d<5=:i6;|q741<72;q68=m515c8916328o:7p};0b83>7}:<9i1=h?4=52a>42>3ty?<84?:3y>052=9=k019>::0g2?xu38?0;6?u2416951d<5=:=6;|q76f<721q68=951448916>28c;362>;39m0:9;52401951d<5=8>6<;9;<613?71927?>n4>e09~w1602909w0:?7;3f5>;38?0:8l5rs52;>5<5s4>;47?j1:?743<66:18182713;n=63;078213=z{=?n6=4={<66e?73127?9k4>e09~w13e2909w0::f;37=>;3=k0:i<5rs57`>5<5s4>>n7?;a:?71f<6m81v9;k:181822j3;?n63;5e82a4=z{=;n6=4<{<623?73127?>=4>4c9>04`=9l;0q~:>8;296~;3:90:8l5240:95`79<7>52z?765<6m8168v3;19820d=:<831=h?4}r62e?6=:r7?=54>4c9>04g=9l;0q~:>b;296~;39k0:i<5240c951g:o7>52z?75f<6m8168v3;1e82a4=:<8k1=884}r650?6=:r7?:=4>489>033=9l;0q~:91;296~;3><0:845247395`7=>7>52z?724<6v3;60820g=:489>03b=9l;0q~:97;296~;3>m0:845247595`7=47>52z?722<6v3;66820g=:e09>03?=9=k0q~:9b;296~;3>k0:i<5247;951d52z?5e6<6<016:lh51d38yv0f<3:1>v39ag820<=:>h>1=h?4}r4b1?6=:r7=m94>4`9>2d3=9l;0q~8n6;296~;1i=0:8o526`495`753z?5e2<67}:>h=1=h?4=7c5>42f3ty=954?:2y>2d>=9=k01;o7:06a?80203;n=6s|6`:94?4|5?k36;<4b2?73j2wx::950;3580f13;>:6397682a4=:>=>1=884=7;`>431348:87?91:?512<6=?16:8l51748973f28<=70<:b;352>;5=j0::;5224f9530<5;<=6<88;<053?71?279:54>669>63?=9?=018jm:045?83ck3;=:63:de8223=:=mo1=;84=4fe>40134;;n7?;b:?24`<6609~w3ge2909w08nb;3f5>;1i?0::95rs7c`>5<5s4<1v;ok:18180fl3;n=639a78223=z{;ij6=4<{<0`4?731279on4>4c9>6fd=9l;0q~52z?1gf<6n?51d38yv4dk3:1>v3=cb82a4=::jh1=974}r0`6?6=:r79o<4>4`9>6f4=9l;0q~i7>54z?1g6<6>?16>i?5177897b528<>70=:e;3f5>{t:j?1<74c6348h57?;a:p6f0=838p1?m9:0g2?84d13;?n6s|2b594?4|5;i<6;<0`=?72>2wx>n650;0x97e?28o:70{t:m91<7=t=3ag>42>348o97?;b:?1`1<6m81v?mj:18184c=3;?m63=cd82a4=z{;n>6=4={<0g1?7b9279h94>489~w7ea2909w0;5ko0:i<5rs3f3>5<5s48hi7?;b:?1`5<6m81v?j>:18184c93;n=63=d1820d=z{;n96=4={<0g6?7b9279h=4>4c9~w1722909w0:?d;37=>;39?0:i<5rs52f>5<5s4>::7?;9:?74`<6m81v9>i:181827m3;?m63;0g82a4=z{=;;6=4={<63a?73j27?==4>e09~w1762909w0:>1;3f5>;3990:8l5rs531>5<5s4>:>7?j1:?755<6609~w14>2908w0:=1;37=>;3:k0:8o5243c95`79>7>52z?76g<6v3;2c82a4=:<;k1=974}r617?6=:r7?>?4>4`9>075=9l;0q~:=4;296~;3:;0:8o5243695`7997>52z?760<6m8168?:515c8yv25>3:1>v3;2782a4=:<;>1=9l4}r613?6=:r7?>:4>e09>072=9<<0q~:=8;296~;3:10:i<52436953752z?532<6<016:5<51d38yv0?83:1>v397c820<=:>1:1=h?4}r44f?6=;r7=;o4>e09>`g<64c9~w31?2909w0872;37=>;1?10:i<5rs75:>5<5s4<<47?;a:?53<<6m81v;9n:18180003;?n6397`82a4=z{?8h6=4:{<44e?73i27=>n4>e09>2=>=9=k018:6:06b?876<3;?n6s|69194?c|5?=j6<:m;<4;7?7b927=454>4c9>642=9?>01??::046?846>3;=963=168220=::821=;;4=346>401348=:7?98:?122<6>116>;6517:8970>28<370;;9;37f>{t13:1=?u266a9500<5?=o6<;9;<44a?71927=;k4>4`9>7f6=9<<01>m>:075?85d:3;>:6342e34;m>7?;b:?2b6<6=?1657?j1:?gg?72>27oh7?:6:?ga?72>27oj7?:6:?f4?72>2wx::m50;0x931d28o:70870;37e>{t>>n1<74c634<3<7?;b:p22c=838p1;9j:0g2?80?83;>:6s|3`294??|5?=m6<:m;<1b4?7b9278m>4>579>2<5=9=h01>l?:06b?85e93;?m6342e3ty8mo4?:9y>22`=9<<01;7<:075?85fj3;n=63431349hh7?:6:?0g`<6=?1v>l6:186800n3;==639938213=:;k31=h?4=2ag>406349hi7?91:p7f>=839p1;9i:047?85d03;n=63609~w32>2909w08;15<5s4:18180383;?m6394082a4=z{?>96=4={<474?73j27=8?4>e09~w73?2908w08;3;37e>;1<:0:8o5224:95`752z?506<6m816:9<515c8yv03<3:1>v394582a4=:>=81=9l4}r471?6=:r7=884>e09>214=9<<0q~8;6;296~;152z?502<6m816:9<51768yv0303:1>v394982a4=:>=81=;;4}r457?6=:r7=9k4>489>232=9l;0q~890;296~;1>=0:845267295`752z?525<6v3961820g=:>?81=h?4}r341?6=:r7::o4>489>520=9l;0q~?9c;296~;6??0:845217a95`752z?22f<6v3>6b820g=:9?o1=h?4}r35b?6=:r7::k4>e09>53c=9=k0q~?80;296~;6?90:i<5217g951d52z?234<6m816=;k51448yv31<3:1?v3>73820d=:9>81=9l4=447>4c63ty:;?4?:3y>524=9l;01<8j:042?xu6?:0;6?u216195`7<587p}6}:;h:1=974=2cb>42e349j57?j1:p7d1=838p1>o;:06:?85f?3;n=6s|3`694?5|5:k?6;<063?73i2799:4>4c9~w6g62909w0=na;37e>;4i80:i<5rs2cb>5<5s49jm7?j1:?0e<<6<01v>o=:18185f93;?m634>e09~w6g22909w0=n5;3f5>;4i>0:8l5rs2c5>5<5s49j:7?j1:?0e2<6?6=4={<67e09~w1222909w0:;4;37e>;3<<0:i<5rs565>5<5s4>?87?;b:?703<6m81v9:6:185823>3;?m63;47820g=:<=31=h?4=7;9533<5=>;6<8:;<753?71<2wx89h50;0x912>28>270::0;3f5>{t<=k1<742>34>?m7?j1:p01d=838p19:n:06b?823j3;n=6s|45a94?4|5=>j6<:m;<67g?7b92wx89j50;0x912c28o:70:;c;37e>{t<=o1<74c634>?o7?;b:p0<4=838p196j:06:?82>;3;n=6s|49d94?4|5=386<:6;<6;b?7b92wx84>50;0x91>a28>j70:60;3f5>{t<0;1<742e34>2=7?j1:p0f6=838p19l9:06:?82d93;n=6s|4c594?4|5=i:6<:6;<6a3?7b92wx8o650;0x91d028>j70:m8;3f5>{t42e34>i57?j1:p7g4=839p19ln:06b?82ei3;?n634`9~w1de2909w0:mb;3f5>;3j00:8o5rs5``>5<5s4>io7?j1:?7f<<6=?1v9lk:18182el3;n=63;b88224=z{=hn6=4={<6aa?7b927?n44>659~w1da2909w0:mf;3f5>;3j00::85rs713>5<5s4<9o7?;9:?574<6m81v;h4>e09~w34a2909w08=d;37f>;1:o0:i<5rs7::>5<5s4<3?7?;9:?56=4={<4;0?73i27=484>e09~w3>12909w0874;37f>;10?0:i<5rs7:4>5<5s4<3;7?j1:?5<3<66=4={<4;f?73127=5;4>e09~w3?32909w0861;37=>;11=0:i<5rs7;2>5<4s4<2=7?j1:?0fa<6v3997820<=:>1i1=h?4}r4;`?6=:r7=4n4>4`9>2=b=9l;0q~87e;296~;10j0:8o5269g95`752z?583:1>v399182a4=:>1o1=9l4}r4:6?6=:r7=5?4>e09>2<2=9=k0q~863;296~;11:0:i<52686951d52z?5=2<6<016:l<51d38yv0>03:1>v39a3820<=:>021=h?4}r4:=?6=:r7=554>4`9>253z?5=g<67}:>0h1=h?4=7;b>42f3ty=5n4?:3y>27}:>0l1=h?4=7;b>4033ty=m=4?:3y>2d6=9l;01;7n:046?xu61>0;6>u219a951?<58326<:m;<3:28>j70?7d;3f5>{t9031<74c634;247?;9:p5=c=838p1<6k:06b?87?m3;n=6s|19d94?4|582o6<:m;<3;b?7b92wx=4>50;0x94?728o:70?7f;37e>{t:;n1<7=t=0;2>42f34;2=7?;b:?16a<6m81v<7>:18187>93;n=63>8g820g=z{8926=4<{<3:6?73i27:5?4>4c9>56?=9l;0q~?62;296~;61;0:i<5219d950052z?2=6<6m816=5h51738yv7><3:1>v3>9582a4=:91l1=;:4}r3:1?6=:r7:584>e09>5=`=9??0q~?66;296~;61?0:i<5219d953053z?2f0<6<016=n<515`894e628o:7p}>b783>7}:9j81=9o4=0`5>4c63ty:o?4?:3y>5f4=9l;01:06:?xu6j>0;6?u21c4951g<58h<6;|q2f=<72;q6=o8515`894d?28o:7p}>b883>7}:9k31=h?4=0`;>42f3ty:nl4?:3y>5gg=9l;01u21c`951g<58hi6<:m;<305?7b92wx=ol50;0x94de28o:70?m8;362>{t9ki1<74c634;i47?91:p5gb=838p1;<3a{t;k=1<742>349i47?j1:p7g0=838p1>l=:06:?85e>3;n=6s|3`a94?4|5:h36<:6;<1bg?7b92wx?lj50;0x96gd28>j70=nd;3f5>{t;ho1<742e349ji7?j1:p7f`=839p1>oi:06b?85fn3;?n634`9~w6d72909w0=m0;3f5>;4il0:8o5rs2`2>5<5s49i=7?j1:?0e`<6=?1v>l<:18185e;3;n=634c9~w6d22909w0=m5;3f5>;4j?0:9;5rs2a6>5<4s49i57?;9:?0g2<6v31=h?4}r1ab?6=;r78nk4>e09>5`b=9=k01;|q0g2<72;q6?n951d3896e128>27p}7}:;kk1=9o4=2`a>4c63ty8nn4?:3y>7gg=9=h01>ll:0g2?xu4jm0;6?u23cf95`7<5:hh6<:n;|q0f`<72;q6?ok51d3896dd28>i7p}7}:;j:1=h?4=2a7>42f3ty8o<4?:3y>7f7=9l;01>m;:06a?xu4k;0;6?u23b095`7<5:i?6<;9;|q0g6<72;q6?n=51d3896e328<:7p};3783>7}:<:81=974=514>4c63ty??>4?:3y>061=9=3019=<:0g2?xu3;=0;6?u2421951g<5=9?6;|q770<72;q68>=515`8915228o:7p}>a683>1}:<:?1=9o4=516>42e34;j;7?j1:?f1?73j2wx:;750;0x930228>27089a;3f5>{t>?<1<742>34<=:7?j1:p231=838p1;89:06b?801?3;n=6s|67:94?4|5?<=6<:m;<45270886;3f5>{t>>>1<742>34<<87?j1:p23e=838p1;99:06:?801k3;n=6s|67f94?4|5?i7089e;3f5>{t>>:1<74c634<<87?;a:p227=838p1;9>:0g2?800<3;?n6s|66094?4|5?=96;<440?72>2wx::=50;0x931428o:70884;355>{t>j0;6?u262820<=:>m0:i<5rs7194?5|5?91=h?4=7c9533<5=oi6<:n;|q50?6=:r7=h7?;9:?50?7b92wx:84?:3y>21<6e09~w30=838p1;:515`8930=9l;0q~88:18180028o:7089:06b?xu103:1>v398;3f5>;1>3;?n6s|6883>7}:>00:i<52678213=z{?k1<75<5s4;<45>4033ty:n>4?:3y>5d1=9=301;|q2e=<72;q6=o:515;894g?28o:7p}>a883>7}:9h21=9o4=0c:>4c63ty:ml4?:3y>5d>=9=h01ac820d=:9hh1=9l4=d095`752z?2eg<6m816=lo515c8yv7fk3:1>v3>ab82a4=:9hk1=9l4}r3ba?6=:r7:mh4>e09>5g4=9=k0q~?nf;296~;6io0:i<521c0951d52z?2f5<6m816=o<51448yv7e93:1>v3>b082a4=:9k81=;?4}r3`a?6=:r7:o>4>489>5f`=9l;0q~?l3;291~;6k:0:i<521b;9500<58ij6<;9;<64e?73i27?;l4>4c9~w4e32909w0?lf;37=>;6k=0:i<5rs0a6>5<5s4;h87?;a:?2g0<6m81vc782a4=z{4c9>1g0=9l;0q~?l7;296~;6k>0:i<521b4951g53z?2g=<6c983>7}:9j21=h?4=0a5>42e3ty:o44?:3y>5f?=9l;01;|q2gg<72;q6=nl51d3894e128cb83>7}:9ji1=h?4=0a5>4023ty:oi4?:3y>5fb=9l;016;|q2ad<72;q6=k;515;894cf28o:7p}>ec83>7}:9lk1=9o4=0ga>4c63ty:in4?:3y>5`g=9=h014`9>5`c=9=h01io51d38yv7bm3:1>v3>ed82a4=:9li1=9l4}r3fb?6=:r7:ik4>e09>5`e=9<<0q~?i0;296~;6n90:i<521da953752z?2b4<6m816=hm51768yv7a:3:1>v3>f382a4=:9li1=;;4}r3e7?6=:r7:j>4>e09>5`e=9?<0q~52z?1f<<6<016>o;51d38yv4e>3:1>v3=b4820d=::k<1=h?4}r0a3?6=:r79n84>4c9>6g1=9l;0q~=k1;297~;4k10:84523e1951d<5:n96;|q0`5<72;q6?nh515;896b728o:7p}7}:;m91=9o4=2a:>4c63ty8h>4?:3y>7a5=9l;01>j=:06:?xu4kh0;6?u23b;951g<5:ij6;|q0gg<72;q6?n7515`896ee28o:7p}7}:;ji1=h?4=2aa>42f3ty8oi4?:3y>7fb=9l;01>mm:06a?xu4kl0;6?u23bg95`7<5:ii6<;9;|q0`=<72;q6?i:515;896b>28o:7p}7}:;m31=974=2f6>4c63ty8h;4?:3y>7a3=9=k01>j9:0g2?xu4l>0;6?u23e7951d<5:n<6;|q745<72:q6?k8515;8916528>i70:?1;3f5>{t;o<1<78t=2d5>4c6349mm7?91:?0bg<6><16?km5177896`c28<>70;8b;37f>{t;ol1<742>349mj7?j1:p7c1=838p19>=:06b?85a?3;n=6s|41094?4|5=:96;<635?7312wx?k650;0x96`028>j70=i8;3f5>{t;o31<742e349m57?j1:p7cg=838p1>hn:0g2?85a13;?m6s|3g`94?4|5:li6;<1e=?73j2wx?km50;0x96`d28o:70=i9;362>{t;on1<74c6349m57?91:p066=838p19j70:=e;3f5>{t<;l1<742e34>9j7?j1:p017=838p19=7:06:?823:3;n=6s|42:94?5|5=936;<674?71>27>;n4>609~w15>2909w0:;2;37=>;3;00:i<5rs51b>5<5s4>857?;a:?77d<6m81v9=m:18182413;?n63;3c82a4=z{=9h6=4={<60g?7b927??o4>4`9~w15c2909w0:;3;k0:8o5rs51f>5<5s4>8i7?j1:?77g<6=?1v9=i:181824n3;n=63;3c8224=z{=>;6=4={<674?7b927??o4>659~w11e2909w0:87;37=>;3?j0:i<5rs55;>5<5s4>e09~w1>62909w0:8d;37=>;30;0:i<5rs55f>5<5s4>3>7?;9:?73`<6m81v99i:181820m3;?m63;7g82a4=z{=2;6=4={<64a?73j27?4=4>e09~w34f2909w08=6;37=>;1:k0:i<5rs704>5<5s4<9n7?;9:?562<6m81v;<7:181805?3;?m6392982a4=z{?826=4={<413?73j27=>44>e09~w24=838p1;k515;8925=9l;0q~8j:18180b28o:70:jb;37f>{t>o0;6?u272820<=:>o0:i<5rs6294?4|5?l1=9o4=6295`742e34=:6;|q15<<72;q6>=h515;8977f28o:7p}=1183>7}::8k1=974=333>4c63ty9=<4?:3y>646=9=k01??>:0g2?xu59;0;6?u2202951d<5;;96;|q121<72:q6><=515c8977428>i70<94;3f5>{t:891<74c6348:>7?;a:p642=838p1??;:0g2?846:3;?n6s|20794?4|5;;>6;<026?72>2wx><850;0x977128o:70<>2;355>{t:8=1<74c6348:>7?94:p64>=838p1??7:0g2?846:3;=96s|2cg94?4|5;hj6<:6;<0ab?7b92wx>ol50;0x97da28>270{t:ki1<742f348io7?j1:p6gb=838p1?lm:06a?84el3;n=6s|37094?4|5:?n6<:6;<157?7b92wx?8h50;0x960428>270=:f;3f5>{t;?:1<742f349=<7?j1:p737=838p1>;i:06a?85193;n=6s|3dg94?4|5:oj6<:6;<1fb?7b92wx?hl50;0x96ca28>270=jb;3f5>{t;li1<742f349no7?j1:p7`b=838p1>km:06a?85bl3;n=6s|49a94?4|5=286<:6;<6;`?7b92wx85=50;0x91>428o:70:i4;37f>{t<1h1<742>34>3n7?j1:p0=1=839p1968:0g2?8c428>j70k<:06a?xu30=0;6?u249f951?<5=2?6;|q7<0<72;q685:515c891>228o:7p};8783>7}:<1>1=9l4=5:5>4c63ty?454?:3y>0=>=9l;0196m:06b?xu3000;6?u249;95`7<5=2i6<:m;|q7e28?=7p};9983>7}:<0>1=974=5;:>4c63ty?594?:3y>0<2=9l;01?9<:06a?xu31<0;6?u248;951?<5=3>6;|q7=3<72;q684;515c891?128o:7p};9683>7}:<0?1=9l4=5;4>4c63ty>h?4?:3y>1fc=9=3018j<:0g2?xu2kl0;6>u25bg95`7<58nh6<:n;<3gg?73j2wx9nh50;0x90b428>270;lf;3f5>{t=m:1<742f34?o<7?j1:p1a7=838p18mi:06a?83c93;n=6s|65d94?4|5?>i6<:6;<464?7b92wx:9l50;1x932e28o:70;nb;37e>;2ik0:8o5rs76`>5<5s4<><7?;9:?50f<6m81v;:k:181803k3;?m6394e82a4=z{?>n6=4={<47g?73j27=8h4>e09~w33c2909w08:1;37=>;1=l0:i<5rs772>5<4s4<>=7?j1:?6ef<6v3959820<=:>489>204=9l;0q~8:3;296~;1=;0:8l5264195`787>52z?517<6v395482a4=:><>1=9o4}r322?6=;r7=9;4>4`9>200=9=h017}:><31=h?4=77`>42f3ty=9l4?:3y>20g=9l;01;;l:06a?xu1=k0;6?u264`95`7<5??h6<;9;|q164<72;q6>7}::;;1=974=33`>4c63ty9=i4?:3y>64e=9=k01??k:0g2?xu59l0;6?u220a951d<5;;n6;|q15c<72;q6>j7p}=2183>7}::;:1=h?4=33f>42e3ty9>54?:3y>674=9=301?<7:0g2?xu5::0;6?u223:951?<5;886;|q161<72;q6>?=515c8974328o:7p}=2483>7}::;91=9l4=306>4c63ty9>;4?:3y>670=9l;01?<::06b?xu5:>0;6?u223595`7<5;8>6<:m;|q10=<72;q6>9>515;8972?28o:7p}=4683>7}::=<1=974=364>4c63ty98<4?:3y>61>=9=301?:>:0g2?xu5<;0;6?u2253951g<5;>96;|q106<72;q6>9?515`8972428o:7p}=4583>7}::=>1=h?4=360>42f3ty9884?:3y>613=9l;01?:<:06a?xu5=:0;6?u225;951?<5;?86;|q117<72;q6>9h515;8973528o:7p}=4g83>6}::=l1=h?4=34e>42f348=j7?;b:p61g=838p1?;<:06:?843i3;n=6s|25`94?4|5;>j6<:n;<07f?7b92wx>9m50;0x972f28>i70<;c;3f5>{t:=n1<74c6348?o7?;a:p61c=838p1?:j:0g2?843k3;?n6s|24294?4|5;?;6;<066?73i2wx>8?50;0x973628o:70<:2;37f>{t:42>348>j7?j1:p60c=838p1?;7:06:?842m3;n=6s|24794?4|5;?m6<:6;<061?7b92wx>8850;0x973228>j70<:6;3f5>{t:<=1<742e348>;7?j1:p60?=838p1?;6:0g2?842m3;?m6s|24c94?4|5;?j6;<06a?73j2wx>8l50;0x973e28o:70<:e;362>{t:4c6348>i7?91:p60b=838p1?;k:0g2?842m3;=86s|27`94?4|5;<;6<:6;<05f?7b92wx>;o50;0x970328>270<9a;3f5>{t:?;1<742>348==7?j1:p634=838p1?8>:06b?841:3;n=6s|27194?4|5;<:6<:m;<057?7b92wx>;;50;0x970228o:70<9a;37e>{t:?<1<74c6348=m7?;b:p631=838p1?88:0g2?841i3;>:6s|27:94?4|5;<36;<05e?7192wx>;750;0x970>28o:70<9a;350>{t:>=1<742>348<;7?j1:p620=838p1?9?:06:?840>3;n=6s|27f94?4|5;=<6<:6;<05`?7b92wx>;k50;0x970c28>j70<9e;3f5>{t:?l1<742e348=j7?j1:p627=838p1?9>:0g2?840>3;?m6s|26094?4|5;=96;<042?73j2wx>:=50;0x971428o:70<86;362>{t:>>1<74c6348<:7?91:p623=838p1?9::0g2?840>3;=86s|26g94?4|5;=36<:6;<04a?7b92wx>:750;0x971b28>270<89;3f5>{t:>k1<742f348;<04f?73i2wx>:j50;0x971c28o:70<8b;37f>{t:1?1<742>348397?j1:p6=6=838p1?6::06:?84?83;n=6s|29394?4|5;2;6<:n;<0;5?7b92wx>5<50;0x97>728>i70<72;3f5>{t:191<74c63483>7?;a:p6=2=838p1?6;:0g2?84?:3;?n6s|29a94?4|5;2=6<:6;<0;g?7b92wx>5950;0x97>d28>270<77;3f5>{t:121<742f348347?j1:p6=?=838p1?68:06a?84?13;n=6s|29c94?4|5;2j6;<0;=?73i2wx>5l50;0x97>e28o:70<79;37f>{t=lo1<742>34?ni7?j1:p1`b=838p18k7:06:?83bl3;n=6s|5d794?4|5j70;j6;3f5>{t=l=1<742e34?n;7?j1:p1`?=838p18k6:0g2?83bl3;?m6s|5dc94?4|5;<7f`?73j2wx9hl50;0x90ce28o:70;jd;362>{t=li1<74c634?nh7?91:p0`e=838p19k7:06:?82bk3;n=6s|4d;94?4|5=oh6<:6;<6f=?7b92wx8ho50;0x91c>28>j70:ja;3f5>{t42e34>nn7?j1:p11g=838p18=i:06:?833j3;n=6s|55294?4|5<>i6<:6;<774?7b92wx99?50;0x902728>j70;;1;3f5>{t==81<742e34??>7?j1:p115=838p18:<:0g2?833:3;?m6s|55694?4|5<>?6;<776?73j2wx99;50;0x902228o:70;;2;362>{t99k1<7=t=465>42f34??:7?;b:?24d<6m81v8:9:181833>3;n=63:438224=z{<3i6=4<{<773?73i27>8:4>4c9>10:i<52550953252z?60=<6m81699<51778yv3313:1>v3:4882a4=:==81=;84}r75=?6=:r7>:=4>489>13g=9l;0q~;98;296~;2>=0:845257:95`752z?62d<6<0169;?51d38yv31:3:1>v3:60820d=:=?81=h?4}r757?6=:r7>:<4>4c9>135=9l;0q~;95;296~;2><0:i<5257:951g52z?623<6m8169;6515`8yv31?3:1>v3:6682a4=:=?21=884}r7b6?6=:r7>5:4>489>1d5=9l;0q~;n1;296~;21k0:84525`395`752z?6e6<6<01694651d38yv3>13:1>v3:99820d=:=031=h?4}r7:e?6=:r7>554>4c9>11;297~;21h0:8l5258c951d<58;:6;|q6=f<72;q694m51d3890g628>j7p}:9e83>7}:=0n1=h?4=4c2>42e3ty>5h4?:3y>1:075?xu21o0;6?u258d95`7<5;|q6e5<72;q69l>51d3890g628dc83>7}:9m?1=974=0fa>4c63ty:h:4?:3y>5a0=9=301;|q2`=<72;q6=il515;894b?28o:7p}>d883>7}:9m21=9o4=0f:>4c63ty:hl4?:3y>5a>=9=h01;|q176<72;q6>?j515;8975428o:7p}=2`83>7}:::>1=974=30b>4c63ty9>o4?:3y>67g=9=k01?;|q16`<72;q6>?k51d38975428>j7p}=2g83>7}::;l1=h?4=310>42e3ty9?=4?:3y>666=9l;01?=<:075?xu5;80;6?u222395`7<5;986<8>;|q177<72;q6>><51d389754286}:;|q7b5<72;q68k8515;891`728o:7p};f083>7}:4c63ty?j?4?:3y>0c6=9=h019h=:0g2?xu3n:0;6?u24g195`7<5=l96<:n;|q7b1<72;q68k:51d3891`528>i7p}:1783>6}:=9h1=974=cf951?<5<;<6;|q650<72;q69=h515;8907228o:7p}:0g83>6}:=9l1=h?4=d`951g<5lh1=9l4}r73g?6=:r7>=:4>489>15e=9l;0q~;?d;296~;28j0:8l5251f95`752z?64f<6;28l0:8o52f382a4=z{<;;6=4={<724?7b927>=84>4`9~w0762909w0;>1;3f5>;29<0:8o5rs431>5<5s4?:>7?j1:?650<6=?1v8?<:181836;3;n=63:148224=z{<;?6=4={<720?7b927>=84>659~w0132909w0;9d;37=>;2?=0:i<5rs44f>5<5s4?<87?;9:?62`<6m81v88i:181831m3;?m63:6g82a4=z{<=;6=4={<75a?73j27>;=4>e09~w0162909w0;81;3f5>;2?90:8l5rs451>5<5s4?<>7?j1:?635<6;i4>e09~w01?2909w0;8d;37=>;2?10:i<5rs45:>5<5s4?<47?;a:?63<<6m81v89n:18183003;?n63:7`82a4=z{<=i6=4={<74f?7b927>;l4>4`9~w01d2909w0;8c;3f5>;2?h0:8o5rs4ce>5<5s4?j:7?;9:?6ec<6m81v8oj:18183fi3;?563:ad82a4=z{m:4>e09~w0g?2909w0;n7;37e>;2i10:i<5rs4c:>5<5s4?j;7?;b:?6e<<6m81v8om:18183fj3;n=63:ad820d=z{mh4>4c9~w0gc2909w0;nd;3f5>;2il0:9;5rs4`g>5<5s4?i>7?;9:?6fa<6m81v8ll:18183e>3;?563:bb82a4=z{n>4>e09~w0d32909w0;m3;37e>;2j=0:i<5rs4`6>5<5s4?i?7?;b:?6f0<6m81v<<9:18083e=3;?m63:b4820g=:9;<1=h?4}r7a3?6=:r7>n:4>e09>1ge=9=k0q~;m8;296~;2j10:i<525ca951d52z?6f<<6m8169om51448yv3ei3:1>v3:b`82a4=:=ki1=;?4}r7af?6=:r7>no4>e09>1ge=9?>0q~;j1;296~;2l?0:84525d395`752z?6`d<6<0169h>51d38yv3c?3:1>v3:e0820<=:=m=1=h?4}r7gh:4>4`9>1a>=9l;0q~;k9;296~;2l>0:8o525e;95`753z?6`<<67}:=mh1=h?4=4g3>42f3ty>hn4?:3y>1ae=9l;018k?:06a?xu2lm0;6?u25ef95`7<57}:=ml1=h?4=4g3>4033tyhm7>52z?`2?73127hm7?j1:pg2<72;q6ol4>489>g2<6m81vn650;0x9f1=9=k01n651d38yve>2909w0m8:06a?8e>28o:7p}<6d83>7}:;?l1=974=24f>4c63ty8:94?:3y>73c=9=301>8;:0g2?xu4><0;6?u2376951g<5:<>6;|q023<72;q6?;:515`8960128o:7p}<6683>7}:;?=1=h?4=245>42f3ty8:54?:3y>73>=9l;01>89:06a?xu4>00;6?u237;95`7<5:<=6<;9;|q02d<72;q6?;o51d38960128<:7p}<6c83>7}:;?h1=h?4=245>4033ty8:n4?:3y>73e=9l;01>89:046?xu4>m0;6?u237f95`7<5:<=6<89;|q;>5<5s431=974=982a4=z{>0;6?u23;37=>;028o:7p}<:18085=9l;01k9515c89c1=9=h0q~>50;0x9=<6<016<7?j1:p5?6=:r7;6<:n;<395`7e09>3?73j2wx:7>52z?5>4c634=1=884}rg2>5<5s4nj6<:6;4c63tyon7>52z?gf?7b927n=7?;a:p`f<72;q6hn4>e09>a4<6{tm90;6?u2e182a4=:m80::85rsd;94?4|5l81=974=d;95`74c634o26<:n;|qf0?6=:r7n87?j1:?f=?73j2wxi84?:3y>a0<6m816i44>579~w`0=838p1h851d389`?=9?;0q~k8:1818c028o:70k6:047?xub03:1>v3j8;3f5>;b13;=96s|f083>7}:mh0:8452f082a4=z{lh1<75<5s4oh6;42e3tynh7>52z?f`?7b927m=7?:6:pa`<72;q6ih4>e09>b4<6>81vhh50;0x9``=9l;01k?51768yv`72909w0h?:0g2?8`628<>7p}i5;296~;a:3;?563i5;3f5>{tn:0;6?u2f282a4=:n<0:8l5rsg694?4|5o>1=h?4=g7951d42>34lo6;|qe3?6=:r7m;7?j1:?e`?73i2wxj54?:3y>b=<6m816ji4>4c9~wc?=838p1k751d389cb=9<<0q~hn:1818`f28o:70hk:042?xuaj3:1>v3ib;3f5>;al3;=86s|fb83>7}:nj0:i<52fe8220=z{8:>6=4={42>34;;97?j1:pbc<72;q6jk4>e09>553=9=k0q~??0;296~;6890:i<52117951d52z?244<6m816==;51448yv77:3:1>v3>0382a4=:99?1=;?4}r337?6=:r7:<>4>e09>553=9?>0q~??4;296~;68=0:i<52117953352z?243<6<016==751d38yv77?3:1>v3>0682a4=:9931=9o4}r33e09>55?=9=h0q~?>0;296~;68h0:845210295`752z?24g<6m816=<>515c8yv77k3:1>v3>0b82a4=:98:1=9l4}r33`?6=:r7:e09>546=9<<0q~??e;296~;68l0:i<52102953752z?24c<6m816=<>51768yv76=3:1>v3>10820<=:98?1=h?4}r326?6=:r7:=?4>e09>543=9=k0q~?>3;296~;69:0:i<52107951d52z?251<6m816=<;51448yv76l3:1>v3>17820<=:98n1=h?4}r323?6=:r7:=:4>e09>54b=9=k0q~?>8;296~;6910:i<5210f951d52z?25<<6m816=v3>1`82a4=:98n1=;?4}r32f?6=:r7:=o4>e09>54b=9?>0q~?>c;296~;69j0:i<5210f953352z?25`<6<016=?;51d38yv76n3:1>v3>1g82a4=:9;?1=9o4}r314?6=:r7:>=4>e09>573=9=h0q~?=1;296~;6:80:i<5213795007>52z?267<6m816=?;51738yv75;3:1>v3>2282a4=:9;?1=;:4}r310?6=:r7:>94>e09>573=9??0q~?=7;296~;6:?0:845213595`752z?26=<6<016=?k51d38yv7513:1>v3>2882a4=:9;o1=9o4}r31e?6=:r7:>l4>e09>57c=9=h0q~?=b;296~;6:k0:i<5213g950052z?26f<6m816=?k51738yv75l3:1>v3>2e82a4=:9;o1=;:4}r304?6=:r7:>k4>489>566=9l;0q~?<8;296~;6;80:845212:95`77>52z?277<6m816=>6515c8yv74;3:1>v3>3282a4=:9:21=9l4}r300?6=:r7:?94>e09>56>=9<<0q~?<5;296~;6;<0:i<5212:953752z?273<6m816=>651768yv74?3:1>v3>3682a4=:9:21=;;4}r374?6=:r7:?44>489>516=9l;0q~?52z?27g<6m816=9>515`8yv74k3:1>v3>3b82a4=:9=:1=884}r30`?6=:r7:?i4>e09>516=9?;0q~?52z?27c<6m816=9>51778yxh0im<1<7?t}o5b`2<728qvb:ok8;295~{i?hn26=4>{|l4eag=83;pqc9ndc83>4}zf>koo7>51zm3dbc290:wp`8aeg94?7|ug=jhk4?:0y~j2gb83:1=vsa7`g2>5<6std{|l4e`g=83;pqc9nec83>4}zf>kno7>51zm3dcc290:wp`8adg94?7|ug=jik4?:0y~j2ga83:1=vsa7`d2>5<6std{|l4ecg=83;pqc9nfc83>4}zf>kmo7>51zm3d`c290:wp`8agg94?7|ug=jjk4?:0y~j2d783:1=vsa7c22>5<6std::182xh0j9<1<7?t}o5a42<728qvb:l?8;295~{i?k:26=4>{|l4f5g=83;pqc9m0c83>4}zf>h;o7>51zm3g6c290:wp`8b1g94?7|ug=i5<6std8;295~{i?k;26=4>{|l4f4g=83;pqc9m1c83>4}zf>h:o7>51zm3g7c290:wp`8b0g94?7|ug=i=k4?:0y~j2d583:1=vsa7c02>5<6std{|l4f7g=83;pqc9m2c83>4}zf>h9o7>51zm3g4c290:wp`8b3g94?7|ug=i>k4?:0y~j2d483:1=vsa7c12>5<6std<50;3xyk1e;:0;6{|l4f6g=83;pqc9m3c83>4}zf>h8o7>51zm3g5c290:wp`8b2g94?7|ug=i?k4?:0y~j2d383:1=vsa7c62>5<6std26=4>{|l4f1g=83;pqc9m4c83>4}zf>h?o7>51zm3g2c290:wp`8b5g94?7|ug=i8k4?:0y~j2d283:1=vsa7c72>5<6std{|l4f0g=83;pqc9m5c83>4}zf>h>o7>51zm3g3c290:wp`8b4g94?7|ug=i9k4?:0y~j2d183:1=vsa7c42>5<6std:0;6{|l4f3g=83;pqc9m6c83>4}zf>h=o7>51zm3g0c290:wp`8b7g94?7|ug=i:k4?:0y~j2d083:1=vsa7c52>5<6std<1<7?t}o5a32<728qvb:l88;295~{i?k=26=4>{|l4f2g=83;pqc9m7c83>4}zf>h51zm3g1c290:wp`8b6g94?7|ug=i;k4?:0y~j2d?83:1=vsa7c:2>5<6std{|l4f=g=83;pqc9m8c83>4}zf>h3o7>51zm3g>c290:wp`8b9g94?7|ug=i4k4?:0y~j2d>83:1=vsa7c;2>5<6std{|l4f4}zf>h2o7>51zm3g?c290:wp`8b8g94?7|ug=i5k4?:0y~j2df83:1=vsa7cc2>5<6std{|l4fdg=83;pqc9mac83>4}zf>hjo7>51zm3ggc290:wp`8b`g94?7|ug=imk4?:0y~j2de83:1=vsa7c`2>5<6std{|l4fgg=83;pqc9mbc83>4}zf>hio7>51zm3gdc290:wp`8bcg94?7|ug=ink4?:0y~j2dd83:1=vsa7ca2>5<6std{|l4ffg=83;pqc9mcc83>4}zf>hho7>51zm3gec290:wp`8bbg94?7|ug=iok4?:0y~j2dc83:1=vsa7cf2>5<6std{|l4fag=83;pqc9mdc83>4}zf>hoo7>51zm3gbc290:wp`8beg94?7|ug=ihk4?:0y~j2db83:1=vsa7cg2>5<6std{|l4f`g=83;pqc9mec83>4}zf>hno7>51zm3gcc290:wp`8bdg94?7|ug=iik4?:0y~j2da83:1=vsa7cd2>5<6std{|l4fcg=83;pqc9mfc83>4}zf>hmo7>51zm3g`c290:wp`8bgg94?7|ug=ijk4?:0y~j2e783:1=vsa7b22>5<6std::182xh0k9<1<7?t}o5`42<728qvb:m?8;295~{i?j:26=4>{|l4g5g=83;pqc9l0c83>4}zf>i;o7>51zm3f6c290:wp`8c1g94?7|ug=h5<6std8;295~{i?j;26=4>{|l4g4g=83;pqc9l1c83>4}zf>i:o7>51zm3f7c290:wp`8c0g94?7|ug=h=k4?:0y~j2e583:1=vsa7b02>5<6std{|l4g7g=83;pqc9l2c83>4}zf>i9o7>51zm3f4c290:wp`8c3g94?7|ug=h>k4?:0y~j2e483:1=vsa7b12>5<6std<50;3xyk1d;:0;6{|l4g6g=83;pqc9l3c83>4}zf>i8o7>51zm3f5c290:wp`8c2g94?7|ug=h?k4?:0y~j2e383:1=vsa7b62>5<6std26=4>{|l4g1g=83;pqc9l4c83>4}zf>i?o7>51zm3f2c290:wp`8c5g94?7|ug=h8k4?:0y~j2e283:1=vsa7b72>5<6std{|l4g0g=83;pqc9l5c83>4}zf>i>o7>51zm3f3c290:wp`8c4g94?7|ug=h9k4?:0y~j2e183:1=vsa7b42>5<6std:0;6{|l4g3g=83;pqc9l6c83>4}zf>i=o7>51zm3f0c290:wp`8c7g94?7|ug=h:k4?:0y~j2e083:1=vsa7b52>5<6std<1<7?t}o5`32<728qvb:m88;295~{i?j=26=4>{|l4g2g=83;pqc9l7c83>4}zf>i51zm3f1c290:wp`8c6g94?7|ug=h;k4?:0y~j2e?83:1=vsa7b:2>5<6std{|l4g=g=83;pqc9l8c83>4}zf>i3o7>51zm3f>c290:wp`8c9g94?7|ug=h4k4?:0y~j2e>83:1=vsa7b;2>5<6std{|l4g4}zf>i2o7>51zm3f?c290:wp`8c8g94?7|ug=h5k4?:0y~j2ef83:1=vsa7bc2>5<6std{|l4gdg=83;pqc9lac83>4}zf>ijo7>51zm3fgc290:wp`8c`g94?7|ug=hmk4?:0y~j2ee83:1=vsa7b`2>5<6std{|l4ggg=83;pqc9lbc83>4}zf>iio7>51zm3fdc290:wp`8ccg94?7|ug=hnk4?:0y~j2ed83:1=vsa7ba2>5<6std{|l4gfg=83;pqc9lcc83>4}zf>iho7>51zm3fec290:wp`8cbg94?7|ug=hok4?:0y~j2ec83:1=vsa7bf2>5<6std{|l4gag=83;pqc9ldc83>4}zf>ioo7>51zm3fbc290:wp`8ceg94?7|ug=hhk4?:0y~j2eb83:1=vsa7bg2>5<6std{|l4g`g=83;pqc9lec83>4}zf>ino7>51zm3fcc290:wp`8cdg94?7|ug=hik4?:0y~j2ea83:1=vsa7bd2>5<6std{|l4gcg=83;pqc9lfc83>4}zf>imo7>51zm3f`c290:wp`8cgg94?7|ug=hjk4?:0y~j2b783:1=vsa7e22>5<6std::182xh0l9<1<7?t}o5g42<728qvb:j?8;295~{i?m:26=4>{|l4`5g=83;pqc9k0c83>4}zf>n;o7>51zm3a6c290:wp`8d1g94?7|ug=o5<6std8;295~{i?m;26=4>{|l4`4g=83;pqc9k1c83>4}zf>n:o7>51zm3a7c290:wp`8d0g94?7|ug=o=k4?:0y~j2b583:1=vsa7e02>5<6std{|l4`7g=83;pqc9k2c83>4}zf>n9o7>51zm3a4c290:wp`8d3g94?7|ug=o>k4?:0y~j2b483:1=vsa7e12>5<6std<50;3xyk1c;:0;6{|l4`6g=83;pqc9k3c83>4}zf>n8o7>51zm3a5c290:wp`8d2g94?7|ug=o?k4?:0y~j2b383:1=vsa7e62>5<6std26=4>{|l4`1g=83;pqc9k4c83>4}zf>n?o7>51zm3a2c290:wp`8d5g94?7|ug=o8k4?:0y~j2b283:1=vsa7e72>5<6std{|l4`0g=83;pqc9k5c83>4}zf>n>o7>51zm3a3c290:wp`8d4g94?7|ug=o9k4?:0y~j2b183:1=vsa7e42>5<6std:0;6{|l4`3g=83;pqc9k6c83>4}zf>n=o7>51zm3a0c290:wp`8d7g94?7|ug=o:k4?:0y~j2b083:1=vsa7e52>5<6std<1<7?t}o5g32<728qvb:j88;295~{i?m=26=4>{|l4`2g=83;pqc9k7c83>4}zf>n51zm3a1c290:wp`8d6g94?7|ug=o;k4?:0y~j2b?83:1=vsa7e:2>5<6std{|l4`=g=83;pqc9k8c83>4}zf>n3o7>51zm3a>c290:wp`8d9g94?7|ug=o4k4?:0y~j2b>83:1=vsa7e;2>5<6std{|l4`4}zf>n2o7>51zm3a?c290:wp`8d8g94?7|ug=o5k4?:0y~j2bf83:1=vsa7ec2>5<6std{|l4`dg=83;pqc9kac83>4}zf>njo7>51zm3agc290:wp`8d`g94?7|ug=omk4?:0y~j2be83:1=vsa7e`2>5<6std{|l4`gg=83;pqc9kbc83>4}zf>nio7>51zm3adc290:wp`8dcg94?7|ug=onk4?:0y~j2bd83:1=vsa7ea2>5<6std{|l4`fg=83;pqc9kcc83>4}zf>nho7>51zm3aec290:wp`8dbg94?7|ug=ook4?:0y~j2bc83:1=vsa7ef2>5<6std{|l4`ag=83;pqc9kdc83>4}zf>noo7>51zm3abc290:wp`8deg94?7|ug=ohk4?:0y~j2bb83:1=vsa7eg2>5<6std{|l4``g=83;pqc9kec83>4}zf>nno7>51zm3acc290:wp`8ddg94?7|ug=oik4?:0y~j2ba83:1=vsa7ed2>5<6std{|l4`cg=83;pqc9kfc83>4}zf>nmo7>51zm3a`c290:wp`8dgg94?7|ug=ojk4?:0y~j2c783:1=vsa7d22>5<6std::182xh0m9<1<7?t}o5f42<728qvb:k?8;295~{i?l:26=4>{|l4a5g=83;pqc9j0c83>4}zf>o;o7>51zm3`6c290:wp`8e1g94?7|ug=n5<6std8;295~{i?l;26=4>{|l4a4g=83;pqc9j1c83>4}zf>o:o7>51zm3`7c290:wp`8e0g94?7|ug=n=k4?:0y~j2c583:1=vsa7d02>5<6std{|l4a7g=83;pqc9j2c83>4}zf>o9o7>51zm3`4c290:wp`8e3g94?7|ug=n>k4?:0y~j2c483:1=vsa7d12>5<6std<50;3xyk1b;:0;6{|l4a6g=83;pqc9j3c83>4}zf>o8o7>51zm3`5c290:wp`8e2g94?7|ug=n?k4?:0y~j2c383:1=vsa7d62>5<6std26=4>{|l4a1g=83;pqc9j4c83>4}zf>o?o7>51zm3`2c290:wp`8e5g94?7|ug=n8k4?:0y~j2c283:1=vsa7d72>5<6std{|l4a0g=83;pqc9j5c83>4}zf>o>o7>51zm3`3c290:wp`8e4g94?7|ug=n9k4?:0y~j2c183:1=vsa7d42>5<6std:0;6{|l4a3g=83;pqc9j6c83>4}zf>o=o7>51zm3`0c290:wp`8e7g94?7|ug=n:k4?:0y~j2c083:1=vsa7d52>5<6std<1<7?t}o5f32<728qvb:k88;295~{i?l=26=4>{|l4a2g=83;pqc9j7c83>4}zf>o51zm3`1c290:wp`8e6g94?7|ug=n;k4?:0y~j2c?83:1=vsa7d:2>5<6std{|l4a=g=83;pqc9j8c83>4}zf>o3o7>51zm3`>c290:wp`8e9g94?7|ug=n4k4?:0y~j2c>83:1=vsa7d;2>5<6std{|l4a4}zf>o2o7>51zm3`?c290:wp`8e8g94?7|ug=n5k4?:0y~j2cf83:1=vsa7dc2>5<6std{|l4adg=83;pqc9jac83>4}zf>ojo7>51zm3`gc290:wp`8e`g94?7|ug=nmk4?:0y~j2ce83:1=vsa7d`2>5<6std{|l4agg=83;pqc9jbc83>4}zf>oio7>51zm3`dc290:wp`8ecg94?7|ug=nnk4?:0y~j2cd83:1=vsa7da2>5<6std{|l4afg=83;pqc9jcc83>4}zf>oho7>51zm3`ec290:wp`8ebg94?7|ug=nok4?:0y~j2cc83:1=vsa7df2>5<6std{|l4aag=83;pqc9jdc83>4}zf>ooo7>51zm3`bc290:wp`8eeg94?7|ug=nhk4?:0y~j2cb83:1=vsa7dg2>5<6std{|l4a`g=83;pqc9jec83>4}zf>ono7>51zm3`cc290:wp`8edg94?7|ug=nik4?:0y~j2ca83:1=vsa7dd2>5<6std{|l4acg=83;pqc9jfc83>4}zf>omo7>51zm3``c290:wp`8egg94?7|ug=njk4?:0y~j2`783:1=vsa7g22>5<6std::182xh0n9<1<7?t}o5e42<728qvb:h?8;295~{i?o:26=4>{|l4b5g=83;pqc9i0c83>4}zf>l;o7>51zm3c6c290:wp`8f1g94?7|ug=m5<6std8;295~{i?o;26=4>{|l4b4g=83;pqc9i1c83>4}zf>l:o7>51zm3c7c290:wp`8f0g94?7|ug=m=k4?:0y~j2`583:1=vsa7g02>5<6std{|l4b7g=83;pqc9i2c83>4}zf>l9o7>51zm3c4c290:wp`8f3g94?7|ug=m>k4?:0y~j2`483:1=vsa7g12>5<6std<50;3xyk1a;:0;6{|l4b6g=83;pqc9i3c83>4}zf>l8o7>51zm3c5c290:wp`8f2g94?7|ug=m?k4?:0y~j2`383:1=vsa7g62>5<6std26=4>{|l4b1g=83;pqc9i4c83>4}zf>l?o7>51zm3c2c290:wp`8f5g94?7|ug=m8k4?:0y~j2`283:1=vsa7g72>5<6std{|l4b0g=83;pqc9i5c83>4}zf>l>o7>51zm3c3c290:wp`8f4g94?7|ug=m9k4?:0y~j2`183:1=vsa7g42>5<6std:0;6{|l4b3g=83;pqc9i6c83>4}zf>l=o7>51zm3c0c290:wp`8f7g94?7|ug=m:k4?:0y~j2`083:1=vsa7g52>5<6std<1<7?t}o5e32<728qvb:h88;295~{i?o=26=4>{|l4b2g=83;pqc9i7c83>4}zf>l51zm3c1c290:wp`8f6g94?7|ug=m;k4?:0y~j2`?83:1=vsa7g:2>5<6std{|l4b=g=83;pqc9i8c83>4}zf>l3o7>51zm3c>c290:wp`8f9g94?7|ug=m4k4?:0y~j2`>83:1=vsa7g;2>5<6std{|l4b4}zf>l2o7>51zm3c?c290:wp`8f8g94?7|ug=m5k4?:0y~j2`f83:1=vsa7gc2>5<6std{|l4bdg=83;pqc9iac83>4}zf>ljo7>51zm3cgc290:wp`8f`g94?7|ug=mmk4?:0y~j2`e83:1=vsa7g`2>5<6std{|l4bgg=83;pqc9ibc83>4}zf>lio7>51zm3cdc290:wp`8fcg94?7|ug=mnk4?:0y~j2`d83:1=vsa7ga2>5<6std{|l4bfg=83;pqc9icc83>4}zf>lho7>51zm3cec290:wp`8fbg94?7|ug=mok4?:0y~j2`c83:1=vsa7gf2>5<6std{|l4bag=83;pqc9idc83>4}zf>loo7>51zm3cbc290:wp`8feg94?7|ug=mhk4?:0y~j2`b83:1=vsa7gg2>5<6std{|l4b`g=83;pqc9iec83>4}zf>lno7>51zm3ccc290:wp`8fdg94?7|ug=mik4?:0y~j2`a83:1=vsa7gd2>5<6std{|l4bcg=83;pqc9ifc83>4}zf>lmo7>51zm3c`c290:wp`8fgg94?7|ug=mjk4?:0y~j=6783:1=vsa8122>5<6std3<=<50;3xyk>78:0;6::182xh?89<1<7?t}o:342<728qvb5>?8;295~{i09:26=4>{|l;45g=83;pqc6?0c83>4}zf1:;o7>51zm<56c290:wp`701g94?7|ug2;5<6std3<<<50;3xyk>79:0;6>8;295~{i09;26=4>{|l;44g=83;pqc6?1c83>4}zf1::o7>51zm<57c290:wp`700g94?7|ug2;=k4?:0y~j=6583:1=vsa8102>5<6std37::0;6=8;295~{i09826=4>{|l;47g=83;pqc6?2c83>4}zf1:9o7>51z~yxFGKr2>494:84d514czHIHp01295567!890$0:*2356=9>:;6<9?0(33?-7?890:4=>51923-46< ;2;<7<70181<56.991#?=>?:2234?5789#9=6&<012975672::;<$.'3423>637839><='>0:*0156=;<:;6>;?0(f8,1672=:;69>?)028,36783<;<=49012*55=/?9:;6:>?0;5345/682"2<=>59123><678 ;0<<5>2:336>76:2;9=?5>2;MVPUSS2[K7=<4?>39277=6<;1:9?5>639237=60;1:5<5=2:036>45:288=95=3;KMTPR=IVLXN1<<:0<1?7253;?97?9=;3:1?7?63:80?=<43308764<;=80?8<43708727<<;1?8?5:539627=2081=>68<2:476>02:2<==69=;621?2453>>97:8=;651?2>53>3:75<48108<44<0;8048<48708<24<00;05?56039:57=>:;12??56439:17=>>;12;85NLD2N3>GKM9G%H55NLD2N*A7?3HFN<@ K2e9BH@6J&M8T\YQ?d:COA5K)L;U[XR?k;@NF4H(C:VZ_S?j4AMG3I+B5WY^T?i5NLD2N*A4XX]U?;6OCE1O-U<=FDL:F"_KH5:COA5U03HFN<^ K8:COA5U)L820MAK?S/F13>GKM9Y%]45NLD2P*WC@<2KGI<=4AOF:?DHIG]K_II74A^DPF9776m1JSK]M<02=*UGC12KTJ^L3103?,SEA?e9B[CUE48>5"]OK9:C\BVD;9<4o7LQISC>21;(WIM30MRH\B=35:a=FWOYI0<81.QCG=>GXNZH7=:0k;@]EWG:6?7$[MI74A^DPF97?6m1JSK]M<0:=*UGC12KTJ^L3181.QCG=>GXNZH7><0k;@]EWG:597$[MI74A^DPF9456m1JSK]M<30=*UGCj2KTJ^L32282:<=FWOYI0?=1d:C\BVD;::4%\LJ7;@]EWG:56j1JSK]M<3<-TDB?3HUM_O2<>b9B[CUE4:4%\LJ7;@]EWG:36j1JSK]M<5<-TDB?3HUM_O2:>b9B[CUE4<4%\LJ7;@]EWG:16j1JSK]M<7<-TDB?3HUM_O28>b9B[CUE4>4%\LJ7;@]EWG:?6j1JSK]M<9<-TDB?3HUM_O26>b9B[CUE404%\LJk;@]EWGY68VCIYKj4A^DPFZ76W@H^Ji5N_GQA[44XAK_Mh6OPFR@\56YNJ\Lo7LQISC]20ZOE]On0MRH\B^36[LDRNm1JSK]M_04\MGSAl2KTJ^LP16]JFP@c3HUM_OQ>8^KAQCbDFK]x%^HI<;CWE<>DR[VCEJB:4C04N<>E6>D$[MIk4C04N[LDRN&LH@Fh4C04N[LDRN&LH@F#9;B06I@Hf3J8>AH@!P@Fa?F42ELDTCO[Id:A11HCIWFH^J#Jj;B06I@HXGK_M"I?j;B06I@HXGK_M"IJ&YKO46M7M^KAQCb1H^HO[EE18GQJ>3Jfdof]eb:8@drf[yoh96Jjl`{2?@30^,F\UYW\V;m7HV_11]-A]VXX]U9j6KWP02\*@^WWY^T?k5JXQ33[+C_XVZ_S9h4EYR24Z(BPYU[XR;>b:G[T46X&LR[Sy}fmsgmehccWgoSl5JXQ32[+C_Xo1NT]?>_/G[TZVSW9l0IU^>1^,F\UYW\V;m7HV_10]-A]VXX]U9j6KWP03\*@^WWY^T?k5JXQ32[+C_XVZ_S9h4EYR25Z(BPYU[XR;>b:G[T47X&LR[Sy}fmsgmehccWgoSl5JXQ31[+C_Xo1NT]?=_/G[TZVSW9l0IU^>2^,F\UYW\V;m7HV_13]-A]VXX]U9j6KWP00\*@^WWY^T?k5JXQ31[+C_XVZ_S9?m;DZS57Y)MQZTx~gbrdlbi`bXfl~Tm6KWP01\*@^Wn2OS\<=P.DZS[URX8o1NT]?<_/G[TZVSW8l0IU^>3^,F\UYW\V8m7HV_12]-A]VXX]U8j6KWP01\*@^WWY^T84^,F\UYW\V9m7HV_15]-A]VXX]U?=o5JXQ37[+C_XV~xe`|jn`of`Zhb|Vk0IU^>5^,F\U`5^,F\UYW\V>m7HV_14]-A]VXX]U>=o5JXQ36[+C_XV~xe`|jn`of`Zhb|Vk0IU^>6^,F\U`U%IU^PPU]2b>C_X8=T"HV__QV\6c=BPY;i;DZS5f:G[T4?X&LR[S]ZP2g9F\U7>W'OS\R^[_2d8A]V61V$NT]Q_T^6e?@^W90U%IU^PPU]65g=BPY;2S#KWP^vpmhtbfhgnhR`jt^c8A]V58V$NT]h4EYR14Z(BPYU[XR>i;DZS65Y)MQZT\YQ>f:G[T76X&LR[S]ZP2g9F\U47W'OS\R^[_2d8A]V58V$NT]Q_T^62f>C_X;:T"HV__uqjiwciidooSck{_79F[LDRN>1NhjkYam68BFJL02LH@F _AEg8BFJLW@H^J"HLLJd8BFJLW@H^J"HLLJ/1?C@43Ndo>6GA3:KM46=NF8>0EC??4:KM542OI9>>0EC?74:KM5<595FN317?LH5<=1BB?;;;HL121=NF;=?7D@=859JJ7?43@D886GA3168MK56;2CE8>5FN418MK043@DOHIJ^%H?Q_T^3g?LIFK]$O>R^[_3f8MJGD\'N9S]ZP3e9JKDES&M8T\YQ;d:KLEFR)L;U[XR;8;HMBGQ(V12CDMNZ!RDE5?LIEM[X37DAMESP-@<=NGKOY^#J>9:KLF@TU&M837DAMESP-Ud=NGKOY^#\JGc9JKGCUZ'XXXK?7;HMAAWTX|zcfokntdf\twjcW?1BCIAAE99JKAIIM'N27DAKOOG-@4?9;HMO4+B03@EG<#J>7:KLH5(C:j1BCA>!D3]SPZ6d3@EG<#J=_QV\5f=NGE:%H?Q_T^0`?LIK8'N9S]ZP3b9JKI6)L;U[XR:l;HMO4+B5WY^T9n5FOM2-@7YW\V<=7DAC0/S;?LIK8'XNK<;4INN3[qunejxnmykk_ymq[<=NGGZYiljvb:KLJUTbims%Hn5FOORQadb~&M;h7DAAPSgb`|(C:m1BCC^]e`fz*@^W9;1BCC^]e`fz*@^WWY^T<<<4INLSV`gcq'OS\R^[_031?LIIX[ojht JXQ]SPZ46:2CDB]\jae{-A]VXX]U8=?5FOORQadb~&LR[S]ZP40g8MJHWZlkou#KWP^vpmhtbfhgnhR`jt^`8MJHWZlkou#_k;HMMTWcflp$YIJ94INPGV@W>3@EYH_K^.Ec8MJTCZL[%Hk5FOSFQAT(C:VZ_S>h4INPGV@W)L;U[XR:i;HMQ@WCV&M8T\YQ:f:KLVATBY'N9S]ZP689JKWBUMX$Zn6G@REPFU+TBO=1BCX>9;HMV4+B03@E^<#J>7:KLQ5(C:j1BCX>!D3]SPZ6d3@E^<#J=_QV\5f=NG\:%H?Q_T^0`?LIR8'N9S]ZP3b9JKP6)L;U[XR:l;HMV4+B5WY^T9n5FOT2-@7YW\V<=7DAZ0/S;?LIR8'XNK<;4INW3[qunejxnmykk_ymq[2=NG^XYI\74INUQV@W)Lh1BCZ\]EP,G5d=NG^XYI\ K2g9JKRTUMX$O>R^[_1d8MJQUZL[%H?Q_T^3e?LIPZ[OZ"IOH_[XN]#J=_QV\7c=NG^XYI\ K2^RW[1`H7=2D;(BKl;O2-FP@HM'E^X95A0/F6?K6)L8?0B= K279M4+C_Xk1E<#KWP^RW[5dIIM;1D^>5@UU18TDB33YKYX:5_IOLBI@gWh[[ojht K2028UjUUmhnr"I109RkVTbims%IU^PPU]15a=VgZXnmiw!EYR\pvojzldjahjPndv\e>Wh[[ojht ^c:SlWWcflp$YIJ;4R@>3:<=UI5:5"]OK6:PB8469i2XJ0<>1.QCG<>TF48;1<384R@>25;gTF4;427_O32?,SEA389QE939&YKO96\N<7<:?WG;>7$[MI;4R@>4:<=UI5=5"]OK5:PB8=8>3[K743 _AE78VD:>601YM171.QCG<>TFE[ojhto4R@OQadb~&Mh0^LC]e`fz*A7e3[KF^hoky/F155=UIDXnmiw!D3]SPZ6682XJA_kndx,G6ZVSW8;;7_OBRdcg}+B5WY^T><>4R@OQadb~&M8T\YQ<119QEHTbims%H?Q_T^624>TFE[ojht K2^RW[0gPOCWE*A4XX]U:n6\N_1]LFP@)Yh1YMR??_N@VBf=UIV;;SBLZF/Fg?WGX99UDNXH!D0f8VDY68VEIYK K2008VDY68VEIYK K2^RW[5753[KT==Q@BTD-@7YW\V;h7_OP11]LFP@)Yo1YMR?>_N@VB!DRN9;:7_OP10]LFP@#J\L;"I?=;SC\54YHJ\L/NXH?.E326>TFW8;TCO[I$CWE4+B59>1YMR?>_N@VB!DRN9$O>R^[_134?WGX98UDNXH+BTD3*A4XX]U:=>5]A^32[JDRN-H^J= JXQ3;?WGX98UDNXH+BTD3*@^WWY^T<<64R@]25ZIE]O.IYK>!EYR\TQY6911YMR?>_N@VB!DRN9$NT]Q_T^010>TFW8;TCO[I$CWE4+C_XV~xe`|jn`of`Zhb|V;:7_OP10]LFP@#J\L;"\m4R@]25ZIE]O.Zo6\N_03\KGSA&Mn0^LQ>1^MAQC(C9m1YMR?>_N@VB+B59;1YMR?>_N@VB+B5WY^T<<<4R@]25ZIE]O$O>R^[_0g8VDY69VEIYK JXQ30?WGX98UDNXH!EYR\TQY79:1YMR?>_N@VB+C_XVZ_STFW8UDNXH!D332?WGX9VEIYK K2^RW[5763[KT=RAMUG,G6ZVSW8h0^LQ>_N@VB+W>3[KT>RAMUG`8VDY5WFH^J#Jl;SC\6ZIE]O$O=n5]A^0\KGSA&M8:=6\N_3]LFP@)L;U[XR>>1:PB[7YHJ\L%H?Q_T^3g?WGX:VEIYK JXQ31?WGX:VEIYK JXQ]SPZ66:2XJS?Q@BTD-A]VXX]U:=h5]A^0\KGSA&LR[Sy}fmsgmehccWgoSo5]A^0\KGSA&X30^LQ;_N@VBg=UIV>TCO[I.Ea8VDY3WFH^J#J>c:PB[1YHJ\L%H?j4R@]7[JDRN'OS\<<4R@]7[JDRN'OS\R^[_131?WGXTFW6\N_4]LFP@)MQZT\YQ;1d9QEZ3XGK_M"HV__uqjiwciidooSck{_c9QEZ3XGK_M"\74R@]5[JDRNk1YMR8POCWE*AeTFW?UDNXH!D3f8VDY1WFH^J#KWP008VDY1WFH^J#KWP^RW[5753[KT:RAMUG,F\UYW\V;:>6\N_7]LFP@)MQZT\YQ=139QEZ0XGK_M"HV__QV\744TFW>UDNXH!Q89QEZ>XGK_Mn6\N_9]LFP@)Lj1YMR6POCWE*A7d3[KT4RAMUG,G647WFH^J#J>c:PB[;SC\=ZIE]O$O>R^[_132?WGX1VEIYK K2^RW[4d2Xnj_k~9:PfbWcv&JO37_kiRds-@<=UmoXn}#J>9:PfbWcv&M837_kiRds-Ud=UmoXn}#\JG79QacSuo01Yik[}g/AF<>Tbn\xl"I74RddVvb(C901Yik[}g/F1<>Tbn\xl"\o4RddVvb(UMN90_HZ6;RgwquNhdm90X_G;;U[SAf=R[LXTZD]FBMG:?SOB_V^R\H=4Vba5?RguxZOj7Zo}pRG-jbg23^coxe^ND@DS!UJM 1,2$VRRJ):%=-O\CHK0?]IU>2RonRGkb:ZglZVuadCezn5Wdi]SvlkSqyom7Ujg_QpjiScu{`ee46Vkh^Vji`b0VH\@9:amp*Cu494i7n`{/Dp?4;(Ck2iex"K}<1<-@4e/F1f>ei|&Oy0=0!Qe9`jq)Bz5:5"_KH9:amp*Cu484i7n`{/Dp?5;(Ck2iex"K}<0<-@4e>/F1f>ei|&Oy0<0!Qe9`jq)Bz5;5"_KHf:amp*OTWOYFSKHk1038gkr(AZUM_@QIFe3-@441:amp*OTWOYFSKHk1/S27>ei|&CXSK]B_GDg5+TBO;:0ocz IR]EWHYANm;Tx~gbcsgbp`bXpfxTj6mat.KP[CUJWOLo>#J=_QV\4411:amp*OTWOYFSKHk2/S27>ei|&CXSK]B_GDg6+TBO;:0ocz IR]EWHYANm8Tx~gbcsgbp`bXpfxTh6mat.NWmhcu494:<6mat.NWmhcu494%OHh4cov,HQojm{6;2#J>0:amp*JSadoy0=0!D033?fhs'E^bah|30?,G6473:+C_X8<0ocz LUknaw:76'OS\R^[_135?fhs'E^bah|30?,F\UYW\V;::6mat.NWmhcu494%IU^PPU]153=df}%GXdcjr=2=*@^WWY^T??<4cov,HQojm{6;2#KWP^vpmhtbfhgnhR`jt^d8gkr(D]cfi2?>/S25>ei|&F_e`k}<1<-V@A6m2iex"B[ilgq858X|zcfokntdf\|jtXm2iex"B[ilgq8469981hby!CThofv9776'IN==5lnu-OPlkbz5;;2#J>1:amp*JSadoy0<>1.E325>ei|&F_e`k}<02=*A4682iex"B[ilgq8469&X;97n`{/MVji`t;994%^HI>f:amp*JSadoy0<>1_uqjiftbi}ooSua}_d9`jq)K\`gn~1?>>038gkr(D]cfi2>1?,@A4625;(C981hby!CThofv9766'N:=<5lnu-OPlkbz5;:2#J=119`jq)K\`gn~1?>>/S26>ei|&F_e`k}<03=*WC@9o1hby!CThofv9766V~xe`m}e`vf`Z~hzVn0ocz LUknaw:668:0ocz LUknaw:66'INj6mat.NWmhcu484%H<>4cov,HQojm{6:2#J>119`jq)K\`gn~1?1.E025>ei|&F_e`k}<0<-A]V6>2iex"B[ilgq848)MQZT\YQ?179`jq)K\`gn~1?1.DZS[URX9;80ocz LUknaw:66'OS\Rz|ilpfjdkblVdnxRh4cov,HQojm{6:2#_>1:amp*JSadoy0<0!RDE2a>ei|&F_e`k}<0<\pvojk{ojxhjPxnp\`>ei|&F_e`k}<3<24>ei|&F_e`k}<3<-G@`1:+B682iex"B[ilgq878)L8;;7n`{/MVji`t;:7$O>/G[TZVSW9;=7n`{/MVji`t;:7$NT]Q_T^316>ei|&F_e`k}<3<-A]VX|zcf~h`nmdf\j`rXn2iex"B[ilgq878)Y8;0ocz LUknaw:56'XNK4cov,HQojm{682#MJf:amp*JSadoy0>0!D028gkr(D]cfi2<>/F255=df}%GXdcjr=1=*A4a3jd#AZfmdp?7;(V981hby!CThofv959&[OL=h5lnu-OPlkbz595Sy}fmbpfeqccWqeySi5lnu-OPlkbz5>5==5lnu-OPlkbz5>5"NKi;blw+IRnelx783 K119`jq)K\`gn~1:1.E324>ei|&F_e`k}<5<-@7`7:+W692iex"B[ilgq818)ZLM:i6mat.NWmhcu4=4Tx~gbcsgbp`bXpfxTh6mat.NWmhcu4<4:<6mat.NWmhcu4<4%OHh4cov,HQojm{6>2#J>0:amp*JSadoy080!D033?fhs'E^bah|35?,G6c=df}%GXdcjr=7=*T763jd#AZfmdp?1;(UMN;n7n`{/MVji`t;=7UdclrdcwaaYg{Uo7n`{/MVji`t;>7;;7n`{/MVji`t;>7$HIk5lnu-OPlkbz5<5"I??;blw+IRnelx7:3 K1028gkr(D]cfi29>/F1b>ei|&F_e`k}<7<-U475:+TBO8o0ocz LUknaw:16V~xe`m}e`vf`Z~hzVn0ocz LUknaw:068:0ocz LUknaw:06'INj6mat.NWmhcu4>4%H<>4cov,HQojm{6<2#J>119`jq)K\`gn~191.E0e?fhs'E^bah|37?,R54=df}%GXdcjr=5=*WC@9l1hby!CThofv919W}yban|jaugg[}iuWm1hby!CThofv9>9991hby!CThofv9>9&JOm7n`{/MVji`t;07$O==5lnu-OPlkbz525"I?>0:amp*JSadoy050!D3d8gkr(D]cfi27>/S25>ei|&F_e`k}<9<-V@A6m2iex"B[ilgq8=8X|zcfokntdf\|jtXl2iex"B[ilgq8<8682iex"B[ilgq8<8)KLl0ocz LUknaw:>6'N:<6mat.NWmhcu404%H/F`?fhs'XY7<3 K1b9`jq)V[5:5"I<>1:amp*WT494%H?Q_T^225>ei|&[X0=0!D3]SPZ7692iex"_\<1<-@7YW\V8:=6mat.SP858)L;U[XR=>1:amp*WT494%H?Q_T^625>ei|&[X0=0!D3]SPZ3692iex"_\<1<-@7YW\V3jd#\]31?`8gkr(YZ6:2#Jl;blw+TU;97$O=n5lnu-RW979&M8:=6mat.SP848)L;U[XR>>1:amp*WT484%H?Q_T^325>ei|&[X0<0!D3]SPZ4692iex"_\<0<-@7YW\V9:=6mat.SP848)L;U[XR:>1:amp*WT484%H?Q_T^725>ei|&[X0<0!D3]SPZ0e3jd#\]31?,R`>ei|&[X0<0!RDE`?fhs']cfi2?>g9`jq)Sadoy0=0!CDg8gkr(\`gn~1>1.Ed8gkr(\`gn~1>1.E3e?fhs']cfi2?>/F1a>ei|&^bah|30?,R55=df}%_e`k}<1<-V@A6l2iex"Zfmdp?4;Ys{`gh~ho{ee]{kwYd3jd#Ygbes>2:c=df}%_e`k}<0<-G@c2:+B59=1hby![ilgq848)L;U[XR>>4:amp*Rnelx7=3 K2^RW[4733jd#Ygbes>2:+B5WY^T>h5lnu-Wmhcu484%]<>4cov,Plkbz5;5"_KHc:amp*Rnelx7>3h4cov,Plkbz585"NKj;blw+Qojm{692#Ji;blw+Qojm{692#J>f:amp*Rnelx7>3 K2068gkr(\`gn~1<1.E0\TQY79=1hby![ilgq878)L;U[XR?>4:amp*Rnelx7>3 K2^RW[7733jd#Ygbes>1:+B5WY^T?h5lnu-Wmhcu4;4%]<>4cov,Plkbz585"_KHc:amp*Rnelx7?3h4cov,Plkbz595"NKj;blw+Qojm{682#Ji;blw+Qojm{682#J>f:amp*Rnelx7?3 K2068gkr(\`gn~1=1.E0\TQY79=1hby![ilgq868)L;U[XR?>4:amp*Rnelx7?3 K2^RW[7733jd#Ygbes>0:+B5WY^T?h5lnu-Wmhcu4:4%]<>4cov,Plkbz595"_KH1e9`jq)Sadoy0>0Ptrkngwcf|lnTtb|Pb:amp*Rnelx_Oh5lnu-Wmhcu\J$HIi5lnu-Wmhcu\J$Oi6mat.Vji`tSK'N:i6mat.Vji`tSK'N9h6mat.Vji`tSK'[m7n`{/UknawRD&[OLn6mat.Ubmq:76m1hby!Xahv?4;(Cm2iex"Yniu>3:+B6m2iex"Yniu>3:+B5n2iex"Yniu>3:+C_X8>0ocz W`kw858)MQZT\YQ?159`jq)Pi`~7<3 JXQ]SPZ7582iex"Yniu>3:+C_XV~xe`|jn`of`Zhb|Vn0ocz W`kw858)Yo1hby!Xahv?4;(UMN;h7n`{/Vcjp969W}yban|jaugg[}iuWk1hby!Xahv?5;b>4:amp*Qfa}6:2#KWP^RW[4473jd#Zoft=3=*@^WW}ybakaalgg[kcsWm1hby!Xahv?5;(Vn2iex"Yniu>2:+TBOk1hby!Xahv?6;b1:Zrtadiyilzjd^zlvZd0!D0g8gkr(_hc0>0!D330?fhs'^kbx1=1.E0\TQY79:1hby!Xahv?7;(C:VZ_Sei|&]jey2<>/Se?fhs'^kbx1=1.SGD5f=df}%\mdz33?]wwlkdzlkiiQwos]a?fhs'^kbx1:1d:amp*Qfa}6?2#Jj;blw+Rgn|5>5"I?j;blw+Rgn|5>5"I<>3:amp*Qfa}6?2#J=_QV\445et'gE}ibny/G[T76b:dpf*GTzo$O>n5isc-BWw`)MQZ:=6h|b.CPvc(BPYU[XR>>1:dpf*GTzo$NT]Q_T^325>`tj&KX~k JXQ]SPZ4692lxn"O\rg,F\UYW\V9:h6h|b.CPvc(BPYUdc}eocnaaYim}Uj7k}m/@Qqb+Wd3oyi#L]}f/PFC<=ngkg$O5C}b:klfh)D0Dx%Hn5foco,G=Ku&M;h7damm.A;Iw(C:k1bcoc C9Oq*Tbohjd%Ocxz@E/F1[URX;o1bcoc DnwwK@(BPY8;7damm.FlqqIB&LR[Sy}fmsgmehccWgoSi5foco,@jssGL$Zj6g`bl-GkprHM'XNK/F157=ngkg$I^2?>/F1[URX8880eblb/DQ?4;(C:VZ_S2:+B6l2cdn`!JS=3=*A46:2cdn`!JS=3=*A4XX]U;=?5foco,AV:66'N9S]ZP1008mjdj'LY7=3 K2^RW[7753`eia"K\<0<-@7YW\V9h7damm.GP848)Yl1bcoc ER>2:+TBOh1bcoc ER>1:f=ngkg$I^2=>/Fg?liee&OX0?0!D0f8mjdj'LY7>3 K2008mjdj'LY7>3 K2^RW[5753`eia"K\<3<-@7YW\V;:>6g`bl-FW949&M8T\YQ=c:klfh)B[585"\k4in`n+@U;:7$YIJ?m;hmai*CT4;4Tx~gbcsgbp`bXpfxTm6g`bl-FW959k2cdn`!JS=1=*Ab/F157=ngkg$I^2<>/F1[URX8880eblb/DQ?7;(C:VZ_Sohjd%N_1=1.E0\TQY4k2cdn`!JS=1=*Tcohjd%N~45foco,Aw(Ci2cdn`!Jr/F2e>ohjd%N~#J=9:klfh)Bz'[i7damm.Gq*WC@l2cdn`!FOSFQATta3`eia"G@REPFUw(C991bcoc INPGV@Wu&M;:<6g`bl-JKWBUMXx%H?h4in`n+LIUL[OZ~#_>1:klfh)NG[NYI\|!RDE:?liee&CD_=l4in`n+LIT8'Nh7damm.KLW5(C9j1bcoc INQ3*A4692cdn`!FOR2-@7YW\V::=6g`bl-JKV6)L;U[XR?>1:klfh)NGZ:%H?Q_T^025>ohjd%BC^>!D3]SPZ5692cdn`!FOR2-@7YW\V>i7damm.KLW5(Vl2cdn`!FOR2-V@A692cdn`!FOR]EWHYANm;:?6g`bl-JKVYA[DUMJi?!D068mjdj'@EXSK]B_GDg5+B69=1bcoc INQ\BVKXNOn:"I<>3:klfh)NGZUM_@QIFe3-U43ohjd%BC^QISL]EBa4)ZLM:=6g`bl-JKVYA[DUMJi=>3:klfh)NGZUM_@QIFe1-@42ohjd%BC^QISL]EBa5)L;U[XR>>9:klfh)NGZUM_@QIFe1-@7YW\V;:56g`bl-JKVYA[DUMJi=!D3]SPZ46;2cdn`!FOR]EWHYANm9%]<;4in`n+LITWOYFSKHk3/PFC474:klfh)NGZUM_@QIFe6-@4733`eia"G@S^DPIZ@Al=$O><=4in`n+LITWOYFSKHk4/S21>ohjd%BC^QISL]EBa2)ZLM:=6g`bl-JKVYA[DUMJi;>3:klfh)NGZUM_@QIFe7-@42ohjd%BC^QISL]EBa3)Y8?0eblb/HMP[CUJWOLo9#\JG038mjdj'@EXSK]B_GDg245'N:86g`bl-JKVYA[DUMJi8!D037?liee&CD_RH\M^DE`3(C:830eblb/HMP[CUJWOLo:#J=_QV\44?'N9S]ZP1018mjdj'@EXSK]B_GDg2+W6=2cdn`!FOR]EWHYANm<%^HI>1:klfh)NGZUM_@QIFe527>ohjd%BC^QISL]EBa1)L8>0eblb/HMP[CUJWOLo;#J>159jkgk(AFYTJ^CPFGf4*A4612cdn`!FOR]EWHYANm=%H?Q_T^22=>ohjd%BC^QISL]EBa1)L;U[XR?>9:klfh)NGZUM_@QIFe5-@7YW\V8:?6g`bl-JKVYA[DUMJi9!Q078mjdj'@EXSK]B_GDg3+TBOm1bcoc INUQV@Wun2cdn`!FOVPQATt)L8:0eblb/HMTVWCVz'N:==5foco,MJQUZL[y"Iohjd%]\L|m;hmai*PWI{$Oo6g`bl-UTDt)L8i0eblb/WRBv+B5j2cdn`!YP@p-Ua=ngkg$Z]O}.SGDf>ohjz%H`ho}1e9jkgu(Keoj~< Ke:klfv)Ddlky=#J>e:klfv)Ddlky=#J=d:klfv)Ddlky=#_i;hmaw*Ekmhx:"_KHb:klfv)NGHI_~i5focq,MJGD\{$Oi6g`br-JKDESz'N:i6g`br-JKDESz'N9h6g`br-JKDESz'[m7dams.KLEFRu&[OLo6g`br-JKAIIM{o0ebl|/HMGKKCu&Ml0ebl|/HMGKKCu&M;m7dams.KL@JHBz'N9i6g`br-JKAIIM{$Z==5focq,MJBHFLx%^HI6;hmaw*OHD8i0ebl|/HMO5+EBj2cdn~!FOM3-@f=ngky$EBB>.E3`?lie{&CD@< K2c9jkgu(AFF:"\j4in`p+LIK9'XNKl5focq,MJTP9j1bco} INPT5+Bc3`ei"G@RV3-@4b2:klfv)NG[]:"Iohjz%BC_Y>.DZS56=ngky$EB\X1/G[TZVSW9;87dams.KLVR7)MQZT\YQ>129jkgu(AFX\=#KWP^RW[77a3`ei"G@RV3-A]VX|zcf~h`nmdf\j`rXk2cdn~!FOSU2*Tcohjz%BCX?l;hmaw*OH]8$HIo5focq,MJS6&Mi0ebl|/HMV5+B6k2cdn~!FOT3-@7d>2:klfv)Kghn:"I?=;hmaw*Jhim;%H?Q_T^626>ohjz%Gclj>.E0\TQY2k2cdn~!Co`f2*Tc;hmaw*Ubf}$O>R^[_032?lie{&Ynby K2^RW[7763`ei"]jnu,G6ZVSW:;:7dams.Qfjq(C:VZ_S9?>;hmaw*Ubf}$O>R^[_432?lie{&Ynby K2^RW[3d0:klfv)S[VLXARHId031?lie{&^XSK]B_GDg5+B6;2cdn~![S^DPIZ@Al8$O=<=4in`p+QUXNZGTJKj>.E02<>ohjz%__RH\M^DE`4(C:VZ_S=?7;hmaw*RTWOYFSKHk1/F1[URX9880ebl|/UQ\BVKXNOn:"\?;;hmaw*RTWOYFSKHk1/PFC46ohjz%__RH\M^DE`7(C:820ebl|/UQ\BVKXNOn9"I8:klfv)S[VLXARHId3,G6ZVSW;;37dams.VP[CUJWOLo>#J=_QV\74>1:lB@jssDL:TCO[I.E325>hFLf@H>POCWE*A4682dJHb{{LD2\KGSA&X30bLJ`uuNF5a=iIMe~xAK>.QCGa>hFLf@H?POCWE55=iIMe~xAK>_N@VB+B692dJHb{{LD3\KGSA&M;:=6`NDnwwH@7XGK_M"I<>6:lB@jssDL;TCO[I.E0\TQY79?1eMIaztMG2[JDRN'N9S]ZP1028jDBh}}FN=RAMUG,R57=iIMe~xAK>_N@VB+TBO>1eM^QISC`8jDUXNZH%\LJl;oCP[CUEW@H^J:5aAR]JKGdd:lBWZOHJf"I<>2:lBWZOHJf"IhFg|~DIRAMUG,G6ZVSW9;?7cO`uuMF[JDRN'N9S]ZP1028jDir|FOTCO[I.DZS64=iIfCHQ@BTD-A]VX|zcf~h`nmdf\j`rXm2dJcxz@E^MAQC(V991eMb{{OD]LFP@)ZLM27cLJRS]EWGbR^[_035?kDBZ[UM_OQ@BTD-@7YW\V8:<6`MESP\BVDXGK_M"\?=;o@FVWYA[KUDNXH!RDE26>hEM[XTJ^LPOCWE*POJ12dII_\PIN@g?kDBZ[UBCO _AEg8jGCUZVCDNRGMUG58jGTXAFHi7cL]_HMA*UGCk2dI^RG@B^MAQCc>4:lAVZOHJVEIYK K2^RW[4chDIZUDNXH!Db9mGDUXGK_M"I?l;oABWZIE]O$O>k1eOL]POCWE*Tb2:lGPDELWOYISBLZF/F152=iL]KHGRH\B^MAQC(C:VZ_S=?8;oFWEFMXNZHTCO[I.E0\TQY69>1eHYOLK^DPFZIE]O$O>R^[_334?kBSIJATJ^LPOCWE*A4XX]U8=:5aDUC@OZ@TJVEIYK K2^RW[1703gN_MNEPFR@\KGSA&M8T\YQ:169m@QGDCVLXNRAMUG,G6ZVSW?;:7cJ[ABI\BVDXGK_M"\?<;oFWEFMXNZHTCO[I.SGDe>hC\HI@SDAMe:lGPDELW@EI"]OKf:lGPDELW@EISDLZF79m@lhKMh1eHd`CE/RB@g=iL`dGIRAMUGf8jAoiDLUDNXH!Dd9m@lhKMVEIYK K1d9m@lhKMVEIYK K2g9m@lhKMVEIYK JXQ37?kBnfEOTCO[I.DZS[URX88>0bIgaLD]LFP@)MQZT\YQ>159m@lhKMVEIYK JXQ]SPZ4582dOecBJ_N@VB+C_XV~xe`|jn`of`Zhb|Vn0bIgaLD]LFP@)Yo1eHd`CE^MAQC(UMN<0bIgaODc8jAoiGL$[MIl4nEkmK@YHJ\Lo7cJfnNG\KGSA&Mo0bIgaOD]LFP@)L8o0bIgaOD]LFP@)L;;87cJfnNG\KGSA&M8T\YQ?129m@lhHMVEIYK K2^RW[4743gNbbBKPOCWE*A4XX]U9j6`KioMF[JDRN'OS\<:4nEkmK@YHJ\L%IU^PPU]351=iL`dDIRAMUG,F\UYW\V;9<6`KioMF[JDRN'OS\Rz|ilpfjdkblVdnxRj4nEkmK@YHJ\L%]:5aDnwwK@dhCg|~DIRAMUG,F\U723gNdyyAJ_N@VB+C_XVZ_S=?:;oFlqqIBWFH^J#KWP^RW[4723gNdyyAJ_N@VB+C_XVZ_S??:;oFlqqIBWFH^J#KWP^RW[6723gNdyyAJ_N@VB+C_XVZ_S9<>;oFlqqIBWFH^J#KWP^vpmhtbfhgnhR`jt^g8jAir|FOTCO[I.P78jLVK:01eE]B=.QCGe>hNXE8TEO[I8:lO@VYA[Ki0bAJ\_GQA*UGCl2dGH^QISC]JFP@?3gFO_RG@Bb9mHAUXAFH%\LJm;oNGWZOHJfi6`CDR]JKGir|-ENh6`CDR]JKGir|-[:96`CDR]JKGir|'H^JBK!OTVg?kJC[VCDNb{{.Eg8jIBTW@EIcxz!D0g8jIBTW@EIcxz!D330?kJC[VCDNb{{.E0\TQY79:1e@I]PIN@lqq(C:VZ_ShHMVEIYK K2b9mK@YHJ\L%IU^>1:lLAZIE]O$NT]Q_T^225>hHMVEIYK JXQ]SPZ7692dDIRAMUG,F\UYW\V8:=6`@E^MAQC(BPYU[XR=>1:lLAZIE]O$NT]Q_T^62`>hHMVEIYK JXQ]wwlkumgkfiiQaeu]b?kIBWFH^J#_l;oMF[JDRN'XNK:5aR@OOS@dhUIDF\IRAMUG,F\U723gXJAAYJ_N@VB+C_XVZ_S=?:;oPBIIQBWFH^J#KWP^RW[4723gXJAAYJ_N@VB+C_XVZ_S?<>;oPBIIQBWFH^J#KWP^vpmhtbfhgnhR`jt^g8jWGJD^OTCO[I.P58jWGJ]^Oi7c\NMTUF*UGCk2dYM@[XE^MAQCchUID_\IRAMUG,F\UYW\V;:96`]ALWTAZIE]O$NT]Q_T^015>hUID_\IRAMUG,F\UYs{`gyicobee]maqYb3gXJAXYJ_N@VB+W33gXJ_55aR@Q-TDB>3gXJ_RAMUG`8jWGTWFH^J#Jl;oPBWZIE]O$O=n5aR@Q\KGSA&M8o7c\NS^MAQC(BPY;97c\NS^MAQC(BPYU[XR>>2:lQEVYHJ\L%IU^PPU]257=iZHYTCO[I.DZS[URX:880b_O\_N@VB+C_XVZ_S>?=;oPBWZIE]O$NT]Q_T^62a>hUIZUDNXH!EYR\pvojzldjahjPndv\f>hUIZUDNXH!Q59mV@U?3gXN_#^ND69mV@Uh}}30b_K\otv-@d=iZLYdyy K1`9mV@Uh}}$O>o5aRDQlqq(BPY;;7c\JSnww*@^WWY^T<<>4nSGPkpr)MQZT\YQ>119mV@Uh}}$NT]Q_T^02g>hUMZe~x#KWP^vpmhtbfhgnhR`jt^;8jWCTg|~%]o5aRDQlqq(UMN<0b_ABCRc8jWIJKZ$[MIl4nSMNGVYHJ\Lo7c\@MBQ\KGSA&Mo0b_ABCR]LFP@)L8o0b_ABCR]LFP@)L;l0b_ABCR]LFP@)MQZ:86`]OLAP[JDRN'OS\R^[_137?kTHEJYTCO[I.DZS[URX98>0b_ABCR]LFP@)MQZT\YQ=159mVJKD[VEIYK JXQ]SPZ56<2dYC@M\_N@VB+C_XVZ_S9hUGD]NSBLZFe9mVJKPMVEIYK Ke:lQKHQBWFH^J#J>e:lQKHQBWFH^J#J=f:lQKHQBWFH^J#KWP068jWIJ_LUDNXH!EYR\TQY79=1e^BCXE^MAQC(BPYU[XR?>4:lQKHQBWFH^J#KWP^RW[7733gXDAZKPOCWE*@^WWY^T??>4nSMNS@YHJ\L%IU^Ptrknv`hfelnTbhzPd:lQKHQBWFH^J#_7;oWGWZ@TJj1eYI]PFR@-TDBc3g_O_RH\B^KAQC>7c[KS^KLFjss&K_MCH @UUf8jPBTW@EIcxz!Dd9mQAUXAFHdyy K1d9mQAUXAFHdyy K2018jPBTW@EIcxz!D3]SPZ66;2d^H^QFOCmvp+B5WY^T=<=4nTFP[LIEg|~%H?Q_T^027>hRLZUBCOazt/F1[URX;890bXJ\_HMAkpr)L;U[XR:k;oWGWZOHJf"\h4nTFP[LIEg|~%^HI>0:lV@VYNGKe~x#Z]SU:8jSKFW@EIo6`YM@]JKG(WIMh0b[CN_HMAkprb3g\FMRG@Bnww JCc3g\FMRG@Bnww T723g\FMRG@Bnww*GSAGL$DYYj4nWOB[LIEg|~%Hh5aVLC\MJDh}}$O=h5aVLC\MJDh}}$O><=4nWOB[LIEg|~%H?Q_T^227>hQEHUBCOazt/F1[URX9m1eZ@OPIN@lqq(Vn2d]ALQFOCmvp+TBO8:0b[CN_HMAkpr)\[Y_=n5aVLC\MJDh}}UdclrdcwaaYg{U37cX_A^DPFf=i^YKTJ^L!P@Fg?kPWIVLXNRAMUGd8jSVFWOYISBLZF/F24>hQXHUM_OQ@BTD-@4773g\[MRH\B^MAQC(C:8?0b[^N_GQA[JDRN'N9S]ZP0078jSVFWOYISBLZF/F1[URX98;0b[^N_GQA[JDRN'OS\<84nWRB[CUEWFH^J#KWP^RW[5713g\[MRH\B^MAQC(BPYU[XR?=2:lUTDYA[KUDNXH!EYR\pvojzldjahjPndv\b>hQXHUM_OQ@BTD-U473{kf#_O\EM`8vdk(ZHYN@#Jl;scn+WGTME$O=n5}al-QEVCK&M8:=6|nm.PBW@J)L;U[XR>>1:pbi*TF[LF%H?Q_T^325>tfe&XJ_HB!D3]SPZ4e3{kf#_O\EM,R`>tfe&XJ_HB!RDE:?wgj'[KXICl4r`o,VDUBF'Nh7ob/SCPAK(C9j1ym`!]ARGM*A4692xja"\NSDL-@7YW\V::=6|nm.PBW@H)L;U[XR?>1:pbi*TF[LD%H?Q_T^025>tfe&XJ_H@!D3]SPZ5692xja"\NSDL-@7YW\V>:=6|nm.PBW@H)L;U[XR;m;scn+WGTMG$Zh6|nm.PBW@H)ZLM27ob/SCPvcd1:pbi*TF[{x%H?Q_T^225>tfe&XJ_|!D3]SPZ7692xja"\NSsp-@7YW\V8o7ob/SCPvw(BPY;97ob/SCPvw(BPYU[XR>>2:pbi*TF[{x%IU^PPU]257=uid%YM^|}.DZS[URX:8o0~lc R@Qqv+C_XV~xe`|jn`of`Zhb|Vh0~lc R@Qqv+Wc3{kf#_O\rs,QAB`6|nm.PP[CUJWOLo=#J>139qeh)U[VLXARHId0,G647;scn+WUXNZGTJKj=.P30?wgj'[YTJ^CPFGf1*WC@n2xja"\\_GQN[C@c;8;0~lc RR]EWHYANm9%H<<4r`o,VVYA[DUMJi=!D031?wgj'[YTJ^CPFGf0*A4692xja"\\_GQN[C@c;'[:?6|nm.PP[CUJWOLo?#\JGg9qeh)U[VLXARHId532?wgj'[YTJ^CPFGf7*A753{kf#_]PFRO\BCb3&M;:>6|nm.PP[CUJWOLo8#J=109qeh)U[VLXARHId5,R56=uid%Y_RH\M^DE`1(UMNl0~lc RR]EWHYANm?:=6|nm.PP[CUJWOLo9#J>2:pbi*TTWOYFSKHk5/F257=uid%Y_RH\M^DE`0(C:8=0~lc RR]EWHYANm?%H?Q_T^223>tfe&XXSK]B_GDg1+B5WY^T=tfe&XXSK]B_GDg347tfe&XXSK]B_GDg3+B5WY^T<<94r`o,VVYA[DUMJi9!D3]SPZ76?2xja"\\_GQN[C@c?'N9S]ZP2058vdk(ZZUM_@QIFe5-@7YW\V9:;6|nm.PP[CUJWOLo;#J=_QV\045)L;U[XR?>7:pbi*TTWOYFSKHk8/F1[URX:8=0~lc RR]EWHYANm2%H?Q_T^123>tfe&XXSK]B_GDg<+B5WY^T8<94r`o,VVYA[DUMJi6!D3]SPZ36?2xja"\\_GQN[C@c0'N9S]ZP6038vdk(ZZUM_@QIFe:-U45)ZLMi7ob/Sge@jhbl2xja"\jfEmma+Bb3{kf#_kiDnlf*A7b3{kf#_kiDnlf*A46;2xja"\jfEmma+B5WY^T<<=4r`o,V``Cggo%H?Q_T^3g?wgj'[omHb`j.Pd8vdk(ZllOcck!RDE6?sgk}lwKL}97669CD}4?13L1>7?tSbd96=e=83;88o"50o094i5rSbg96=e=83;88o:0yPgc<50j0;6<=;b3dg>4bd<01}X;m50;395?36;rYhj7<7c;29562e:on1=im;9:T1=7<5s|;i?7?4u0`7>5=z,;2=6!7dk3227c?lb;68?l1c290/=nm5889m5fd==21b;o4?:%3`g?>>3g;hn784;h5:>5<#9ji1445a1b`93>=n?>0;6)?lc;::?k7dj3207d9<:18'5fe=001e=nl5a:9jg2<722cio7>5;h56>5<#9ji1445a1b`9=>=n?80;6)?lc;::?k7dj3h07d8i:18'5fe=001e=nl5c:9j2a<72-;ho766;o3`f?b<3`;987>5;h6`f?6=3`?m47>5;h;b>5<#9ji1445a1b`9a>=n110;6)?lc;::?k7dj3l07d:i5;29?l35;3:17d;:2;29?l3d;3:17dj;:188m02a2900e8h::188m=7=83.:on479:l2gg<6>21b5;4?:%3`g?>>3g;hn7??;:k;g?6=,8ih6574n0aa>43<3`2n6=4+1ba9<<=i9jh1=954i8294?"6kj0356`>cc827>=n1;0;6)?lc;::?k7dj3;976g64;29 4ed2130b3;29?j7cl3:1(3:17b:ma;29?j37<3:17b;=6;29?j31>3:17&;6b;29?j7b:3:1(o6k10;6)<61;3`<>"6j809=6*>c`815>=zjhk1<7<50;2x 7?62kk0D?6:;h31e?6=,;3:6<51;294~"5180:o55G2978m4e?290/>4?51b:8 4d628n0(7>50z&1=4l54o0a4>5<#:0;1=n94V3;0>4}K:0?1=vXk3;0x 4eb28i<7[?=3;0x 0`c2h1/9km5a:~yx=zj1<1<7?50;2x 7?628i37E<75:k2g=<72-82=7?l8:&2f4<6j2.:ol4>b:9~fd>=8381<7>t$3;2>gg<@;2>7d?=a;29 7?6288j76a>c683>!4>93;h;6X=9282I4>=3;pZi=52z&2g`<6k>1]=?=52z&6ba50z&1=4<6k11C>5;4i0a;>5<#:0;1=n64$0`2>4g<,8ij6N50<1b=?o50;&1=4<6:h10c4e03_;9?7d=#=oi1m6sr}|9~f=6=83;1<7>t$3;2>4e?3A8396g>c983>!4>93;h46*>b082=>"6kh0:565rb`794?4=83:p(?7>:cc8L7>23`;9m7>5$3;2>44f32e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe8b?!3ak3k0qpsr;|`4a?6=93:1:0:8 4ef28207pln4;296?6=8r.95<4ma:J1<0=n9;k1<7*=90826d=:0a;?M4?=2c:o54?:%0:5?7d02.:n<4>7:&2gd<6?21vnl=50;094?6|,;3:6oo4H3:6?l75i3:1(?7>:00b?>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4n;%7eg?gc99K6=3<,8h:6<84$0ab>40<3thj>7>52;294~"5180im6F=849j57g=83.95<4>2`98k4e0290/>4?51b58R7?428qG>4;51zTg7?4|,8in6{zut1vn:650;394?6|,;3:6o6k10;6)<61;3`<>"6j80:96*>c`821>=zjh;1<7<50;2x 7?62kk0D?6:;h31e?6=,;3:6<51;294~"5180:o55G2978m4e?290/>4?51b:8 4d62880(n3:1>7>50z&1=4l54o0a4>5<#:0;1=n94V3;0>4}K:0?1=vXk3;0x 4eb28i<7[?=3;0x 0`c2h1/9km5a:~yx=zjj21<7?50;2x 7?628i37E<75:k2g=<72-82=7?l8:&2f4<6n2.:ol4>f:9~ff?=8381<7>t$3;2>gg<@;2>7d?=a;29 7?6288j76a>c683>!4>93;h;6X=9282I4>=3;pZi=52z&2g`<6k>1]=?=52z&6ba7>50z&1=4l54o0a4>5<#:0;1=n94V3;0>4}K:0?1=vXk3;0x 4eb28i<7[?=3;0x 0`c2?1/9km56:~yx=zjjh1<7<50;2x 7?62kk0D?6:;h31e?6=,;3:6<4<729q/>4?51b:8L7>23`;h47>5$3;2>4e?3-;i=7?j;%3`e?7b32winh4?:383>5}#:0;1nl5G2978m44f290/>4?513c8?j7d?3:1(?7>:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh784$4d`>3=zutw0qoli:181>5<7s-82=7ln;I0;1>o6:h0;6)<61;31e>=h9j=1<7*=9082g2=O:0:0Z?7<:0yO6<3=9r\o?74e03_;9?73=#=oi1:6sr}|9~f20=83;1<7>t$3;2>4e?3A8396g>c983>!4>93;h46*>b0827>"6kh0:?65rb`294?4=83:p(?7>:cc8L7>23`;9m7>5$3;2>44f32e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe8b?!3ak3k0qpsr;|`46?6=93:1:038 4ef28;07pl6e;296?6=8r.95<4ma:J1<0=n9;k1<7*=90826d=:0a;?M4?=2c:o54?:%0:5?7d02.:n<4:0:&2gd<2821vn4j50;094?6|,;3:6oo4H3:6?l75i3:1(?7>:00b?>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4n;%7eg?gc99K6=3<,8h:6964$0ab>1><3th2o7>52;294~"5180im6F=849j57g=83.95<4>2`98k4e0290/>4?51b58R7?428qG>4;51zTg7?4|,8in6{zut1vn<<::182>5<7s-82=7?l8:J1<0=n9j21<7*=9082g==#9k;1>>5+1bc966=52;294~"5180im6F=849j57g=83.95<4>2`98k4e0290/>4?51b58R7?428qG>4;51zTg7?4|,8in6{zut1vn<<8:181>5<7s-82=7ln;I0;1>o6:h0;6)<61;31e>=h9j=1<7*=9082g2=O:0:0Z?7<:0yO6<3=9r\o?74e03_;9?73=#=oi1:6sr}|9~f1ed290:6=4?{%0:5?7d02B9485f1b:94?"5180:o55+1c396==#9jk1>554}c6``?6=:3:1N50<1b=?o50;&1=4<6:h10c4e03_;9?7d=#=oi1m6sr}|9~f0`>290:6=4?{%0:5?7d02B9485f1b:94?"5180:o55+1c3960=#9jk1>854}c7ee?6=:3:1N50<1b=?o50;&1=4<6:h10c4e03_;9?7d=#=oi1m6sr}|9~ft$3;2>4e?3A8396g>c983>!4>93;h46*>b0874>"6kh0?<65rbc094?4=83:p(?7>:cc8L7>23`;9m7>5$3;2>44f32e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe8b?!3ak3k0qpsr;|`:=?6=93:1:2`8 4ef2:h07plm1;296?6=8r.95<4ma:J1<0=n9;k1<7*=90826d=5<6290;w)<61;3`<>N50<1b=n650;&1=4<6k11/=o?5459'5fg=<=10qo:i7;296?6=8r.95<4ma:J1<0=n9;k1<7*=90826d=5<5290;w)<61;`b?M4?=2c:>l4?:%0:5?75i21d=n950;&1=4<6k>1]>4=51zN1=0<6s_n86?u+1bg95f1<^8886?u+5gf9e>"2nj0j7psr}:a172=83;1<7>t$3;2>4e?3A8396g>c983>!4>93;h46*>b0872>"6kh0?:65rb406>5<5290;w)<61;`b?M4?=2c:>l4?:%0:5?75i21d=n950;&1=4<6k>1]>4=51zN1=0<6s_n86?u+1bg95f1<^8886?u+5gf9e>"2nj0j7psr}:a105=83;1<7>t$3;2>4e?3A8396g>c983>!4>93;h46*>b0813>"6kh09;65rb477>5<5290;w)<61;`b?M4?=2c:>l4?:%0:5?75i21d=n950;&1=4<6k>1]>4=51zN1=0<6s_n86?u+1bg95f1<^8886?u+5gf9e>"2nj0j7psr}:a1f2=83;1<7>t$3;2>4e?3A8396g>c983>!4>93;h46*>b081=>"6kh09565rb4a6>5<5290;w)<61;`b?M4?=2c:>l4?:%0:5?75i21d=n950;&1=4<6k>1]>4=51zN1=0<6s_n86?u+1bg95f1<^8886?u+5gf9e>"2nj0j7psr}:a`0<7280;6=u+28395f><@;2>7d?l8;29 7?628i37)?m1;01?!7di38976sm13094?4=83:p(?7>:cc8L7>23`;9m7>5$3;2>44f32e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe8b?!3ak3k0qpsr;|`615<7280;6=u+28395f><@;2>7d?l8;29 7?628i37)?m1;70?!7di3?876sm54394?4=83:p(?7>:cc8L7>23`;9m7>5$3;2>44f32e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe8b?!3ak3k0qpsr;|`6b3<7280;6=u+28395f><@;2>7d?l8;29 7?628i37)?m1;66?!7di3>>76sm5g594?4=83:p(?7>:cc8L7>23`;9m7>5$3;2>44f32e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe8b?!3ak3k0qpsr;|`;6?6=93:1:478 4ef2:0a;?M4?=2c:o54?:%0:5?7d02.:n<4=e:&2gd<5m21vno>50;094?6|,;3:6oo4H3:6?l75i3:1(?7>:00b?>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4n;%7eg?gc99K6=3<,8h:6884$0ab>00<3thjn7>52;294~"5180im6F=849j57g=83.95<4>2`98k4e0290/>4?51b58R7?428qG>4;51zTg7?4|,8in6{zut1vn5h50;394?6|,;3:6o6k10;6)<61;3`<>"6j80>;6*>c`863>=zjhi1<7<50;2x 7?62kk0D?6:;h31e?6=,;3:6<51;294~"5180:o55G2978m4e?290/>4?51b:8 4d62<20(7>50z&1=4l54o0a4>5<#:0;1=n94V3;0>4}K:0?1=vXk3;0x 4eb28i<7[?=3;0x 0`c2h1/9km5a:~yx=zj091<7?50;2x 7?628i37E<75:k2g=<72-82=7?l8:&2f4<6k2.:ol4>c:9~fdc=8381<7>t$3;2>gg<@;2>7d?=a;29 7?6288j76a>c683>!4>93;h;6X=9282I4>=3;pZi=52z&2g`<6k>1]=?=52z&6ba=3:1=7>50z&1=4<6k11C>5;4i0a;>5<#:0;1=n64$0`2>70<,8ij6?84;|`bb?6=:3:1N50<1b=?o50;&1=4<6:h10c4e03_;9?7d=#=oi1m6sr}|9~f0b?290:6=4?{%0:5?7d02B9485`1b:94?"5180:o55+1c3906=#9jk18>54}c7g3?6=;3:144f3_;9?7==#=oi146sr}|9j`5<72-82=7j?;W0:7?7|D;3>6:0a;?M4?=2e:o54?:%0:5?7d02.:n<4;a:&2gd<3i21vn9mj:180>5<7s-82=7<73:J1<0=n9;k1<7*=90826d=Q:091=vB=9482Sb42;q/=nk513c8R4442;q/9kj58:&6bfa6<^8886?u+5gf95>"2nj0:7psr}:m2g2<72-82=7?l7:T1=6<6sE8297?tVe196~"6kl0:o:5Y13196~"2nm0:7);ic;38yx{z3th>9;4?:083>5}#:0;1=n64H3:6?j7d03:1(?7>:0a;?!7e93><7)?la;64?>{e=l5Y13196~"2nm037);ic;:8yx{z3`n;6=4+2839`5=Q:091=vB=9482Sb42;q/=nk5d19U575=:r.>ji4>;%7eg?74={%3`a?7d?2\:>>4={%7e`?7<,50z&1=4<6k11C>5;4o0a;>5<#:0;1=n64$0`2>1?<,8ij6974;|`6g3<72:0;6=u+28396=5<@;2>7d?=a;29 7?6288j7[<63;3xH7?228q]h>4={%3`a?75i2\:>>4={%7e`?><,2281!3al3;0(8hl:09~yx{5<6290;w)<61;3`<>N50<1d=n650;&1=4<6k11/=o?5409'5fg=<810qo:j9;296?6=8r.95<4ma:J1<0=n9;k1<7*=90826d=5<6290;w)<61;3`<>N50<1d=n650;&1=4<6k11/=o?5559'5fg===10qo;j6;296?6=8r.95<4ma:J1<0=n9;k1<7*=90826d=5<6290;w)<61;3`<>N50<1d=n650;&1=4<6k11/=o?53e9'5fg=;m10qo;7d;296?6=8r.95<4ma:J1<0=n9;k1<7*=90826d=5<6290;w)<61;3`<>N50<1d=n650;&1=4<6k11/=o?5439'5fg=<;10qo:i8;296?6=8r.95<4ma:J1<0=n9;k1<7*=90826d=5<6290;w)<61;3`<>N50<1d=n650;&1=4<6k11/=o?5319'5fg=;910qo?j8;296?6=8r.95<4ma:J1<0=n9;k1<7*=90826d=5<6290;w)<61;3`<>N50<1d=n650;&1=4<6k11/=o?5259'5fg=:=10qo;>3;296?6=8r.95<4ma:J1<0=n9;k1<7*=90826d=5<6290;w)<61;3`<>N50<1d=n650;&1=4<6k11/=o?5379'5fg=;?10qo?kd;296?6=8r.95<4ma:J1<0=n9;k1<7*=90826d=5<6290;w)<61;3`<>N50<1d=n650;&1=4<6k11/=o?5399'5fg=;110qo?kf;296?6=8r.95<4ma:J1<0=n9;k1<7*=90826d=5<6290;w)<61;3`<>N50<1d=n650;&1=4<6k11/=o?5359'5fg=;=10qo?j4;296?6=8r.95<4ma:J1<0=n9;k1<7*=90826d=5<6290;w)<61;3`<>N50<1d=n650;&1=4<6k11/=o?5339'5fg=;;10qo?j6;296?6=8r.95<4ma:J1<0=n9;k1<7*=90826d=5<6290;w)<61;3`<>N50<1d=n650;&1=4<6k11/=o?52g9'5fg=:o10qo?ja;296?6=8r.95<4ma:J1<0=n9;k1<7*=90826d=5<6290;w)<61;3`<>N50<1d=n650;&1=4<6k11/=o?5309'5fg=;810qo?jc;296?6=8r.95<4ma:J1<0=n9;k1<7*=90826d=5<6290;w)<61;3`<>N50<1d=n650;&1=4<6k11/=o?5329'5fg=;:10qo?je;296?6=8r.95<4ma:J1<0=n9;k1<7*=90826d=5<6290;w)<61;3`<>N50<1d=n650;&1=4<6k11/=o?5349'5fg=;<10qo?i0;296?6=8r.95<4ma:J1<0=n9;k1<7*=90826d=5<6290;w)<61;3`<>N50<1d=n650;&1=4<6k11/=o?5369'5fg=;>10qo?i2;296?6=8r.95<4ma:J1<0=n9;k1<7*=90826d=5<6290;w)<61;3`<>N50<1d=n650;&1=4<6k11/=o?5389'5fg=;010qo?i4;296?6=8r.95<4ma:J1<0=n9;k1<7*=90826d=5<6290;w)<61;3`<>N50<1d=n650;&1=4<6k11/=o?52`9'5fg=:h10qo;m6;296?6=8r.95<4ma:J1<0=n9;k1<7*=90826d=5<6290;w)<61;3`<>N50<1d=n650;&1=4<6k11/=o?54b9'5fg=5<6290;w)<61;3`<>N50<1d=n650;&1=4<6k11/=o?52b9'5fg=:j10qo;?4;296?6=8r.95<4ma:J1<0=n9;k1<7*=90826d=5<6290;w)<61;3`<>N50<1d=n650;&1=4<6k11/=o?54e9'5fg=5<6290;w)<61;3`<>N50<1d=n650;&1=4<6k11/=o?52e9'5fg=:m10qo;96;296?6=8r.95<4ma:J1<0=n9;k1<7*=90826d=5<6290;w)<61;3`<>N50<1 =n650;&1=4<6k11/=o?5539'5fg==;10qo;6b;297?6=8r.95<4=829K6=3!4>93n;7[<63;3xH7?228q]h>4={%3`a?b73_;9?74=#=oi1=6sr}|9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on1=6*:fb82?x{zu2wi=h=50;394?6|,;3:6i6k10;6)<61;3`<>"6j808o6*>c`80g>=zj8o96=4=:183!4>93hj7E<75:k26d<72-82=7?=a:9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on146*:fb8;?x{zu2wi8n<50;394?6|,;3:6i6k10;6)<61;3`<>"6j80?n6*>c`87f>=zj=i:6=4=:183!4>93hj7E<75:k26d<72-82=7?=a:9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on146*:fb8;?x{zu2wi8ik50;394?6|,;3:6i6k10;6)<61;3`<>"6j80?i6*>c`87a>=zj=no6=4=:183!4>93hj7E<75:k26d<72-82=7?=a:9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on146*:fb8;?x{zu2wi9>:50;394?6|,;3:6i6k10;6)<61;3`<>"6j80>=6*>c`865>=zj<986=4=:183!4>93hj7E<75:k26d<72-82=7?=a:9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on146*:fb8;?x{zu2wi99<50;394?6|,;3:6i6k10;6)<61;3`<>"6j80?j6*>c`87b>=zj<>:6=4=:183!4>93hj7E<75:k26d<72-82=7?=a:9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on146*:fb8;?x{zu2wi9:850;394?6|,;3:6i6k10;6)<61;3`<>"6j808i6*>c`80a>=zj<=>6=4=:183!4>93hj7E<75:k26d<72-82=7?=a:9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on146*:fb8;?x{zu2wi95<50;394?6|,;3:6i6k10;6)<61;3`<>"6j808j6*>c`80b>=zj<2:6=4=:183!4>93hj7E<75:k26d<72-82=7?=a:9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on146*:fb8;?x{zu2wi9l750;394?6|,;3:6i6k10;6)<61;3`<>"6j809n6*>c`81f>=zj93hj7E<75:k26d<72-82=7?=a:9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on146*:fb8;?x{zu2wio<4?:083>5}#:0;1=n64H3:6?j7d03:1(?7>:0a;?!7e939j7)?la;1b?>{ek90;6?4?:1y'6<7=jh1C>5;4i00b>5<#:0;1=?o4;n3`3?6=,;3:662281!3al320(8hl:99~yx{52;294~"5180im6F=849j57g=83.95<4>2`98k4e0290/>4?51b58R7?428qG>4;51zTg7?4|,8in6{zut1vn8j6:181>5<7s-82=7ln;I0;1>o6:h0;6)<61;31e>=h9j=1<7*=9082g2=Q:091=vB=9482Sb42;q/=nk51b58R4442;q/9kj51:&6bf<63twvq6sm5ec94?4=83:p(?7>:cc8L7>23`;9m7>5$3;2>44f32e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`6`f<72;0;6=u+2839fd=O:1?0e<4={%3`a?7d?2\:>>4={%7e`?7<,50z&1=4<50l1C>5;4i00a>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb288i7[?=3;0x 0`c281/9km51:~yx=n9;i1<7*=90826d=Q:091=vB=9482Sb42;q/=nk513a8R4442;q/9kj5a:&6bfc683>!4>93;h;6X=9282I4>=3;pZi=52z&2g`<6k>1]=?=52z&6ba<63-?mo7?4}|~?xd2m:0;6;4?:1y'6<7=9;20D?6:;%37f?`P6::09w);id;38 0`d281vqps4iba94?"5180ho6X=9282I4>=3;pZ4?5ce9U6<5=:rF9584>{W3a4?4|,8in6nj4V000>7}#=on1?6*:fb80?x{zD;3?69uY29097~Pc938p(8hm:bf8 6dd2{#>>=156sY29097~Pc938p(8hm:bf8 6dd2{#>>=156sY29097~Pc938p(8hm:bf8 6dd2ll:4dg?x"1?>097pX>ce81Sb62;q/9kl5ce9'7ge==on0q)887;g8yx{P6::09w);id;f8 0`d2m1vqpB=9582S4?i38pZi?52z&6bg<5081/?om55gf8y!00?3n0qps4i351>5<#:0;1>:<4V3;0>7}K:0?1=vX>b181!7dm38<>6X>2281!3al3n0(8hl:e9~yxJ51=0:w[<7a;0xRa7=:r.>jo4=739'7ge==on0q)887;f8yx{44?:083>5}#:0;1>464H3:6?j7d?3:1(?7>:0a4?>{e:1=1<7?50;2x 7?628i>7E<75:m2g2<72-82=7?l7:9~f0bc29086=4?{%0:5?4?m2B9485f13`94?"5180:>l5Y28195~J51<0:w[j<:3y'5fc=9;h0Z<<<:3y'1cb=92.>jn4>;|~y>o6:j0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2b9U575=:r.>ji4>;%7eg?74={%3`a?7d?2\:>>4={%7e`?7<,50z&1=4<5111C>5;4o0a4>5<#:0;1=n94;|`6a5<72?0;6=u+28392g=O:1?0e<62281!3al3i0(8hl:b9~yx{4={%3`a?75k2\:>>4={%7e`?e<,4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;303>P6::09w);id;a8 0`d2j1vqps4i061>5<#:0;1=?o4H3;3?S4>;3;p@?7::0yU`6<5s-;hi7?;2:T266<5s-?mh7m4$4d`>f=zutw0e<:::18'6<7=9;k0D?7?;W0:7?7|D;3>62281!3al3i0(8hl:b9~yx{5o4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826g=Q9;91>v*:fe8`?!3ak3i0qpsr;h31g?6=,;3:6<62281!3al3i0(8hl:b9~yx{l5G2828R7?428qG>4;51zTg7?4|,8in6<:=;W317?4|,{zut1b=9;50;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb28>>7[?=3;0x 0`c2j1/9km5c:~yx=n9=<1<7*=90826d=Q:091=vB=9482Sb42;q/=nk51548R4442;q/9kj5c:&6bf4683>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6<>1]=?=52z&6ba:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qo;kf;297?6=8r.95<4>c79K6=3l5Y28195~J51<0:w[j<:3y'5fc=9;i0Z<<<:3y'1cb=92.>jn4>;|~y>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4>;%7eg?76<729q/>4?56c9K6=34={%3`a?75j2\:>>4={%7e`?2<,4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;31g>P6::09w);id;68 0`d2=1vqps4o0a4>5<#:0;1=n94V3;0>4}K:0?1=vXk3;0x 4eb28i<7[?=3;0x 0`c281/9km51:~yx=zj=n96=4=:183!4>93hj7E<75:k26d<72-82=7?=a:9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on1=6*:fb82?x{zu2wi8i>50;094?6|,;3:6oo4H3:6?l75i3:1(?7>:00b?>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4>;%7eg?77<729q/>4?5b`9K6=35$3;2>4e03_82?7?tL3;6>4}Ql:09w)?le;3`3>P6::09w);id;38 0`d281vqps4}c6g7?6=:3:1N50<1b=?o50;&1=4<6:h10c4e03_;9?74=#=oi1=6sr}|9~f1be290=6=4?{%0:5?7502B9485+15`9b>o6:h0;6)<61;31e>P51:0:wA<65;3xR4d72;q/=nk513c8R4442;q/9kj51:&6bf<63twvq6glc;29 7?62ji0Z?7<:0yO6<3=9r\:n=4={%3`a?ed3_;9?74=#=oi1=6sr}|9jga<72-82=7mk;W0:7?4|D;3>62281!3al390(8hl:29~yxJ51=0?w[<72;1xRa7=:r.>jo4ld:&0ff<2nm1vZi<52z&6bg<6:h1/?om55gf8y!00?330q[<72;1xRa7=:r.>jo4ld:&0ff<2nm1vZi<52z&6bg<6:h1/?om55ga8y!00?330q[<72;1xRa7=:r.>jo4ld:&0ff<2nm1vZi<52z&6bgo5080;6)<61;0;5>P51:09wA<65;3xR4d72;q/=nk52938R4442;q/9kj5d:&6bf7>63-9io7;id:'221=l2wvq6g=7383>!4>938<>6X=9281I4>=3;pZ80Z<<<:3y'1cb=l2.>jn4k;|~H7?328q]>5o52zTg5?4|,i6k>0;6)<61;3`3>=zj=n?6=4<:183!4>9383i6F=849j57d=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?l4V000>7}#=on1=6*:fb82?x{zu2c:>n4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826f=Q9;91>v*:fe82?!3ak3;0qpsr;n3`3?6=,;3:662281!3al3;0(8hl:09~yx{o97>51;294~"51809555G2978k4e0290/>4?51b58?xd3l>0;6;4?:1y'6<7=>k1C>5;4i00a>5<#:0;1=?o4H3;3?S4>;3;p@?7::0yU`6<5s-;hi7?=b:T266<5s-?mh7m4$4d`>f=zutw0e<44d3_;9?7f=#=oi1o6sr}|9j561=83.95<4>2`9K6<6<^;386l5G2828R7?428qG>4;51zTg7?4|,8in6<:=;W317?4|,{zut1b=9;50;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb28>>7[?=3;0x 0`c2j1/9km5c:~yx=h9j=1<7*=9082g2=Q:091=vB=9482Sb42;q/=nk51b58R4442;q/9kj51:&6bf<63twvq6sm4e:94?0=83:p(?7>:7`8L7>23`;9n7>5$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6:k1]=?=52z&6ba:00b?M4>82\95>4>{M0:1?7|^m91>v*>cd826f=Q9;91>v*:fe8`?!3ak3i0qpsr;h303?6=,;3:6<P51:0:wA<65;3xRa5=:r.:oh4>369U575=:r.>ji4l;%7eg?e5$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6<<1]=?=52z&6ba:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qo:k9;293?6=8r.95<49b:J1<0=n9;h1<7*=90826d=O:0:0Z?7<:0yO6<3=9r\o?744e3_;9?7f=#=oi1o6sr}|9j57e=83.95<4>2`9K6<6<^;386l5G2828R7?428qG>4;51zTg7?4|,8in6<=8;W317?4|,{zut1b=9<50;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb28>97[?=3;0x 0`c2j1/9km5c:~yx=n9=?1<7*=90826d=O:0:0Z?7<:0yO6<3=9r\o?74223_;9?7f=#=oi1o6sr}|9j510=83.95<4>2`9K6<6<^;386jn4>;|~y>{eo5Y13196~"2nm0:7);ic;38yx{z3`;9o7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;31g>P6::09w);id;38 0`d281vqps4i014>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb289<7[?=3;0x 0`c281/9km51:~yx=h9j=1<7*=9082g2=Q:091=vB=9482Sb42;q/=nk51b58R4442;q/9kj51:&6bf<63twvq6sm4ea94?5=83:p(?7>:7`8L7>23`;9n7>5$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6:k1]=?=52z&6ba<33-?mo7:4}|~?l75k3:1(?7>:00b?M4>82\95>4>{M0:1?7|^m91>v*>cd826f=Q9;91>v*:fe87?!3ak3>0qpsr;n3`3?6=,;3:662281!3al3;0(8hl:09~yx{57>52;294~"5180im6F=849j57g=83.95<4>2`98k4e0290/>4?51b58R7?428qG>4;51zTg7?4|,8in6{zut1vn8;8:181>5<7s-82=7ln;I0;1>o6:h0;6)<61;31e>=h9j=1<7*=9082g2=Q:091=vB=9482Sb42;q/=nk51b58R4442;q/9kj51:&6bf<63twvq6sm54:94?4=83:p(?7>:cc8L7>23`;9m7>5$3;2>44f32e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`61d<72;0;6=u+2839fd=O:1?0e<4={%3`a?7d?2\:>>4={%7e`?7<,50z&1=4<6:11C>5;4$06a>c=n9;k1<7*=90826d=Q:091=vB=9482S7e838p(!4>93ih7[<63;3xH7?228q]=o>52z&2g`>4={%7e`?7<,7}#9jo1oi5Y13196~"2nm087);ic;18yx{K:0>18vX=8380Sb62;q/9kl5ce9'7ge==on0q[j=:3y'1cd=9;k0(>ll:4dg?x"1?>027pX=8380Sb62;q/9kl5ce9'7ge==on0q[j=:3y'1cd=9;k0(>ll:4d`?x"1?>027pX=8380Sb62;q/9kl5ce9'7ge==on0q[j=:3y'1cd=kj1/?om55gf8y!00?380q[?ld;0xRa7=:r.>jo4ld:&0ff<2nm1v(;98:d9~yx=n:1;1<7*=9081<4=Q:091>vB=9482S7e838p(f2;q]h<4={%7ef?4?92.8nn4:fe9~ 3102m1vqp5f26094?"51809;?5Y28196~J51<0:w[?m0;0x 4eb2;=97[?=3;0x 0`c2m1/9km5d:~yI4><3;pZ?6n:3yU`4<5s-?mn7<82:&0ff<2nm1v(;98:e9~yx=h9j=1<7*=9082g2=n7>53;294~"518094h5G2978m44e290/>4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;31f>P6::09w);id;38 0`d281vqps4i00`>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb288h7[?=3;0x 0`c281/9km51:~yx=h9j=1<7*=9082g2=Q:091=vB=9482Sb42;q/=nk51b58R4442;q/9kj51:&6bf<63twvq6sm54a94?7=83:p(?7>:3;;?M4?=2e:o:4?:%0:5?7d?21vn8;j:180>5<7s-82=78m;I0;1>o6:k0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2c9U575=:r.>ji4>;%7eg?74={%3`a?75k2\:>>4={%7e`?7<,4?51b58R7?428qG>4;51zTg7?4|,8in6{zut1vn8;i:180>5<7s-82=78m;I0;1>o6:k0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2c9U575=:r.>ji4l;%7eg?e4={%3`a?75k2\:>>4={%7e`?e<,4?51b58R7?428qG>4;51zTg7?4|,8in6{zut1vn88?:180>5<7s-82=78m;I0;1>o6:k0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2c9U575=:r.>ji4l;%7eg?e4={%3`a?75k2\:>>4={%7e`?e<,4?51b58R7?428qG>4;51zTg7?4|,8in6{zut1vn88>:180>5<7s-82=78m;I0;1>o6:k0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2c9U575=:r.>ji4l;%7eg?e4={%3`a?75k2\:>>4={%7e`?e<,4?51b58R7?428qG>4;51zTg7?4|,8in6{zut1vn88=:187>5<7s-82=78m;I0;1>o6:k0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2c9U575=:r.>ji4l;%7eg?e4={%3`a?75k2\:>>4={%7e`?e<,4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;303>P6::09w);id;a8 0`d2j1vqps4o0a4>5<#:0;1=n94V3;0>4}K:0?1=vXk3;0x 4eb28i<7[?=3;0x 0`c281/9km51:~yx=zj93;h:6F=849j57d=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?l4V000>7}#=on1=6*:fb82?x{zu2c:>n4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826f=Q9;91>v*:fe82?!3ak3;0qpsr;h303?6=,;3:6<62281!3al3;0(8hl:09~yx{96=4+283957g<^;386l5Y28195~J51<0:w[j<:3y'5fc=9=?0Z<<<:3y'1cb=92.>jn4>;|~y>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4>;%7eg?76<729q/>4?56c9K6=34={%3`a?75j2\:>>4={%7e`?2<,4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;31g>P6::09w);id;68 0`d2=1vqps4o0a4>5<#:0;1=n94V3;0>4}K:0?1=vXk3;0x 4eb28i<7[?=3;0x 0`c281/9km51:~yx=zj93hj7E<75:k26d<72-82=7?=a:9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on1=6*:fb82?x{zu2wi9n650;094?6|,;3:6oo4H3:6?l75i3:1(?7>:00b?>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4>;%7eg?77<729q/>4?5b`9K6=35$3;2>4e03_82?7?tL3;6>4}Ql:09w)?le;3`3>P6::09w);id;38 0`d281vqps4}c7`f?6=:3:1N50<1b=?o50;&1=4<6:h10c4e03_;9?74=#=oi1=6sr}|9~f0b2290=6=4?{%0:5?7502B9485+15`9b>o6:h0;6)<61;31e>P51:0:wA<65;3xR4d72;q/=nk513c8R4442;q/9kj51:&6bf<63twvq6glc;29 7?62ji0Z?7<:0yO6<3=9r\:n=4={%3`a?ed3_;9?74=#=oi1=6sr}|9jga<72-82=7mk;W0:7?4|D;3>62281!3al390(8hl:29~yxJ51=0?w[<72;1xRa7=:r.>jo4ld:&0ff<2nm1vZi<52z&6bg<6:h1/?om55gf8y!00?330q[<72;1xRa7=:r.>jo4ld:&0ff<2nm1vZi<52z&6bg<6:h1/?om55ga8y!00?330q[<72;1xRa7=:r.>jo4ld:&0ff<2nm1vZi<52z&6bgo5080;6)<61;0;5>P51:09wA<65;3xR4d72;q/=nk52938R4442;q/9kj5d:&6bf7>63-9io7;id:'221=l2wvq6g=7383>!4>938<>6X=9281I4>=3;pZ80Z<<<:3y'1cb=l2.>jn4k;|~H7?328q]>5o52zTg5?4|,i6k>0;6)<61;3`3>=zj9383i6F=849j57d=83.95<4>2`9K6<6<^;386l5Y28195~J51<0:w[j<:3y'5fc=9;i0Z<<<:3y'1cb=92.>jn4>;|~y>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4>;%7eg?74<729q/>4?528:8L7>23f;h;7>5$3;2>4e032wi9nh50;194?6|,;3:6;l4H3:6?l75j3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=b:T266<5s-?mh7?4$4d`>4=zutw0e<44d3_;9?74=#=oi1=6sr}|9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on1=6*:fb82?x{zu2wi9i>50;194?6|,;3:6;l4H3:6?l75j3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=b:T266<5s-?mh7m4$4d`>f=zutw0e<44d3_;9?7f=#=oi1o6sr}|9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on1=6*:fb82?x{zu2wi9i?50;194?6|,;3:6;l4H3:6?l75j3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=b:T266<5s-?mh7m4$4d`>f=zutw0e<44d3_;9?7f=#=oi1o6sr}|9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on1=6*:fb82?x{zu2wi9i<50;194?6|,;3:6;l4H3:6?l75j3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=b:T266<5s-?mh7m4$4d`>f=zutw0e<44d3_;9?7f=#=oi1o6sr}|9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on1=6*:fb82?x{zu2wi9i=50;694?6|,;3:6;l4H3:6?l75j3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=b:T266<5s-?mh7m4$4d`>f=zutw0e<44d3_;9?7f=#=oi1o6sr}|9j561=83.95<4>2`9K6<6<^;386jn4>;|~y>{e=jo1<7850;2x 7?628i=7E<75:k26g<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:>o5Y13196~"2nm0:7);ic;38yx{z3`;9o7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;31g>P6::09w);id;38 0`d281vqps4i014>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb289<7[?=3;0x 0`c281/9km51:~yx=n9=81<7*=90826d=Q:091=vB=9482Sb42;q/=nk51508R4442;q/9kj51:&6bf<63twvq6g>4483>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6<<1]=?=52z&6ba<63-?mo7?4}|~?j7d?3:1(?7>:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qo;k6;297?6=8r.95<49b:J1<0=n9;h1<7*=90826d=O:0:0Z?7<:0yO6<3=9r\o?744e3_;9?71=#=oi186sr}|9j57e=83.95<4>2`9K6<6<^;386jn4>;|~y>{e<0=1<7<50;2x 7?62kk0D?6:;h31e?6=,;3:6<5}#:0;1>5k4H3:6?l75j3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=b:T266<5s-?mh7?4$4d`>4=zutw0e<44d3_;9?7d=#=oi1m6sr}|9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on1=6*:fb82?x{zu2wi8l=50;494?6|,;3:6<<7;I0;1>"652z&2g`<6:h1]=?=52z&6ba<63-?mo7?4}|~?led290/>4?5cb9U6<5=9rF9584>{W3a4?4|,8in6nm4V000>7}#=on1=6*:fb82?x{zu2chh7>5$3;2>fb<^;386?uC28795~P6j909w)?le;ag?S75;38p(8hk:29'1ce=;2wvqA<64;6xR7>52:q]h<4={%7ef?ec3-9io7;id:U`7<5s-?mn7?=a:&0ff<2nm1v(;98:89~R7>52:q]h<4={%7ef?ec3-9io7;id:U`7<5s-?mn7?=a:&0ff<2nj1v(;98:89~R7>52:q]h<4={%7ef?ec3-9io7;id:U`7<5s-?mn7ml;%1ag?3al2w/::952:U5fb=:r\o=7fb<,:hh68hk;|&53252z&2g`<5081]=?=52z&6ba7}#=oh1>5?4$2``>0`c3t.=;:4k;|~?l40:3:1(?7>:351?S4>;38p@?7::0yU5g6=:r.:oh4=739U575=:r.>ji4k;%7eg?b4:51zT1:3:f?M4?=2c:>o4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826g=Q9;91>v*:fe82?!3ak3;0qpsr;h31g?6=,;3:6<62281!3al3;0(8hl:09~yx{5<6290;w)<61;0:<>N50<1d=n950;&1=4<6k>10qo:6b;297?6=8r.95<49b:J1<0=n9;h1<7*=90826d=Q:091=vB=9482Sb42;q/=nk513`8R4442;q/9kj51:&6bf<63twvq6g>2b83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:j1]=?=52z&6ba<63-?mo7?4}|~?j7d?3:1(?7>:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qo:6c;297?6=8r.95<49b:J1<0=n9;h1<7*=90826d=Q:091=vB=9482Sb42;q/=nk513`8R4442;q/9kj51:&6bf<63twvq6g>2b83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:j1]=?=52z&6ba<63-?mo7?4}|~?j7d?3:1(?7>:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qo:6d;290?6=8r.95<49b:J1<0=n9;h1<7*=90826d=Q:091=vB=9482Sb42;q/=nk513`8R4442;q/9kj56:&6bf<13twvq6g>2b83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:j1]=?=52z&6ba:00b?M4>82\95>4>{M0:1?7|^m91>v*>cd8272=Q9;91>v*:fe8`?!3ak3i0qpsr;n3`3?6=,;3:662281!3al3;0(8hl:09~yx{2i7>57;294~"5180=n6F=849j57d=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?l4V000>7}#=on1:6*:fb85?x{zu2c:>n4?:%0:5?75i2B95=5Y28195~J51<0:w[j<:3y'5fc=9;i0Z<<<:3y'1cb=>2.>jn49;|~y>o6;>0;6)<61;31e>N5191]>4=51zN1=0<6s_n86?u+1bg9561<^8886?u+5gf9g>"2nj0h7psr}:k207<72-82=7?=a:J1=5=Q:091=vB=9482Sb42;q/=nk51508R4442;q/9kj5c:&6bf4483>!4>93;9m6F=919U6<5=9rF9584>{Wf0>7}#9jo1=9;4V000>7}#=on1o6*:fb8`?x{zu2c:8;4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8203=Q9;91>v*:fe8`?!3ak3i0qpsr;n3`3?6=,;3:662281!3al3;0(8hl:09~yx{2j7>57;294~"5180=n6F=849j57d=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?l4V000>7}#=on1:6*:fb85?x{zu2c:>n4?:%0:5?75i2B95=5Y28195~J51<0:w[j<:3y'5fc=9;i0Z<<<:3y'1cb=k2.>jn4l;|~y>o6;>0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>369U575=:r.>ji4l;%7eg?e5$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6<<1]=?=52z&6ba3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?;6:T266<5s-?mh7m4$4d`>f=zutw0c4e03_;9?74=#=oi1=6sr}|9~f1g729036=4?{%0:5?0e3A8396g>2c83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:k1]=?=52z&6ba<13-?mo784}|~?l75k3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=c:T266<5s-?mh784$4d`>3=zutw0e<=8:18'6<7=9;k0D?7?;W0:7?7|D;3>62281!3al3<0(8hl:79~yx{96=4+283957g<@;3;7[<63;3xH7?228q]h>4={%3`a?73:2\:>>4={%7e`?e<,4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;371>P6::09w);id;a8 0`d2j1vqps4i065>5<#:0;1=?o4H3;3?S4>;3;p@?7::0yU`6<5s-;hi7?;6:T266<5s-?mh7m4$4d`>f=zutw0e<:8:18'6<7=9;k0Z?7<:0yO6<3=9r\o?74203_;9?7f=#=oi1o6sr}|9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on1=6*:fb82?x{zu2wi8l?50;:94?6|,;3:6;l4H3:6?l75j3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=b:T266<5s-?mh784$4d`>3=zutw0e<44d3_;9?73=#=oi1:6sr}|9j561=83.95<4>2`9K6<6<^;3862wvqp5f15094?"5180:>l5G2828R7?428qG>4;51zTg7?4|,8in6<:=;W317?4|,{zut1b=9;50;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb28>>7[?=3;0x 0`c2j1/9km5c:~yx=n9=<1<7*=90826d=O:0:0Z?7<:0yO6<3=9r\o?74213_;9?7f=#=oi1o6sr}|9j511=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=994V000>7}#=on1o6*:fb8`?x{zu2e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`7=d<7210;6=u+28395f0<@;2>7d?=b;29 7?6288j7[<63;3xH7?228q]h>4={%3`a?75j2\:>>4={%7e`?7<,4?513c8R7?428qG>4;51zTg7?4|,8in6<{zut1b=>950;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg9561<^8886?u+5gf95>"2nj0:7psr}:k207<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:8?5Y13196~"2nm0:7);ic;38yx{z3`;?97>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;371>P6::09w);id;38 0`d281vqps4i065>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb28>=7[?=3;0x 0`c281/9km51:~yx=n9==1<7*=90826d=Q:091=vB=9482Sb42;q/=nk51558R4442;q/9kj51:&6bf<63twvq6a>c683>!4>93;h;6X=9282I4>=3;pZi=52z&2g`<6k>1]=?=52z&6ba<63-?mo7?4}|~?xd4:h0;6?4?:1y'6<7=jh1C>5;4i00b>5<#:0;1=?o4;n3`3?6=,;3:662281!3al3;0(8hl:09~yx{56;294~"5180:>55G2978 42e2o1b=?o50;&1=4<6:h1]>4=51zN1=0<6s_;i<744f3_;9?74=#=oi1=6sr}|9jgf<72-82=7ml;W0:7?7|D;3>62281!3al3;0(8hl:09~yx{P51:09wA<65;3xR4d72;q/=nk5ce9U575=:r.>ji4<;%7eg?54:54zT1<7<4s_n:6?u+5g`9ga=#;ki19kj4}Wf1>7}#=oh1=?o4$2``>0`c3t.=;:46;|T1<7<4s_n:6?u+5g`9ga=#;ki19kj4}Wf1>7}#=oh1=?o4$2``>0`d3t.=;:46;|T1<7<4s_n:6?u+5g`9ga=#;ki19kj4}Wf1>7}#=oh1on5+3ca91cbv*:fc8``>"4jj0>ji5r$754>`=zut1b>5?50;&1=4<5081]>4=52zN1=0<6s_;i<77>63_;9?7a=#=oi1h6sr}M0:0?7|^;2j6?uYd081!3aj383=6*{W3a4?4|,8in6?9=;W317?4|,{zuE8287?tV3:b>7}Ql809w);ib;046>"4jj0>ji5r$754>a=zut1d=n950;&1=4<6k>10qo==b;297?6=8r.95<4=8d9K6=3l5Y28195~J51<0:w[j<:3y'5fc=9;i0Z<<<:3y'1cb=92.>jn4>;|~y>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4>;%7eg?74<729q/>4?528:8L7>23f;h;7>5$3;2>4e032wi??k50;194?6|,;3:6;l4H3:6?l75j3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=b:T266<5s-?mh7?4$4d`>4=zutw0e<44d3_;9?74=#=oi1=6sr}|9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on1=6*:fb82?x{zu2wi??h50;194?6|,;3:6;l4H3:6?l75j3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=b:T266<5s-?mh7?4$4d`>4=zutw0e<44d3_;9?74=#=oi1=6sr}|9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on1=6*:fb82?x{zu2wi?>>50;194?6|,;3:6;l4H3:6?l75j3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=b:T266<5s-?mh7m4$4d`>f=zutw0e<44d3_;9?7f=#=oi1o6sr}|9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on1=6*:fb82?x{zu2wi?>?50;194?6|,;3:6;l4H3:6?l75j3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=b:T266<5s-?mh7m4$4d`>f=zutw0e<62281!3al3i0(8hl:b9~yx{5<4290;w)<61;4a?M4?=2c:>o4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826g=Q9;91>v*:fe8`?!3ak3i0qpsr;h31g?6=,;3:6<P51:0:wA<65;3xRa5=:r.:oh4>2b9U575=:r.>ji4l;%7eg?e4={%3`a?7d?2\:>>4={%7e`?7<,50z&1=4<1j2B9485f13`94?"5180:>l5G2828R7?428qG>4;51zTg7?4|,8in6<{zut1b=?m50;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb288h7[?=3;0x 0`c2j1/9km5c:~yx=n9:=1<7*=90826d=Q:091=vB=9482Sb42;q/=nk51258R4442;q/9kj5c:&6bf4383>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6<;1]=?=52z&6ba:00b?S4>;3;p@?7::0yU`6<5s-;hi7?;5:T266<5s-?mh7m4$4d`>f=zutw0c4e03_;9?74=#=oi1=6sr}|9~f6532909=7>50z&1=4<1j2B9485f13`94?"5180:>l5G2828R7?428qG>4;51zTg7?4|,8in6<{zut1b=?m50;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb288h7[?=3;0x 0`c2?1/9km56:~yx=n9:=1<7*=90826d=Q:091=vB=9482Sb42;q/=nk51258R4442;q/9kj56:&6bf<13twvq6g>4383>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6<;1]=?=52z&6ba<13-?mo784}|~?l73=3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?;5:T266<5s-?mh784$4d`>3=zutw0e<:9:18'6<7=9;k0Z?7<:0yO6<3=9r\o?74213_;9?73=#=oi1:6sr}|9j511=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=994V000>7}#=on1:6*:fb85?x{zu2c:854?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd820==Q9;91>v*:fe85?!3ak3<0qpsr;h37=?6=,;3:6<62281!3al3<0(8hl:79~yx{j6=4+283957g<^;3862wvqp5f13f94?"5180:>l5Y28195~J51<0:w[j<:3y'5fc=9;n0Z<<<:3y'1cb=>2.>jn49;|~y>o6:l0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2d9U575=:r.>ji49;%7eg?04={%3`a?75n2\:>>4={%7e`?0<,4?513c8R7?428qG>4;51zTg7?4|,8in6<=?;W317?4|,{zut1b=>?50;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb289:7[?=3;0x 0`c2?1/9km56:~yx=n9:81<7*=90826d=O:0:0Z?7<:0yO6<3=9r\o?74553_;9?73=#=oi1:6sr}|9j565=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=>=4V000>7}#=on1:6*:fb85?x{zu2c:?94?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8271=Q9;91>v*:fe82?!3ak3;0qpsr;h301?6=,;3:6<62281!3al3;0(8hl:09~yx{l5Y28195~J51<0:w[j<:3y'5fc=9:20Z<<<:3y'1cb=92.>jn4>;|~y>o6;00;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>389U575=:r.>ji4>;%7eg?74={%3`a?74i2\:>>4={%7e`?7<,4?513c8R7?428qG>4;51zTg7?4|,8in6<=m;W317?4|,{zut1b=>m50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg956e<^8886?u+5gf95>"2nj0:7psr}:k27a<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:?i5Y13196~"2nm0:7);ic;38yx{z3`;8i7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;30a>P6::09w);id;38 0`d281vqps4i01e>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb289m7[?=3;0x 0`c281/9km51:~yx=n9=:1<7*=90826d=Q:091=vB=9482Sb42;q/=nk51528R4442;q/9kj51:&6bf<63twvq6g>4083>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6<81]=?=52z&6ba<63-?mo7?4}|~?l73;3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?;3:T266<5s-?mh7?4$4d`>4=zutw0e<:;:18'6<7=9;k0Z?7<:0yO6<3=9r\o?74233_;9?74=#=oi1=6sr}|9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on1=6*:fb82?x{zu2wi??j50;:94?6|,;3:6o6:k0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2c9U575=:r.>ji4>;%7eg?74={%3`a?75k2\:>>4={%7e`?7<,4?513c8R7?428qG>4;51zTg7?4|,8in6<=8;W317?4|,{zut1b=9<50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg9514<^8886?u+5gf95>"2nj0:7psr}:k200<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:885Y13196~"2nm0:7);ic;38yx{z3`;?:7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;372>P6::09w);id;38 0`d281vqps4i064>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb28><7[?=3;0x 0`c281/9km51:~yx=h9j=1<7*=9082g2=Q:091=vB=9482Sb42;q/=nk51b58R4442;q/9kj51:&6bf<63twvq6sm32594?4=83:p(?7>:cc8L7>23`;9m7>5$3;2>44f32e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`006<72?0;6=u+283957><@;2>7)?;b;d8m44f290/>4?513c8R7?428qG>4;51zT2f5<5s-;hi7?=a:T266<5s-?mh7?4$4d`>4=zutw0enm50;&1=44>{M0:1?7|^8h;6?u+1bg9gf=Q9;91>v*:fe82?!3ak3;0qpsr;hag>5<#:0;1oi5Y28196~J51<0:w[?m0;0x 4eb2jn0Z<<<:3y'1cb=;2.>jn4<;|~H7?32=q]>5<53zTg5?4|,0`c3t\o>744f3-9io7;id:'221=12w]>5<53zTg5?4|,0`c3t\o>744f3-9io7;ic:'221=12w]>5<53zTg5?4|,0`c3t\o>7fe<,:hh68hk;|&532<53t\:oi4={Wf2>7}#=oh1oi5+3ca91cb6290/>4?52938R7?42;qG>4;51zT2f5<5s-;hi7<71:T266<5s-?mh7j4$4d`>a=zutF9594>{W0;e?4|^m;1>v*:fc81<4=#;ki19kj4}%443?b80Z?7<:3yO6<3=9r\:n=4={%3`a?40:2\:>>4={%7e`?b<,4?51b58?xd4;10;6>4?:1y'6<7=:1o0D?6:;h31f?6=,;3:6<P51:0:wA<65;3xRa5=:r.:oh4>2c9U575=:r.>ji4>;%7eg?74={%3`a?75k2\:>>4={%7e`?7<,4?51b58R7?428qG>4;51zTg7?4|,8in6{zut1vn>=6:182>5<7s-82=7<68:J1<0=h9j=1<7*=9082g2=53;294~"5180=n6F=849j57d=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?l4V000>7}#=on1=6*:fb82?x{zu2c:>n4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826f=Q9;91>v*:fe82?!3ak3;0qpsr;n3`3?6=,;3:662281!3al3;0(8hl:09~yx{53;294~"5180=n6F=849j57d=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?l4V000>7}#=on1=6*:fb82?x{zu2c:>n4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826f=Q9;91>v*:fe82?!3ak3;0qpsr;n3`3?6=,;3:662281!3al3;0(8hl:09~yx{53;294~"5180=n6F=849j57d=83.95<4>2`9K6<6<^;386l5G2828R7?428qG>4;51zTg7?4|,8in6<{zut1d=n950;&1=4<6k>1]>4=51zN1=0<6s_n86?u+1bg95f1<^8886?u+5gf95>"2nj0:7psr}:a76c=8391<7>t$3;2>3d<@;2>7d?=b;29 7?6288j7[<63;3xH7?228q]h>4={%3`a?75j2\:>>4={%7e`?e<,4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;31g>P6::09w);id;a8 0`d2j1vqps4o0a4>5<#:0;1=n94V3;0>4}K:0?1=vXk3;0x 4eb28i<7[?=3;0x 0`c281/9km51:~yx=zj:9m6=4<:183!4>932b83>!4>93;9m6F=919U6<5=9rF9584>{Wf0>7}#9jo1=?m4V000>7}#=on1o6*:fb8`?x{zu2e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`005<72:0;6=u+28392g=O:1?0e<62281!3al3i0(8hl:b9~yx{4={%3`a?75k2\:>>4={%7e`?e<,4?51b58R7?428qG>4;51zTg7?4|,8in6{zut1vn>:>:185>5<7s-82=78m;I0;1>o6:k0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2c9U575=:r.>ji4l;%7eg?en5Y13196~"2nm0h7);ic;a8yx{z3`;8;7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;303>P6::09w);id;a8 0`d2j1vqps4i061>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb28>97[?=3;0x 0`c2j1/9km5c:~yx=n9=?1<7*=90826d=Q:091=vB=9482Sb42;q/=nk51578R4442;q/9kj5c:&6bfc683>!4>93;h;6X=9282I4>=3;pZi=52z&2g`<6k>1]=?=52z&6ba<63-?mo7?4}|~?xd4;h0;654?:1y'6<7=9j<0D?6:;h31f?6=,;3:6<62281!3al3;0(8hl:09~yx{l5Y28195~J51<0:w[j<:3y'5fc=9:=0Z<<<:3y'1cb=92.>jn4>;|~y>o6<;0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>439U575=:r.>ji4>;%7eg?74={%3`a?73=2\:>>4={%7e`?7<,4?513c8R7?428qG>4;51zTg7?4|,8in6<:9;W317?4|,{zut1b=9950;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg9511<^8886?u+5gf95>"2nj0:7psr}:m2g2<72-82=7?l7:T1=6<6sE8297?tVe196~"6kl0:o:5Y13196~"2nm0:7);ic;38yx{z3th8894?:383>5}#:0;1nl5G2978m44f290/>4?513c8?j7d?3:1(?7>:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qo=;b;297?6=8r.95<4=8d9K6=3l5Y28195~J51<0:w[j<:3y'5fc=9;i0Z<<<:3y'1cb=i2.>jn4n;|~y>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4>;%7eg?73<729q/>4?513:8L7>23-;?n7h4i00b>5<#:0;1=?o4V3;0>4}K:0?1=vX>b181!7dm3;9m6X>2281!3al3;0(8hl:09~yx{P51:0:wA<65;3xR4d72;q/=nk5cb9U575=:r.>ji4>;%7eg?74=52zN1=0<6s_;i<7fb<^8886?u+5gf97>"2nj087psrL3;7>1}Q:181?vXk1;0x 0`e2jn0(>ll:4dg?xPc:38p(8hm:00b?!5ek3?mh6s+6659=>{Q:181?vXk1;0x 0`e2jn0(>ll:4dg?xPc:38p(8hm:00b?!5ek3?mo6s+6659=>{Q:181?vXk1;0x 0`e2jn0(>ll:4dg?xPc:38p(8hm:ba8 6dd2:3y'1cd=km1/?om55gf8y!00?3o0qps4i3:2>5<#:0;1>5?4V3;0>7}K:0?1=vX>b181!7dm383=6X>2281!3al3n0(8hl:e9~yxJ51=0:w[<7a;0xRa7=:r.>jo4=809'7ge==on0q)887;f8yx{P6::09w);id;f8 0`d2m1vqpB=9582S4?i38pZi?52z&6bg<5?;1/?om55gf8y!00?3n0qps4o0a4>5<#:0;1=n94;|`003<72:0;6=u+28396=c<@;2>7d?=b;29 7?6288j7E<60:T1=6<6sE8297?tVe196~"6kl0:>o5Y13196~"2nm0:7);ic;38yx{z3`;9o7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;31g>P6::09w);id;38 0`d281vqps4o0a4>5<#:0;1=n94V3;0>4}K:0?1=vXk3;0x 4eb28i<7[?=3;0x 0`c281/9km51:~yx=zj:><6=4>:183!4>938246F=849l5f1=83.95<4>c698yg5303:197>50z&1=4<1j2B9485f13`94?"5180:>l5G2828R7?428qG>4;51zTg7?4|,8in6<{zut1b=?m50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957e<^8886?u+5gf9g>"2nj0h7psr}:k272<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:?:5Y13196~"2nm0h7);ic;a8yx{z3`;?>7>5$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6<;1]=?=52z&6ba:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qo=;5;297?6=8r.95<49b:J1<0=n9;h1<7*=90826d=O:0:0Z?7<:0yO6<3=9r\o?744e3_;9?7f=#=oi1o6sr}|9j57e=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?m4V000>7}#=on1o6*:fb8`?x{zu2e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`00f<72;0;6=u+2839fd=O:1?0e<4={%3`a?7d?2\:>>4={%7e`?7<,50z&1=4<6:11C>5;4$06a>c=n9;k1<7*=90826d=Q:091=vB=9482S7e838p(!4>93ih7[<63;3xH7?228q]=o>52z&2g`>4={%7e`?7<,7}#9jo1oi5Y13196~"2nm087);ic;18yx{K:0>18vX=8380Sb62;q/9kl5ce9'7ge==on0q[j=:3y'1cd=9;k0(>ll:4dg?x"1?>027pX=8380Sb62;q/9kl5ce9'7ge==on0q[j=:3y'1cd=9;k0(>ll:4d`?x"1?>027pX=8380Sb62;q/9kl5ce9'7ge==on0q[j=:3y'1cd=kj1/?om55gf8y!00?330q[?ld;0xRa7=:r.>jo4ld:&0ff<2nm1v(;98:d9~yx=n:1;1<7*=9081<4=Q:091>vB=9482S7e838p(f2;q]h<4={%7ef?4?92.8nn4:fe9~ 3102m1vqp5f26094?"51809;?5Y28196~J51<0:w[?m0;0x 4eb2;=97[?=3;0x 0`c2m1/9km5d:~yI4><3;pZ?6n:3yU`4<5s-?mn7<82:&0ff<2nm1v(;98:e9~yx=h9j=1<7*=9082g2=53;294~"518094h5G2978m44e290/>4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;31f>P6::09w);id;38 0`d281vqps4i00`>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb288h7[?=3;0x 0`c281/9km51:~yx=h9j=1<7*=9082g2=Q:091=vB=9482Sb42;q/=nk51b58R4442;q/9kj51:&6bf<63twvq6sm35d94?7=83:p(?7>:3;;?M4?=2e:o:4?:%0:5?7d?21vn>;>:180>5<7s-82=78m;I0;1>o6:k0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2c9U575=:r.>ji4l;%7eg?e4={%3`a?75k2\:>>4={%7e`?e<,4?51b58R7?428qG>4;51zTg7?4|,8in6{zut1vn>;=:180>5<7s-82=78m;I0;1>o6:k0;6)<61;31e>N5191]>4=51zN1=0<6s_n86?u+1bg957d<^8886?u+5gf9g>"2nj0h7psr}:k26f<72-82=7?=a:J1=5=Q:091=vB=9482Sb42;q/=nk513a8R4442;q/9kj5c:&6bfc683>!4>93;h;6X=9282I4>=3;pZi=52z&2g`<6k>1]=?=52z&6ba<63-?mo7?4}|~?xd4=:0;694?:1y'6<7=>k1C>5;4i00a>5<#:0;1=?o4H3;3?S4>;3;p@?7::0yU`6<5s-;hi7?=b:T266<5s-?mh7m4$4d`>f=zutw0e<44d3_;9?7f=#=oi1o6sr}|9j561=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=>94V000>7}#=on1o6*:fb8`?x{zu2e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`015<72=0;6=u+28395f0<@;2>7d?=b;29 7?6288j7[<63;3xH7?228q]h>4={%3`a?75j2\:>>4={%7e`?7<,4?513c8R7?428qG>4;51zTg7?4|,8in6<{zut1b=>950;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg9561<^8886?u+5gf95>"2nj0:7psr}:m2g2<72-82=7?l7:T1=6<6sE8297?tVe196~"6kl0:o:5Y13196~"2nm0:7);ic;38yx{z3th88i4?:283>5}#:0;1:o5G2978m44e290/>4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;31f>P6::09w);id;a8 0`d2j1vqps4i00`>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb288h7[?=3;0x 0`c2j1/9km5c:~yx=h9j=1<7*=9082g2=Q:091=vB=9482Sb42;q/=nk51b58R4442;q/9kj51:&6bf<63twvq6sm34494?4=83:p(?7>:cc8L7>23`;9m7>5$3;2>44f32e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`025<72?0;6=u+283957><@;2>7)?;b;d8m44f290/>4?513c8R7?428qG>4;51zT2f5<5s-;hi7?=a:T266<5s-?mh7?4$4d`>4=zutw0enm50;&1=44>{M0:1?7|^8h;6?u+1bg9gf=Q9;91>v*:fe82?!3ak3;0qpsr;hag>5<#:0;1oi5Y28196~J51<0:w[?m0;0x 4eb2jn0Z<<<:3y'1cb=;2.>jn4<;|~H7?32=q]>5<53zTg5?4|,0`c3t\o>744f3-9io7;id:'221=12w]>5<53zTg5?4|,0`c3t\o>744f3-9io7;ic:'221=12w]>5<53zTg5?4|,0`c3t\o>7fe<,:hh68hk;|&532<>3t\:oi4={Wf2>7}#=oh1oi5+3ca91cb6290/>4?52938R7?42;qG>4;51zT2f5<5s-;hi7<71:T266<5s-?mh7j4$4d`>a=zutF9594>{W0;e?4|^m;1>v*:fc81<4=#;ki19kj4}%443?b80Z?7<:3yO6<3=9r\:n=4={%3`a?40:2\:>>4={%7e`?b<,4?51b58?xd4=10;6>4?:1y'6<7=:1o0D?6:;h31f?6=,;3:6<P51:0:wA<65;3xRa5=:r.:oh4>2c9U575=:r.>ji4>;%7eg?74={%3`a?75k2\:>>4={%7e`?7<,4?51b58R7?428qG>4;51zTg7?4|,8in6{zut1vn>;6:182>5<7s-82=7<68:J1<0=h9j=1<7*=9082g2=n7>53;294~"5180=n6F=849j57d=83.95<4>2`9K6<6<^;386l5G2828R7?428qG>4;51zTg7?4|,8in6<{zut1d=n950;&1=4<6k>1]>4=51zN1=0<6s_n86?u+1bg95f1<^8886?u+5gf95>"2nj0:7psr}:a70e=8391<7>t$3;2>3d<@;2>7d?=b;29 7?6288j7E<60:T1=6<6sE8297?tVe196~"6kl0:>o5Y13196~"2nm0h7);ic;a8yx{z3`;9o7>5$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6:j1]=?=52z&6ba:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qo=:d;290?6=8r.95<49b:J1<0=n9;h1<7*=90826d=O:0:0Z?7<:0yO6<3=9r\o?744e3_;9?7f=#=oi1o6sr}|9j57e=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?m4V000>7}#=on1o6*:fb8`?x{zu2c:?:4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8272=Q9;91>v*:fe8`?!3ak3i0qpsr;n3`3?6=,;3:662281!3al3;0(8hl:09~yx{i7>54;294~"5180=n6F=849j57d=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?l4V000>7}#=on1o6*:fb8`?x{zu2c:>n4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826f=Q9;91>v*:fe8`?!3ak3i0qpsr;h303?6=,;3:6<62281!3al3i0(8hl:b9~yx{5<2290;w)<61;3`2>N50<1b=?l50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957d<^8886?u+5gf95>"2nj0:7psr}:k26f<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:>n5Y13196~"2nm0:7);ic;38yx{z3`;8;7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;303>P6::09w);id;38 0`d281vqps4i061>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb28>97[?=3;0x 0`c281/9km51:~yx=h9j=1<7*=9082g2=Q:091=vB=9482Sb42;q/=nk51b58R4442;q/9kj51:&6bf<63twvq6sm34594?5=83:p(?7>:7`8L7>23`;9n7>5$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6:k1]=?=52z&6ba:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=c:T266<5s-?mh7m4$4d`>f=zutw0c4e03_;9?74=#=oi1=6sr}|9~f43?29096=4?{%0:5?df3A8396g>2`83>!4>93;9m65`1b594?"5180:o:5Y28195~J51<0:w[j<:3y'5fc=9j=0Z<<<:3y'1cb=92.>jn4>;|~y>{e9o5Y13196~"2nm0:7);ic;38yx{z3`;9o7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;31g>P6::09w);id;38 0`d281vqps4o0a4>5<#:0;1=n94V3;0>4}K:0?1=vXk3;0x 4eb28i<7[?=3;0x 0`c281/9km51:~yx=zj8?o6=49:183!4>93;946F=849'51d=n2c:>l4?:%0:5?75i2\95>4>{M0:1?7|^8h;6?u+1bg957g<^8886?u+5gf95>"2nj0:7psr}:k`g?6=,;3:6nm4V3;0>4}K:0?1=vX>b181!7dm3ih7[?=3;0x 0`c281/9km51:~yx=nkm0;6)<61;ag?S4>;38p@?7::0yU5g6=:r.:oh4ld:T266<5s-?mh7=4$4d`>6=zutF9594;{W0;6?5|^m;1>v*:fc8``>"4jj0>ji5rVe096~"2nk0:>l5+3ca91cbv*:fc8``>"4jj0>ji5rVe096~"2nk0:>l5+3ca91cev*:fc8``>"4jj0>ji5rVe096~"2nk0ho6*7}Ql809w);ib;ag?!5ek3?mh6s+6659a>{zu2c94<4?:%0:5?4?92\95>4={M0:1?7|^8h;6?u+1bg96=7<^8886?u+5gf9`>"2nj0o7psrL3;7>4}Q:1k1>vXk1;0x 0`e2;2:7)=mc;7e`>{#>>=1h6sr}:k137<72-82=7<82:T1=6<5sE8297?tV0`3>7}#9jo1>:<4V000>7}#=on1h6*:fb8g?x{zD;3?6{zu2e:o:4?:%0:5?7d?21vn<;6:180>5<7s-82=7<7e:J1<0=n9;h1<7*=90826d=Q:091=vB=9482Sb42;q/=nk513`8R4442;q/9kj51:&6bf<63twvq6g>2b83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:j1]=?=52z&6ba<63-?mo7?4}|~?j7d?3:1(?7>:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qo?:a;295?6=8r.95<4=999K6=35}#:0;1:o5G2978m44e290/>4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;31f>P6::09w);id;48 0`d2?1vqps4i00`>5<#:0;1=?o4H3;3?S4>;3;p@?7::0yU`6<5s-;hi7?=c:T266<5s-?mh784$4d`>3=zutw0c4e03_;9?74=#=oi1=6sr}|9~f43b29086=4?{%0:5?0e3A8396g>2c83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:k1]=?=52z&6ba:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=c:T266<5s-?mh7m4$4d`>f=zutw0c4e03_;9?74=#=oi1=6sr}|9~f40729096=4?{%0:5?df3A8396g>2`83>!4>93;9m65`1b594?"5180:o:5Y28195~J51<0:w[j<:3y'5fc=9j=0Z<<<:3y'1cb=92.>jn4>;|~y>{e9?=1<7<50;2x 7?62kk0D?6:;h31e?6=,;3:6<5}#:0;1=n84H3:6?l75j3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=b:T266<5s-?mh7?4$4d`>4=zutw0e<44d3_;9?74=#=oi1=6sr}|9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on1=6*:fb82?x{zu2wi=;o50;494?6|,;3:6<<7;I0;1>"652z&2g`<6:h1]=?=52z&6ba<63-?mo7?4}|~?led290/>4?5cb9U6<5=9rF9584>{W3a4?4|,8in6nm4V000>7}#=on1=6*:fb82?x{zu2chh7>5$3;2>fb<^;386?uC28795~P6j909w)?le;ag?S75;38p(8hk:29'1ce=;2wvqA<64;6xR7>52:q]h<4={%7ef?ec3-9io7;id:U`7<5s-?mn7?=a:&0ff<2nm1v(;98:89~R7>52:q]h<4={%7ef?ec3-9io7;id:U`7<5s-?mn7?=a:&0ff<2nj1v(;98:89~R7>52:q]h<4={%7ef?ec3-9io7;id:U`7<5s-?mn7ml;%1ag?3al2w/::952:U5fb=:r\o=7fb<,:hh68hk;|&53252z&2g`<5081]=?=52z&6ba7}#=oh1>5?4$2``>0`c3t.=;:4k;|~?l40:3:1(?7>:351?S4>;38p@?7::0yU5g6=:r.:oh4=739U575=:r.>ji4k;%7eg?b4:51zT1:3:f?M4?=2c:>o4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826g=Q9;91>v*:fe82?!3ak3;0qpsr;h31g?6=,;3:6<62281!3al3;0(8hl:09~yx{5<6290;w)<61;0:<>N50<1d=n950;&1=4<6k>10qo?94;297?6=8r.95<49b:J1<0=n9;h1<7*=90826d=O:0:0Z?7<:0yO6<3=9r\o?744e3_;9?73=#=oi1:6sr}|9j57e=83.95<4>2`9K6<6<^;3862wvqp5`1b594?"5180:o:5Y28195~J51<0:w[j<:3y'5fc=9j=0Z<<<:3y'1cb=92.>jn4>;|~y>{e9??1<7=50;2x 7?62?h0D?6:;h31f?6=,;3:6<P51:0:wA<65;3xRa5=:r.:oh4>2c9U575=:r.>ji49;%7eg?0n5Y13196~"2nm0=7);ic;48yx{z3f;h;7>5$3;2>4e03_82?7?tL3;6>4}Ql:09w)?le;3`3>P6::09w);id;38 0`d281vqps4}c352?6=>3:1N50<1b=?l50;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb288i7[?=3;0x 0`c2j1/9km5c:~yx=n9;i1<7*=90826d=O:0:0Z?7<:0yO6<3=9r\o?744d3_;9?7f=#=oi1o6sr}|9j561=83.95<4>2`9K6<6<^;386l5G2828R7?428qG>4;51zTg7?4|,8in6<:=;W317?4|,{zut1b=9;50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg9513<^8886?u+5gf9g>"2nj0h7psr}:m2g2<72-82=7?l7:T1=6<6sE8297?tVe196~"6kl0:o:5Y13196~"2nm0:7);ic;38yx{z3th::>4?:583>5}#:0;1=n84H3:6?l75j3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=b:T266<5s-?mh7?4$4d`>4=zutw0e<44d3_;9?74=#=oi1=6sr}|9j561=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=>94V000>7}#=on1=6*:fb82?x{zu2e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`22g<72:0;6=u+28392g=O:1?0e<44e3_;9?7f=#=oi1o6sr}|9j57e=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?m4V000>7}#=on1o6*:fb8`?x{zu2e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`22=<72<0;6=u+28392g=O:1?0e<62281!3al3n0(8hl:e9~yx{l5Y28195~J51<0:w[j<:3y'5fc=9:=0Z<<<:3y'1cb=l2.>jn4k;|~y>o6<;0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>439U575=:r.>ji4k;%7eg?b4={%3`a?7d?2\:>>4={%7e`?7<,7>50z&1=4l54o0a4>5<#:0;1=n94V3;0>4}K:0?1=vXk3;0x 4eb28i<7[?=3;0x 0`c281/9km51:~yx=zj;2;6=49:183!4>93;946F=849'51d=n2c:>l4?:%0:5?75i2\95>4>{M0:1?7|^8h;6?u+1bg957g<^8886?u+5gf95>"2nj0:7psr}:k`g?6=,;3:6nm4V3;0>4}K:0?1=vX>b181!7dm3ih7[?=3;0x 0`c281/9km51:~yx=nkm0;6)<61;ag?S4>;38p@?7::0yU5g6=:r.:oh4ld:T266<5s-?mh7=4$4d`>6=zutF9594;{W0;6?5|^m;1>v*:fc8``>"4jj0>ji5rVe096~"2nk0:>l5+3ca91cbv*:fc8``>"4jj0>ji5rVe096~"2nk0:>l5+3ca91cev*:fc8``>"4jj0>ji5rVe096~"2nk0ho6*7}Ql809w);ib;ag?!5ek3?mh6s+6659a>{zu2c94<4?:%0:5?4?92\95>4={M0:1?7|^8h;6?u+1bg96=7<^8886?u+5gf9`>"2nj0o7psrL3;7>4}Q:1k1>vXk1;0x 0`e2;2:7)=mc;7e`>{#>>=1h6sr}:k137<72-82=7<82:T1=6<5sE8297?tV0`3>7}#9jo1>:<4V000>7}#=on1h6*:fb8g?x{zD;3?6{zu2e:o:4?:%0:5?7d?21vn?9l:180>5<7s-82=7<7e:J1<0=n9;h1<7*=90826d=Q:091=vB=9482Sb42;q/=nk513`8R4442;q/9kj51:&6bf<63twvq6g>2b83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:j1]=?=52z&6ba<63-?mo7?4}|~?j7d?3:1(?7>:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qo<8d;295?6=8r.95<4=999K6=35}#:0;1:o5G2978m44e290/>4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;31f>P6::09w);id;a8 0`d2j1vqps4i00`>5<#:0;1=?o4H3;3?S4>;3;p@?7::0yU`6<5s-;hi7?=c:T266<5s-?mh7m4$4d`>f=zutw0e<=8:18'6<7=9;k0Z?7<:0yO6<3=9r\o?74503_;9?7f=#=oi1o6sr}|9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on1=6*:fb82?x{zu2wi>:l50;194?6|,;3:6;l4H3:6?l75j3:1(?7>:00b?M4>82\95>4>{M0:1?7|^m91>v*>cd826g=Q9;91>v*:fe8`?!3ak3i0qpsr;h31g?6=,;3:6<62281!3al3i0(8hl:b9~yx{5<5290;w)<61;`b?M4?=2c:>l4?:%0:5?75i21d=n950;&1=4<6k>1]>4=51zN1=0<6s_n86?u+1bg95f1<^8886?u+5gf95>"2nj0:7psr}:a6gg=8381<7>t$3;2>gg<@;2>7d?=a;29 7?6288j76a>c683>!4>93;h;6X=9282I4>=3;pZi=52z&2g`<6k>1]=?=52z&6ba<63-?mo7?4}|~?xd5k;0;6>4?:1y'6<7=:1o0D?6:;h31f?6=,;3:6<62281!3al3;0(8hl:09~yx{jn4>;|~y>{e:j;1<7850;2x 7?628837E<75:&20g5$3;2>44f3_82?7?tL3;6>4}Q9k:1>v*>cd826d=Q9;91>v*:fe82?!3ak3;0qpsr;ha`>5<#:0;1on5Y28195~J51<0:w[?m0;0x 4eb2ji0Z<<<:3y'1cb=92.>jn4>;|~y>odl3:1(?7>:bf8R7?42;qG>4;51zT2f5<5s-;hi7mk;W317?4|,5+5ga97>{zuE8287:tV3:1>6}Ql809w);ib;ag?!5ek3?mh6sYd381!3aj3;9m6*6}Ql809w);ib;ag?!5ek3?mh6sYd381!3aj3;9m6*6}Ql809w);ib;ag?!5ek3?mh6sYd381!3aj3ih7)=mc;7e`>{#>>=156sY1bf96~Pc938p(8hm:bf8 6dd25$3;2>7>63_82?74}Q9k:1>v*>cd81<4=Q9;91>v*:fe8g?!3ak3n0qpsC28695~P50h09w[j>:3y'1cd=:1;0(>ll:4dg?x"1?>0o7psr;h046?6=,;3:6?9=;W0:7?4|D;3>61=vX=8`81Sb62;q/9kl52608 6dd25$3;2>4e032wi>o950;194?6|,;3:6?6j;I0;1>o6:k0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2c9U575=:r.>ji4>;%7eg?74={%3`a?75k2\:>>4={%7e`?7<,4?51b58R7?428qG>4;51zTg7?4|,8in6{zut1vn?l7:182>5<7s-82=7<68:J1<0=h9j=1<7*=9082g2=51;294~"5180:o85G2978k4e0290/>4?51b58?xd5jk0;6??50;2x 7?62?h0D?6:;h31f?6=,;3:6<P51:0:wA<65;3xRa5=:r.:oh4>2c9U575=:r.>ji48;%7eg?1n5Y13196~"2nm0<7);ic;58yx{z3`;8;7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;303>P6::09w);id;58 0`d2>1vqps4i061>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb28>97[?=3;0x 0`c2>1/9km57:~yx=n9=?1<7*=90826d=Q:091=vB=9482Sb42;q/=nk51578R4442;q/9kj57:&6bf<03twvq6g>4783>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:00b?S4>;3;p@?7::0yU`6<5s-;hi7?;7:T266<5s-?mh794$4d`>2=zutw0e<:7:18'6<7=9;k0Z?7<:0yO6<3=9r\o?742?3_;9?72=#=oi1;6sr}|9j51?=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=974V000>7}#=on1;6*:fb84?x{zu2c:8l4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd820d=Q9;91>v*:fe84?!3ak3=0qpsr;h31`?6=,;3:6<62281!3al3=0(8hl:69~yx{l5Y28195~J51<0:w[j<:3y'5fc=9;l0Z<<<:3y'1cb=?2.>jn48;|~y>o6;90;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>319U575=:r.>ji48;%7eg?17>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;306>P6::09w);id;f8 0`d2m1vqps4i010>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb28987[?=3;0x 0`c2>1/9km57:~yx=n9:>1<7*=90826d=Q:091=vB=9482Sb42;q/=nk51268R4442;q/9kj51:&6bf<63twvq6g>3483>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6;<1]=?=52z&6ba<63-?mo7?4}|~?l74>3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?<6:T266<5s-?mh7?4$4d`>4=zutw0e<=7:18'6<7=9;k0Z?7<:0yO6<3=9r\o?745?3_;9?74=#=oi1=6sr}|9j56?=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=>74V000>7}#=on1=6*:fb82?x{zu2c:?l4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd827d=Q9;91>v*:fe82?!3ak3;0qpsr;h30f?6=,;3:6<62281!3al3;0(8hl:09~yx{l5Y28195~J51<0:w[j<:3y'5fc=9:n0Z<<<:3y'1cb=92.>jn4>;|~y>o6;l0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>3d9U575=:r.>ji4>;%7eg?74={%3`a?74n2\:>>4={%7e`?7<,4?513c8R7?428qG>4;51zTg7?4|,8in6<:?;W317?4|,{zut1b=9?50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg9517<^8886?u+5gf95>"2nj0:7psr}:k206<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:8>5Y13196~"2nm0:7);ic;38yx{z3`;?87>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;370>P6::09w);id;38 0`d281vqps4o0a4>5<#:0;1=n94V3;0>4}K:0?1=vXk3;0x 4eb28i<7[?=3;0x 0`c281/9km51:~yx=zj;hh6=4=1;294~"5180=n6F=849j57d=83.95<4>2`9K6<6<^;386l5G2828R7?428qG>4;51zTg7?4|,8in6<{zut1b=>950;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg9561<^8886?u+5gf93>"2nj0<7psr}:k207<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:8?5Y13196~"2nm0<7);ic;58yx{z3`;?97>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;371>P6::09w);id;58 0`d2>1vqps4i065>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb28>=7[?=3;0x 0`c2>1/9km57:~yx=n9==1<7*=90826d=Q:091=vB=9482Sb42;q/=nk51558R4442;q/9kj57:&6bf<03twvq6g>4983>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6<11]=?=52z&6ba<03-?mo794}|~?l7313:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?;9:T266<5s-?mh794$4d`>2=zutw0e<:n:18'6<7=9;k0Z?7<:0yO6<3=9r\o?742f3_;9?72=#=oi1;6sr}|9j57b=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?j4V000>7}#=on1;6*:fb84?x{zu2c:>h4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826`=Q9;91>v*:fe84?!3ak3=0qpsr;h31b?6=,;3:6<62281!3al3=0(8hl:69~yx{l5G2828R7?428qG>4;51zTg7?4|,8in6<=>;W317?4|,{zut1b=><50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg9564<^8886?u+5gf9`>"2nj0o7psr}:k276<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:?>5Y13196~"2nm0<7);ic;58yx{z3`;887>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;300>P6::09w);id;38 0`d281vqps4i016>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb289>7[?=3;0x 0`c281/9km51:~yx=n9:<1<7*=90826d=Q:091=vB=9482Sb42;q/=nk51248R4442;q/9kj51:&6bf<63twvq6g>3983>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6;11]=?=52z&6ba<63-?mo7?4}|~?l7413:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?<9:T266<5s-?mh7?4$4d`>4=zutw0e<=n:18'6<7=9;k0Z?7<:0yO6<3=9r\o?745f3_;9?74=#=oi1=6sr}|9j56d=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=>l4V000>7}#=on1=6*:fb82?x{zu2c:?n4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd827f=Q9;91>v*:fe82?!3ak3;0qpsr;h30`?6=,;3:6<62281!3al3;0(8hl:09~yx{l5Y28195~J51<0:w[j<:3y'5fc=9:l0Z<<<:3y'1cb=92.>jn4>;|~y>o6<90;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>419U575=:r.>ji4>;%7eg?74={%3`a?7392\:>>4={%7e`?7<,4?513c8R7?428qG>4;51zTg7?4|,8in6<:<;W317?4|,{zut1b=9:50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg9512<^8886?u+5gf95>"2nj0:7psr}:m2g2<72-82=7?l7:T1=6<6sE8297?tVe196~"6kl0:o:5Y13196~"2nm0:7);ic;38yx{z3th9ni4?:3394?6|,;3:6;l4H3:6?l75j3:1(?7>:00b?M4>82\95>4>{M0:1?7|^m91>v*>cd826g=Q9;91>v*:fe84?!3ak3=0qpsr;h31g?6=,;3:6<P51:0:wA<65;3xRa5=:r.:oh4>2b9U575=:r.>ji48;%7eg?14={%3`a?74?2\:>>4={%7e`?1<,4?513c8R7?428qG>4;51zTg7?4|,8in6<:=;W317?4|,{zut1b=9;50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg9513<^8886?u+5gf93>"2nj0<7psr}:k203<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:8;5Y13196~"2nm0<7);ic;58yx{z3`;?;7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;373>P6::09w);id;58 0`d2>1vqps4i06;>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb28>37[?=3;0x 0`c2>1/9km57:~yx=n9=31<7*=90826d=Q:091=vB=9482Sb42;q/=nk515;8R4442;q/9kj57:&6bf<03twvq6g>4`83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:00b?M4>82\95>4>{M0:1?7|^m91>v*>cd826a=Q9;91>v*:fe84?!3ak3=0qpsr;h31a?6=,;3:6<P51:0:wA<65;3xRa5=:r.:oh4>2d9U575=:r.>ji48;%7eg?1k5Y13196~"2nm0<7);ic;58yx{z3`;8<7>5$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6;91]=?=52z&6ba<03-?mo794}|~?l7493:1(?7>:00b?M4>82\95>4>{M0:1?7|^m91>v*>cd8274=Q9;91>v*:fe84?!3ak3=0qpsr;h306?6=,;3:6<66X>2281!3al3n0(8hl:e9~yx{l5Y28195~J51<0:w[j<:3y'5fc=9:>0Z<<<:3y'1cb=92.>jn4>;|~y>o6;<0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>349U575=:r.>ji4>;%7eg?74={%3`a?74>2\:>>4={%7e`?7<,4?513c8R7?428qG>4;51zTg7?4|,8in6<=7;W317?4|,{zut1b=>750;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg956?<^8886?u+5gf95>"2nj0:7psr}:k27d<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:?l5Y13196~"2nm0:7);ic;38yx{z3`;8n7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;30f>P6::09w);id;38 0`d281vqps4i01`>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb289h7[?=3;0x 0`c281/9km51:~yx=n9:n1<7*=90826d=Q:091=vB=9482Sb42;q/=nk512f8R4442;q/9kj51:&6bf<63twvq6g>3d83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6;l1]=?=52z&6ba<63-?mo7?4}|~?l74n3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?4=zutw0e<:?:18'6<7=9;k0Z?7<:0yO6<3=9r\o?74273_;9?74=#=oi1=6sr}|9j517=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=9?4V000>7}#=on1=6*:fb82?x{zu2c:8>4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8206=Q9;91>v*:fe82?!3ak3;0qpsr;h370?6=,;3:6<62281!3al3;0(8hl:09~yx{5<593:1N50<1b=?l50;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb288i7[?=3;0x 0`c2>1/9km57:~yx=n9;i1<7*=90826d=O:0:0Z?7<:0yO6<3=9r\o?744d3_;9?72=#=oi1;6sr}|9j561=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=>94V000>7}#=on1;6*:fb84?x{zu2c:8?4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8207=Q9;91>v*:fe84?!3ak3=0qpsr;h371?6=,;3:6<62281!3al3=0(8hl:69~yx{=6=4+283957g<^;386l5Y28195~J51<0:w[j<:3y'5fc=9==0Z<<<:3y'1cb=?2.>jn48;|~y>o6<10;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>499U575=:r.>ji48;%7eg?14={%3`a?7312\:>>4={%7e`?1<,4?513c8R7?428qG>4;51zTg7?4|,8in6<:n;W317?4|,{zut1b=?j50;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb288o7[?=3;0x 0`c2>1/9km57:~yx=n9;o1<7*=90826d=O:0:0Z?7<:0yO6<3=9r\o?744b3_;9?72=#=oi1;6sr}|9j57`=83.95<4>2`9K6<6<^;386l5G2828R7?428qG>4;51zTg7?4|,8in6<=?;W317?4|,{zut1b=>?50;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb289:7[?=3;0x 0`c2>1/9km57:~yx=n9:81<7*=90826d=Q:091=vB=9482Sb42;q/=nk51208R4442;q/9kj5d:&6bf3283>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6;:1]=?=52z&6ba<03-?mo794}|~?l74<3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?<4:T266<5s-?mh7?4$4d`>4=zutw0e<=::18'6<7=9;k0Z?7<:0yO6<3=9r\o?74523_;9?74=#=oi1=6sr}|9j560=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=>84V000>7}#=on1=6*:fb82?x{zu2c:?54?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd827==Q9;91>v*:fe82?!3ak3;0qpsr;h30=?6=,;3:6<62281!3al3;0(8hl:09~yx{l5Y28195~J51<0:w[j<:3y'5fc=9:h0Z<<<:3y'1cb=92.>jn4>;|~y>o6;j0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>3b9U575=:r.>ji4>;%7eg?74={%3`a?74l2\:>>4={%7e`?7<,4?513c8R7?428qG>4;51zTg7?4|,8in6<=j;W317?4|,{zut1b=>h50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg956`<^8886?u+5gf95>"2nj0:7psr}:k205<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:8=5Y13196~"2nm0:7);ic;38yx{z3`;?=7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;375>P6::09w);id;38 0`d281vqps4i060>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb28>87[?=3;0x 0`c281/9km51:~yx=n9=>1<7*=90826d=Q:091=vB=9482Sb42;q/=nk51568R4442;q/9kj51:&6bf<63twvq6a>c683>!4>93;h;6X=9282I4>=3;pZi=52z&2g`<6k>1]=?=52z&6ba<63-?mo7?4}|~?xd5jo0;684?:1y'6<7=9j<0D?6:;h31f?6=,;3:6<62281!3al3;0(8hl:09~yx{l5Y28195~J51<0:w[j<:3y'5fc=9:=0Z<<<:3y'1cb=92.>jn4>;|~y>o6<;0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>439U575=:r.>ji4>;%7eg?74={%3`a?7d?2\:>>4={%7e`?7<,3:187>50z&1=4<1j2B9485f13`94?"5180:>l5G2828R7?428qG>4;51zTg7?4|,8in6<{zut1b=?m50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957e<^8886?u+5gf9g>"2nj0h7psr}:k272<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:?:5Y13196~"2nm0h7);ic;a8yx{z3f;h;7>5$3;2>4e03_82?7?tL3;6>4}Ql:09w)?le;3`3>P6::09w);id;38 0`d281vqps4}c155?6=:3:1N50<1b=?o50;&1=4<6:h10c4e03_;9?74=#=oi1=6sr}|9~f60d29086=4?{%0:5?4?m2B9485f13`94?"5180:>l5Y28195~J51<0:w[j<:3y'5fc=9;h0Z<<<:3y'1cb=92.>jn4>;|~y>o6:j0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2b9U575=:r.>ji4n;%7eg?g4={%3`a?7d?2\:>>4={%7e`?7<,50z&1=4<6:11C>5;4$06a>c=n9;k1<7*=90826d=Q:091=vB=9482S7e838p(!4>93ih7[<63;3xH7?228q]=o>52z&2g`>4={%7e`?7<,7}#9jo1oi5Y13196~"2nm087);ic;18yx{K:0>18vX=8380Sb62;q/9kl5ce9'7ge==on0q[j=:3y'1cd=9;k0(>ll:4dg?x"1?>027pX=8380Sb62;q/9kl5ce9'7ge==on0q[j=:3y'1cd=9;k0(>ll:4d`?x"1?>027pX=8380Sb62;q/9kl5ce9'7ge==on0q[j=:3y'1cd=kj1/?om55gf8y!00?330q[?ld;0xRa7=:r.>jo4ld:&0ff<2nm1v(;98:d9~yx=n:1;1<7*=9081<4=Q:091>vB=9482S7e838p(f2;q]h<4={%7ef?4?92.8nn4:fe9~ 3102m1vqp5f26094?"51809;?5Y28196~J51<0:w[?m0;0x 4eb2;=97[?=3;0x 0`c2m1/9km5d:~yI4><3;pZ?6n:3yU`4<5s-?mn7<82:&0ff<2nm1v(;98:e9~yx=h9j=1<7*=9082g2=53;294~"518094h5G2978m44e290/>4?513c8R7?428qG>4;51zTg7?4|,8in6<{zut1b=?m50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957e<^8886?u+5gf95>"2nj0:7psr}:m2g2<72-82=7?l7:T1=6<6sE8297?tVe196~"6kl0:o:5Y13196~"2nm0:7);ic;38yx{z3th8:94?:083>5}#:0;1>464H3:6?j7d?3:1(?7>:0a4?>{e;?<1<7;50;2x 7?62?h0D?6:;h31f?6=,;3:6<62281!3al3i0(8hl:b9~yx{l5Y28195~J51<0:w[j<:3y'5fc=9:=0Z<<<:3y'1cb=k2.>jn4l;|~y>o6<;0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>439U575=:r.>ji4l;%7eg?e4={%3`a?7d?2\:>>4={%7e`?7<,50z&1=4<1j2B9485f13`94?"5180:>l5G2828R7?428qG>4;51zTg7?4|,8in6<{zut1b=?m50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957e<^8886?u+5gf9g>"2nj0h7psr}:k272<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:?:5Y13196~"2nm0h7);ic;a8yx{z3`;?>7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;376>P6::09w);id;a8 0`d2j1vqps4o0a4>5<#:0;1=n94V3;0>4}K:0?1=vXk3;0x 4eb28i<7[?=3;0x 0`c281/9km51:~yx=zj:<36=4::183!4>932b83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:j1]=?=52z&6ba:00b?S4>;3;p@?7::0yU`6<5s-;hi7?<7:T266<5s-?mh7m4$4d`>f=zutw0e<:=:18'6<7=9;k0Z?7<:0yO6<3=9r\o?74253_;9?7f=#=oi1o6sr}|9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on1=6*:fb82?x{zu2wi?;750;794?6|,;3:6;l4H3:6?l75j3:1(?7>:00b?M4>82\95>4>{M0:1?7|^m91>v*>cd826g=Q9;91>v*:fe8`?!3ak3i0qpsr;h31g?6=,;3:6<62281!3al3i0(8hl:b9~yx{l5Y28195~J51<0:w[j<:3y'5fc=9=80Z<<<:3y'1cb=k2.>jn4l;|~y>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4>;%7eg?70<729q/>4?51b48L7>23`;9n7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;31f>P6::09w);id;38 0`d281vqps4i00`>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb288h7[?=3;0x 0`c281/9km51:~yx=n9:=1<7*=90826d=Q:091=vB=9482Sb42;q/=nk51258R4442;q/9kj51:&6bf<63twvq6g>4383>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6<;1]=?=52z&6ba<63-?mo7?4}|~?j7d?3:1(?7>:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qo=92;297?6=8r.95<49b:J1<0=n9;h1<7*=90826d=O:0:0Z?7<:0yO6<3=9r\o?744e3_;9?7f=#=oi1o6sr}|9j57e=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?m4V000>7}#=on1o6*:fb8`?x{zu2e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`0f5<72;0;6=u+2839fd=O:1?0e<4={%3`a?7d?2\:>>4={%7e`?7<,7>50z&1=4l54o0a4>5<#:0;1=n94V3;0>4}K:0?1=vXk3;0x 4eb28i<7[?=3;0x 0`c281/9km51:~yx=zj:hi6=4<:183!4>9383i6F=849j57d=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?l4V000>7}#=on1=6*:fb82?x{zu2c:>n4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826f=Q9;91>v*:fe8b?!3ak3k0qpsr;n3`3?6=,;3:662281!3al3;0(8hl:09~yx{56;294~"5180:>55G2978 42e2o1b=?o50;&1=4<6:h1]>4=51zN1=0<6s_;i<744f3_;9?74=#=oi1=6sr}|9jgf<72-82=7ml;W0:7?7|D;3>62281!3al3;0(8hl:09~yx{P51:09wA<65;3xR4d72;q/=nk5ce9U575=:r.>ji4<;%7eg?54:54zT1<7<4s_n:6?u+5g`9ga=#;ki19kj4}Wf1>7}#=oh1=?o4$2``>0`c3t.=;:46;|T1<7<4s_n:6?u+5g`9ga=#;ki19kj4}Wf1>7}#=oh1=?o4$2``>0`d3t.=;:46;|T1<7<4s_n:6?u+5g`9ga=#;ki19kj4}Wf1>7}#=oh1on5+3ca91cbv*:fc8``>"4jj0>ji5r$754>`=zut1b>5?50;&1=4<5081]>4=52zN1=0<6s_;i<77>63_;9?7a=#=oi1h6sr}M0:0?7|^;2j6?uYd081!3aj383=6*{W3a4?4|,8in6?9=;W317?4|,{zuE8287?tV3:b>7}Ql809w);ib;046>"4jj0>ji5r$754>a=zut1d=n950;&1=4<6k>10qo=m1;297?6=8r.95<4=8d9K6=3l5Y28195~J51<0:w[j<:3y'5fc=9;i0Z<<<:3y'1cb=92.>jn4>;|~y>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4>;%7eg?74<729q/>4?528:8L7>23f;h;7>5$3;2>4e032wi?o:50;794?6|,;3:6;l4H3:6?l75j3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=b:T266<5s-?mh784$4d`>3=zutw0e<62281!3al3i0(8hl:b9~yx{4={%3`a?74?2\:>>4={%7e`?0<,4?513c8R7?428qG>4;51zTg7?4|,8in6<:=;W317?4|,{zut1d=n950;&1=4<6k>1]>4=51zN1=0<6s_n86?u+1bg95f1<^8886?u+5gf95>"2nj0:7psr}:a7g3=83=1<7>t$3;2>3d<@;2>7d?=b;29 7?6288j7E<60:T1=6<6sE8297?tVe196~"6kl0:>o5Y13196~"2nm0=7);ic;48yx{z3`;9o7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;31g>P6::09w);id;48 0`d2?1vqps4i014>5<#:0;1=?o4H3;3?S4>;3;p@?7::0yU`6<5s-;hi7?<7:T266<5s-?mh784$4d`>3=zutw0e<:=:18'6<7=9;k0D?7?;W0:7?7|D;3>66X>2281!3al3<0(8hl:79~yx{>6=4+283957g<@;3;7[<63;3xH7?228q]h>4={%3`a?73=2\:>>4={%7e`?0<,4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;372>P6::09w);id;a8 0`d2j1vqps4o0a4>5<#:0;1=n94V3;0>4}K:0?1=vXk3;0x 4eb28i<7[?=3;0x 0`c281/9km51:~yx=zj:h=6=48:183!4>932b83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:j1]=?=52z&6ba<13-?mo784}|~?l74?3:1(?7>:00b?M4>82\95>4>{M0:1?7|^m91>v*>cd8272=Q9;91>v*:fe85?!3ak3<0qpsr;h376?6=,;3:6<P51:0:wA<65;3xRa5=:r.:oh4>439U575=:r.>ji49;%7eg?04={%3`a?73=2\:>>4={%7e`?e<,4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;372>P6::09w);id;a8 0`d2j1vqps4o0a4>5<#:0;1=n94V3;0>4}K:0?1=vXk3;0x 4eb28i<7[?=3;0x 0`c281/9km51:~yx=zj:h86=4;:183!4>93;h:6F=849j57d=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?l4V000>7}#=on1=6*:fb82?x{zu2c:>n4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826f=Q9;91>v*:fe82?!3ak3;0qpsr;h303?6=,;3:6<62281!3al3;0(8hl:09~yx{5<693:1N50<1b=?l50;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb288i7[?=3;0x 0`c2>1/9km57:~yx=n9;i1<7*=90826d=O:0:0Z?7<:0yO6<3=9r\o?744d3_;9?72=#=oi1;6sr}|9j561=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=>94V000>7}#=on1;6*:fb84?x{zu2c:8?4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8207=Q9;91>v*:fe84?!3ak3=0qpsr;h371?6=,;3:6<62281!3al3=0(8hl:69~yx{=6=4+283957g<^;386l5Y28195~J51<0:w[j<:3y'5fc=9==0Z<<<:3y'1cb=?2.>jn48;|~y>o6<10;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>499U575=:r.>ji48;%7eg?14={%3`a?7312\:>>4={%7e`?1<,4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;37e>P6::09w);id;58 0`d2>1vqps4i00g>5<#:0;1=?o4H3;3?S4>;3;p@?7::0yU`6<5s-;hi7?=d:T266<5s-?mh7j4$4d`>a=zutw0e<44b3_;9?7a=#=oi1h6sr}|9j57`=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?h4V000>7}#=on1h6*:fb8g?x{zu2c:?=4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8275=Q9;91>v*:fe8g?!3ak3n0qpsr;h305?6=,;3:6<62281!3al3n0(8hl:e9~yx{jn4>;|~y>{e:>91<7<50;2x 7?62kk0D?6:;h31e?6=,;3:6<5}#:0;1=?64H3:6?!73j3l0e<>4={%7e`?7<,7}#9jo1on5Y13196~"2nm0:7);ic;38yx{z3`io6=4+2839ga=Q:091>vB=9482S7e838p(fb<,:hh68hk;|Tg6?4|,fb<,:hh68hk;|Tg6?4|,fb<,:hh68hk;|Tg6?4|,0`c3t.=;:46;|T2ga<5s_n:6?u+5g`9ga=#;ki19kj4}%443?c:18'6<7=:1;0Z?7<:3yO6<3=9r\:n=4={%3`a?4?92\:>>4={%7e`?b<,4?52608R7?42;qG>4;51zT2f5<5s-;hi7<82:T266<5s-?mh7j4$4d`>a=zutF9594>{W0;e?4|^m;1>v*:fc8137=#;ki19kj4}%443?b6<729q/>4?529g8L7>23`;9n7>5$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6:k1]=?=52z&6ba<63-?mo7?4}|~?l75k3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=c:T266<5s-?mh7?4$4d`>4=zutw0c4e03_;9?74=#=oi1=6sr}|9~f711290:6=4?{%0:5?4>02B9485`1b594?"5180:o:54}c043?6==3:1N50<1b=?l50;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb288i7[?=3;0x 0`c2j1/9km5c:~yx=n9;i1<7*=90826d=Q:091=vB=9482Sb42;q/=nk513a8R4442;q/9kj5c:&6bf3683>!4>93;9m6F=919U6<5=9rF9584>{Wf0>7}#9jo1=>94V000>7}#=on1o6*:fb8`?x{zu2c:8?4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8207=Q9;91>v*:fe8`?!3ak3i0qpsr;n3`3?6=,;3:662281!3al3;0(8hl:09~yx{53;294~"5180=n6F=849j57d=83.95<4>2`9K6<6<^;386l5Y28195~J51<0:w[j<:3y'5fc=9;i0Z<<<:3y'1cb=k2.>jn4l;|~y>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4>;%7eg?77<729q/>4?5b`9K6=35$3;2>4e03_82?7?tL3;6>4}Ql:09w)?le;3`3>P6::09w);id;38 0`d281vqps4}c0`e?6=;3:144e3_;9?74=#=oi1=6sr}|9j57e=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?m4V000>7}#=on1m6*:fb8b?x{zu2e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`1g<<72?0;6=u+283957><@;2>7)?;b;d8m44f290/>4?513c8R7?428qG>4;51zT2f5<5s-;hi7?=a:T266<5s-?mh7?4$4d`>4=zutw0enm50;&1=44>{M0:1?7|^8h;6?u+1bg9gf=Q9;91>v*:fe82?!3ak3;0qpsr;hag>5<#:0;1oi5Y28196~J51<0:w[?m0;0x 4eb2jn0Z<<<:3y'1cb=;2.>jn4<;|~H7?32=q]>5<53zTg5?4|,0`c3t\o>744f3-9io7;id:'221=12w]>5<53zTg5?4|,0`c3t\o>744f3-9io7;ic:'221=12w]>5<53zTg5?4|,0`c3t\o>7fe<,:hh68hk;|&532<>3t\:oi4={Wf2>7}#=oh1oi5+3ca91cb6290/>4?52938R7?42;qG>4;51zT2f5<5s-;hi7<71:T266<5s-?mh7j4$4d`>a=zutF9594>{W0;e?4|^m;1>v*:fc81<4=#;ki19kj4}%443?b80Z?7<:3yO6<3=9r\:n=4={%3`a?40:2\:>>4={%7e`?b<,4?51b58?xd5k<0;6>4?:1y'6<7=:1o0D?6:;h31f?6=,;3:6<62281!3al3;0(8hl:09~yx{jn4>;|~y>{e:j<1<7?50;2x 7?62;337E<75:m2g2<72-82=7?l7:9~f7e0290:=7>50z&1=4<1j2B9485f13`94?"5180:>l5Y28195~J51<0:w[j<:3y'5fc=9;h0Z<<<:3y'1cb=k2.>jn4l;|~y>o6:j0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2b9U575=:r.>ji4l;%7eg?e4={%3`a?74?2\:>>4={%7e`?e<,4?513c8R7?428qG>4;51zTg7?4|,8in6<:=;W317?4|,{zut1b=9;50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg9513<^8886?u+5gf9g>"2nj0h7psr}:k203<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:8;5Y13196~"2nm0h7);ic;a8yx{z3`;?;7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;373>P6::09w);id;a8 0`d2j1vqps4i06;>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb28>37[?=3;0x 0`c2j1/9km5c:~yx=n9=31<7*=90826d=Q:091=vB=9482Sb42;q/=nk515;8R4442;q/9kj5c:&6bf4`83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=d:T266<5s-?mh7?4$4d`>4=zutw0e<44b3_;9?74=#=oi1=6sr}|9j57`=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?h4V000>7}#=on1=6*:fb82?x{zu2c:?=4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8275=Q9;91>v*:fe82?!3ak3;0qpsr;h305?6=,;3:6<62281!3al3;0(8hl:09~yx{jn4>;|~y>{e:j>1<7:50;2x 7?62?h0D?6:;h31f?6=,;3:6<P51:0:wA<65;3xRa5=:r.:oh4>2c9U575=:r.>ji4l;%7eg?e4={%3`a?75k2\:>>4={%7e`?e<,4?513c8R7?428qG>4;51zTg7?4|,8in6<=8;W317?4|,{zut1d=n950;&1=4<6k>1]>4=51zN1=0<6s_n86?u+1bg95f1<^8886?u+5gf95>"2nj0:7psr}:a6a5=8381<7>t$3;2>gg<@;2>7d?=a;29 7?6288j76a>c683>!4>93;h;6X=9282I4>=3;pZi=52z&2g`<6k>1]=?=52z&6ba<63-?mo7?4}|~?xd5l10;6?4?:1y'6<7=jh1C>5;4i00b>5<#:0;1=?o4;n3`3?6=,;3:662281!3al3;0(8hl:09~yx{53;294~"518094h5G2978m44e290/>4?513c8R7?428qG>4;51zTg7?4|,8in6<{zut1b=?m50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957e<^8886?u+5gf9e>"2nj0j7psr}:m2g2<72-82=7?l7:T1=6<6sE8297?tVe196~"6kl0:o:5Y13196~"2nm0:7);ic;38yx{z3th9hi4?:783>5}#:0;1=?64H3:6?!73j3l0e<>4={%7e`?7<,7}#9jo1on5Y13196~"2nm0:7);ic;38yx{z3`io6=4+2839ga=Q:091>vB=9482S7e838p(fb<,:hh68hk;|Tg6?4|,fb<,:hh68hk;|Tg6?4|,fb<,:hh68hk;|Tg6?4|,0`c3t.=;:46;|T2ga<5s_n:6?u+5g`9ga=#;ki19kj4}%443?c:18'6<7=:1;0Z?7<:3yO6<3=9r\:n=4={%3`a?4?92\:>>4={%7e`?b<,4?52608R7?42;qG>4;51zT2f5<5s-;hi7<82:T266<5s-?mh7j4$4d`>a=zutF9594>{W0;e?4|^m;1>v*:fc8137=#;ki19kj4}%443?b6<729q/>4?529g8L7>23`;9n7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;31f>P6::09w);id;38 0`d281vqps4i00`>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb288h7[?=3;0x 0`c281/9km51:~yx=h9j=1<7*=9082g2=Q:091=vB=9482Sb42;q/=nk51b58R4442;q/9kj51:&6bf<63twvq6sm2e494?7=83:p(?7>:3;;?M4?=2e:o:4?:%0:5?7d?21vn?j8:180>5<7s-82=78m;I0;1>o6:k0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2c9U575=:r.>ji4l;%7eg?e4={%3`a?75k2\:>>4={%7e`?e<,4?51b58R7?428qG>4;51zTg7?4|,8in6{zut1vn?j6:1825?6=8r.95<49b:J1<0=n9;h1<7*=90826d=Q:091=vB=9482Sb42;q/=nk513`8R4442;q/9kj57:&6bf<03twvq6g>2b83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:j1]=?=52z&6ba<03-?mo794}|~?l74?3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?<7:T266<5s-?mh794$4d`>2=zutw0e<:=:18'6<7=9;k0Z?7<:0yO6<3=9r\o?74253_;9?72=#=oi1;6sr}|9j513=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=9;4V000>7}#=on1;6*:fb84?x{zu2c:8;4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8203=Q9;91>v*:fe84?!3ak3=0qpsr;h373?6=,;3:6<62281!3al3=0(8hl:69~yx{36=4+283957g<@;3;7[<63;3xH7?228q]h>4={%3`a?7302\:>>4={%7e`?1<,290/>4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;37=>P6::09w);id;f8 0`d2m1vqps4i06b>5<#:0;1=?o4H3;3?S4>;3;p@?7::0yU`6<5s-;hi7?;a:T266<5s-?mh7j4$4d`>a=zutw0e<62281!3al3n0(8hl:e9~yx{l5Y28195~J51<0:w[j<:3y'5fc=9;l0Z<<<:3y'1cb=92.>jn4>;|~y>o6;90;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>319U575=:r.>ji4>;%7eg?74={%3`a?7492\:>>4={%7e`?7<,4?513c8R7?428qG>4;51zTg7?4|,8in6<==;W317?4|,{zut1d=n950;&1=4<6k>1]>4=51zN1=0<6s_n86?u+1bg95f1<^8886?u+5gf95>"2nj0:7psr}:a6ag=83;:6=4?{%0:5?0e3A8396g>2c83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:k1]=?=52z&6ba<03-?mo794}|~?l75k3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=c:T266<5s-?mh794$4d`>2=zutw0e<=8:18'6<7=9;k0Z?7<:0yO6<3=9r\o?74503_;9?72=#=oi1;6sr}|9j514=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=9<4V000>7}#=on1;6*:fb84?x{zu2c:884?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8200=Q9;91>v*:fe84?!3ak3=0qpsr;h372?6=,;3:6<62281!3al3=0(8hl:69~yx{<6=4+283957g<^;386l5G2828R7?428qG>4;51zTg7?4|,8in6<:7;W317?4|,{zut1b=9750;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb28>27[?=3;0x 0`c2m1/9km5d:~yx=n9=k1<7*=90826d=O:0:0Z?7<:0yO6<3=9r\o?742f3_;9?7a=#=oi1h6sr}|9j57b=83.95<4>2`9K6<6<^;386l5Y28195~J51<0:w[j<:3y'5fc=9;o0Z<<<:3y'1cb=92.>jn4>;|~y>o6:o0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2g9U575=:r.>ji4>;%7eg?74={%3`a?7482\:>>4={%7e`?7<,4?513c8R7?428qG>4;51zTg7?4|,8in6<=>;W317?4|,{zut1b=><50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg9564<^8886?u+5gf95>"2nj0:7psr}:m2g2<72-82=7?l7:T1=6<6sE8297?tVe196~"6kl0:o:5Y13196~"2nm0:7);ic;38yx{z3th9ho4?:283>5}#:0;1=n84H3:6?l75j3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=b:T266<5s-?mh7?4$4d`>4=zutw0e<44d3_;9?74=#=oi1=6sr}|9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on1=6*:fb82?x{zu2wi>i:50;694?6|,;3:6;l4H3:6?l75j3:1(?7>:00b?M4>82\95>4>{M0:1?7|^m91>v*>cd826g=Q9;91>v*:fe8`?!3ak3i0qpsr;h31g?6=,;3:6<62281!3al3i0(8hl:b9~yx{jn4>;|~y>{e:ml1<7<50;2x 7?62kk0D?6:;h31e?6=,;3:6<5}#:0;1nl5G2978m44f290/>4?513c8?j7d?3:1(?7>:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qol5Y28195~J51<0:w[j<:3y'5fc=9;i0Z<<<:3y'1cb=i2.>jn4n;|~y>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4>;%7eg?73<729q/>4?513:8L7>23-;?n7h4i00b>5<#:0;1=?o4V3;0>4}K:0?1=vX>b181!7dm3;9m6X>2281!3al3;0(8hl:09~yx{P51:0:wA<65;3xR4d72;q/=nk5cb9U575=:r.>ji4>;%7eg?74=52zN1=0<6s_;i<7fb<^8886?u+5gf97>"2nj087psrL3;7>1}Q:181?vXk1;0x 0`e2jn0(>ll:4dg?xPc:38p(8hm:00b?!5ek3?mh6s+6659=>{Q:181?vXk1;0x 0`e2jn0(>ll:4dg?xPc:38p(8hm:00b?!5ek3?mo6s+6659=>{Q:181?vXk1;0x 0`e2jn0(>ll:4dg?xPc:38p(8hm:ba8 6dd2:3y'1cd=km1/?om55gf8y!00?3o0qps4i3:2>5<#:0;1>5?4V3;0>7}K:0?1=vX>b181!7dm383=6X>2281!3al3n0(8hl:e9~yxJ51=0:w[<7a;0xRa7=:r.>jo4=809'7ge==on0q)887;f8yx{P6::09w);id;f8 0`d2m1vqpB=9582S4?i38pZi?52z&6bg<5?;1/?om55gf8y!00?3n0qps4o0a4>5<#:0;1=n94;|`1a4<72:0;6=u+28396=c<@;2>7d?=b;29 7?6288j7[<63;3xH7?228q]h>4={%3`a?75j2\:>>4={%7e`?7<,4?513c8R7?428qG>4;51zTg7?4|,8in6<{zut1d=n950;&1=4<6k>1]>4=51zN1=0<6s_n86?u+1bg95f1<^8886?u+5gf95>"2nj0:7psr}:a6`4=83;1<7>t$3;2>7??3A8396a>c683>!4>93;h;65rb3g0>5<4290;w)<61;4a?M4?=2c:>o4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826g=Q9;91>v*:fe8`?!3ak3i0qpsr;h31g?6=,;3:6<62281!3al3i0(8hl:b9~yx{5<3290;w)<61;4a?M4?=2c:>o4?:%0:5?75i2B95=5Y28195~J51<0:w[j<:3y'5fc=9;h0Z<<<:3y'1cb=?2.>jn48;|~y>o6:j0;6)<61;31e>N5191]>4=51zN1=0<6s_n86?u+1bg957e<^8886?u+5gf9`>"2nj0o7psr}:k272<72-82=7?=a:J1=5=Q:091=vB=9482Sb42;q/=nk51258R4442;q/9kj5d:&6bfc683>!4>93;h;6X=9282I4>=3;pZi=52z&2g`<6k>1]=?=52z&6ba<63-?mo7?4}|~?xd5m?0;644?:1y'6<7=>k1C>5;4i00a>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb288i7[?=3;0x 0`c2>1/9km57:~yx=n9;i1<7*=90826d=Q:091=vB=9482Sb42;q/=nk513a8R4442;q/9kj57:&6bf<03twvq6g>3683>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6;>1]=?=52z&6ba<03-?mo794}|~?l73:3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?;2:T266<5s-?mh794$4d`>2=zutw0e<:::18'6<7=9;k0Z?7<:0yO6<3=9r\o?74223_;9?72=#=oi1;6sr}|9j510=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=984V000>7}#=on1;6*:fb84?x{zu2c:8:4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8202=Q9;91>v*:fe84?!3ak3=0qpsr;h3762281!3al3=0(8hl:69~yx{5<4290;w)<61;3`2>N50<1b=?l50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957d<^8886?u+5gf95>"2nj0:7psr}:k26f<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:>n5Y13196~"2nm0:7);ic;38yx{z3f;h;7>5$3;2>4e03_82?7?tL3;6>4}Ql:09w)?le;3`3>P6::09w);id;38 0`d281vqps4}c0f4?6=<3:1N50<1b=?l50;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb288i7[?=3;0x 0`c2j1/9km5c:~yx=n9;i1<7*=90826d=Q:091=vB=9482Sb42;q/=nk513a8R4442;q/9kj5c:&6bf3683>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6;>1]=?=52z&6ba:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qo5<4290;w)<61;0;a>N50<1b=?l50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957d<^8886?u+5gf95>"2nj0:7psr}:k26f<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:>n5Y13196~"2nm0j7);ic;c8yx{z3f;h;7>5$3;2>4e03_82?7?tL3;6>4}Ql:09w)?le;3`3>P6::09w);id;38 0`d281vqps4}c0e5?6=>3:12`9U6<5=9rF9584>{W3a4?4|,8in6<{zut1bon4?:%0:5?ed3_82?7?tL3;6>4}Q9k:1>v*>cd8`g>P6::09w);id;38 0`d281vqps4ibf94?"5180hh6X=9281I4>=3;pZ7}#=oh1oi5+3ca91cb3t\94?4<{Wf2>7}#=oh1oi5+3ca91cb3t\94?4<{Wf2>7}#=oh1oi5+3ca91cb{W3a4?4|,8in6?6>;W317?4|,{zuE8287?tV3:b>7}Ql809w);ib;0;5>"4jj0>ji5r$754>a=zut1b>:<50;&1=4<5?;1]>4=52zN1=0<6s_;i<77153_;9?7a=#=oi1h6sr}M0:0?7|^;2j6?uYd081!3aj38<>6*c698yg4bl3:1?7>50z&1=4<50l1C>5;4i00a>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb288i7[?=3;0x 0`c281/9km51:~yx=n9;i1<7*=90826d=Q:091=vB=9482Sb42;q/=nk513a8R4442;q/9kj51:&6bf<63twvq6a>c683>!4>93;h;6X=9282I4>=3;pZi=52z&2g`<6k>1]=?=52z&6ba<63-?mo7?4}|~?xd5ml0;6<4?:1y'6<7=:020D?6:;n3`3?6=,;3:61<7>t$3;2>3d<@;2>7d?=b;29 7?6288j7[<63;3xH7?228q]h>4={%3`a?75j2\:>>4={%7e`?e<,4?513c8R7?428qG>4;51zTg7?4|,8in6<{zut1b=>950;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg9561<^8886?u+5gf9g>"2nj0h7psr}:m2g2<72-82=7?l7:T1=6<6sE8297?tVe196~"6kl0:o:5Y13196~"2nm0:7);ic;38yx{z3th9in4?:583>5}#:0;1:o5G2978m44e290/>4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;31f>P6::09w);id;a8 0`d2j1vqps4i00`>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb288h7[?=3;0x 0`c2j1/9km5c:~yx=n9:=1<7*=90826d=Q:091=vB=9482Sb42;q/=nk51258R4442;q/9kj5c:&6bfc683>!4>93;h;6X=9282I4>=3;pZi=52z&2g`<6k>1]=?=52z&6ba<63-?mo7?4}|~?xd5n:0;6?4?:1y'6<7=jh1C>5;4i00b>5<#:0;1=?o4;n3`3?6=,;3:662281!3al3;0(8hl:09~yx{53;294~"518094h5G2978m44e290/>4?513c8R7?428qG>4;51zTg7?4|,8in6<{zut1b=?m50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957e<^8886?u+5gf9e>"2nj0j7psr}:m2g2<72-82=7?l7:T1=6<6sE8297?tVe196~"6kl0:o:5Y13196~"2nm0:7);ic;38yx{z3th9j44?:783>5}#:0;1=?64H3:6?!73j3l0e<>4={%7e`?7<,7}#9jo1on5Y13196~"2nm0:7);ic;38yx{z3`io6=4+2839ga=Q:091>vB=9482S7e838p(fb<,:hh68hk;|Tg6?4|,fb<,:hh68hk;|Tg6?4|,fb<,:hh68hk;|Tg6?4|,0`c3t.=;:46;|T2ga<5s_n:6?u+5g`9ga=#;ki19kj4}%443?c:18'6<7=:1;0Z?7<:3yO6<3=9r\:n=4={%3`a?4?92\:>>4={%7e`?b<,4?52608R7?42;qG>4;51zT2f5<5s-;hi7<82:T266<5s-?mh7j4$4d`>a=zutF9594>{W0;e?4|^m;1>v*:fc8137=#;ki19kj4}%443?b6<729q/>4?529g8L7>23`;9n7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;31f>P6::09w);id;38 0`d281vqps4i00`>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb288h7[?=3;0x 0`c281/9km51:~yx=h9j=1<7*=9082g2=Q:091=vB=9482Sb42;q/=nk51b58R4442;q/9kj51:&6bf<63twvq6sm2g494?7=83:p(?7>:3;;?M4?=2e:o:4?:%0:5?7d?21vn?h8:186>5<7s-82=78m;I0;1>o6:k0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2c9U575=:r.>ji4l;%7eg?e4={%3`a?75k2\:>>4={%7e`?e<,4?513c8R7?428qG>4;51zTg7?4|,8in6<=8;W317?4|,{zut1b=9<50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg9514<^8886?u+5gf9g>"2nj0h7psr}:m2g2<72-82=7?l7:T1=6<6sE8297?tVe196~"6kl0:o:5Y13196~"2nm0:7);ic;38yx{z3th9j94?:583>5}#:0;1:o5G2978m44e290/>4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;31f>P6::09w);id;a8 0`d2j1vqps4i00`>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb288h7[?=3;0x 0`c2j1/9km5c:~yx=n9:=1<7*=90826d=Q:091=vB=9482Sb42;q/=nk51258R4442;q/9kj5c:&6bfc683>!4>93;h;6X=9282I4>=3;pZi=52z&2g`<6k>1]=?=52z&6ba<63-?mo7?4}|~?xd5nk0;6?4?:1y'6<7=jh1C>5;4i00b>5<#:0;1=?o4;n3`3?6=,;3:662281!3al3;0(8hl:09~yx{7>53;294~"518094h5G2978m44e290/>4?513c8R7?428qG>4;51zTg7?4|,8in6<{zut1b=?m50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957e<^8886?u+5gf9e>"2nj0j7psr}:m2g2<72-82=7?l7:T1=6<6sE8297?tVe196~"6kl0:o:5Y13196~"2nm0:7);ic;38yx{z3th8<<4?:783>5}#:0;1=?64H3:6?!73j3l0e<>4={%7e`?7<,7}#9jo1on5Y13196~"2nm0:7);ic;38yx{z3`io6=4+2839ga=Q:091>vB=9482S7e838p(fb<,:hh68hk;|Tg6?4|,fb<,:hh68hk;|Tg6?4|,fb<,:hh68hk;|Tg6?4|,0`c3t.=;:46;|T2ga<5s_n:6?u+5g`9ga=#;ki19kj4}%443?c:18'6<7=:1;0Z?7<:3yO6<3=9r\:n=4={%3`a?4?92\:>>4={%7e`?b<,4?52608R7?42;qG>4;51zT2f5<5s-;hi7<82:T266<5s-?mh7j4$4d`>a=zutF9594>{W0;e?4|^m;1>v*:fc8137=#;ki19kj4}%443?b6<729q/>4?529g8L7>23`;9n7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;31f>P6::09w);id;38 0`d281vqps4i00`>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb288h7[?=3;0x 0`c281/9km51:~yx=h9j=1<7*=9082g2=Q:091=vB=9482Sb42;q/=nk51b58R4442;q/9kj51:&6bf<63twvq6sm2gg94?7=83:p(?7>:3;;?M4?=2e:o:4?:%0:5?7d?21vn?hi:185>5<7s-82=78m;I0;1>o6:k0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2c9U575=:r.>ji4l;%7eg?e4={%3`a?75k2\:>>4={%7e`?e<,4?513c8R7?428qG>4;51zTg7?4|,8in6<=8;W317?4|,{zut1b=9<50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg9514<^8886?u+5gf9g>"2nj0h7psr}:k200<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:885Y13196~"2nm0h7);ic;a8yx{z3f;h;7>5$3;2>4e03_82?7?tL3;6>4}Ql:09w)?le;3`3>P6::09w);id;38 0`d281vqps4}c0eg?6=<3:1N50<1b=?l50;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb288i7[?=3;0x 0`c2j1/9km5c:~yx=n9;i1<7*=90826d=Q:091=vB=9482Sb42;q/=nk513a8R4442;q/9kj5c:&6bf3683>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6;>1]=?=52z&6ba:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qo=?3;296?6=8r.95<4ma:J1<0=n9;k1<7*=90826d=5<4290;w)<61;0;a>N50<1b=?l50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957d<^8886?u+5gf95>"2nj0:7psr}:k26f<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:>n5Y13196~"2nm0j7);ic;c8yx{z3f;h;7>5$3;2>4e03_82?7?tL3;6>4}Ql:09w)?le;3`3>P6::09w);id;38 0`d281vqps4}c13=?6=>3:12`9U6<5=9rF9584>{W3a4?4|,8in6<{zut1bon4?:%0:5?ed3_82?7?tL3;6>4}Q9k:1>v*>cd8`g>P6::09w);id;38 0`d281vqps4ibf94?"5180hh6X=9281I4>=3;pZ7}#=oh1oi5+3ca91cb3t\94?4<{Wf2>7}#=oh1oi5+3ca91cb3t\94?4<{Wf2>7}#=oh1oi5+3ca91cb{W3a4?4|,8in6?6>;W317?4|,{zuE8287?tV3:b>7}Ql809w);ib;0;5>"4jj0>ji5r$754>a=zut1b>:<50;&1=4<5?;1]>4=52zN1=0<6s_;i<77153_;9?7a=#=oi1h6sr}M0:0?7|^;2j6?uYd081!3aj38<>6*c698yg57=3:1?7>50z&1=4<50l1C>5;4i00a>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb288i7[?=3;0x 0`c281/9km51:~yx=n9;i1<7*=90826d=Q:091=vB=9482Sb42;q/=nk513a8R4442;q/9kj51:&6bf<63twvq6a>c683>!4>93;h;6X=9282I4>=3;pZi=52z&2g`<6k>1]=?=52z&6ba<63-?mo7?4}|~?xd48?0;6<4?:1y'6<7=:020D?6:;n3`3?6=,;3:6t$3;2>3d<@;2>7d?=b;29 7?6288j7[<63;3xH7?228q]h>4={%3`a?75j2\:>>4={%7e`?e<,4?513c8R7?428qG>4;51zTg7?4|,8in6<{zut1b=>950;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg9561<^8886?u+5gf9g>"2nj0h7psr}:k207<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:8?5Y13196~"2nm0h7);ic;a8yx{z3`;?97>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;371>P6::09w);id;a8 0`d2j1vqps4i065>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb28>=7[?=3;0x 0`c2j1/9km5c:~yx=h9j=1<7*=9082g2=Q:091=vB=9482Sb42;q/=nk51b58R4442;q/9kj51:&6bf<63twvq6sm31694?2=83:p(?7>:7`8L7>23`;9n7>5$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6:k1]=?=52z&6ba:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=c:T266<5s-?mh7m4$4d`>f=zutw0e<=8:18'6<7=9;k0Z?7<:0yO6<3=9r\o?74503_;9?7f=#=oi1o6sr}|9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on1=6*:fb82?x{zu2wi?=l50;094?6|,;3:6oo4H3:6?l75i3:1(?7>:00b?>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4>;%7eg?76<729q/>4?529g8L7>23`;9n7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;31f>P6::09w);id;38 0`d281vqps4i00`>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb288h7[?=3;0x 0`c2h1/9km5a:~yx=h9j=1<7*=9082g2=Q:091=vB=9482Sb42;q/=nk51b58R4442;q/9kj51:&6bf<63twvq6sm30394?0=83:p(?7>:00;?M4?=2.:8o4i;h31e?6=,;3:6<6l5Y13196~"2nm0:7);ic;38yx{z3`ih6=4+2839gf=Q:091=vB=9482S7e838p(6=#=oi1?6sr}M0:0?2|^;296>uYd081!3aj3io7)=mc;7e`>{Ql;09w);ib;31e>"4jj0>ji5r$754><=z^;296>uYd081!3aj3io7)=mc;7e`>{Ql;09w);ib;31e>"4jj0>jn5r$754><=z^;296>uYd081!3aj3io7)=mc;7e`>{Ql;09w);ib;a`?!5ek3?mh6s+6659=>{Q9jn1>vXk1;0x 0`e2jn0(>ll:4dg?x"1?>0n7psr;h0;5?6=,;3:6?6>;W0:7?4|D;3>61=vX=8`81Sb62;q/9kl52938 6dd27>5$3;2>7153_82?74}Q9k:1>v*>cd8137=Q9;91>v*:fe8g?!3ak3n0qpsC28695~P50h09w[j>:3y'1cd=:>80(>ll:4dg?x"1?>0o7psr;n3`3?6=,;3:6t$3;2>7>b3A8396g>2c83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:k1]=?=52z&6ba<63-?mo7?4}|~?l75k3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=c:T266<5s-?mh7?4$4d`>4=zutw0c4e03_;9?74=#=oi1=6sr}|9~f66b290:6=4?{%0:5?4>02B9485`1b594?"5180:o:54}c13b?6=03:1N50<1b=?l50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957d<^8886?u+5gf9g>"2nj0h7psr}:k26f<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:>n5Y13196~"2nm0h7);ic;a8yx{z3`;8;7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;303>P6::09w);id;a8 0`d2j1vqps4i061>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb28>97[?=3;0x 0`c2j1/9km5c:~yx=n9=?1<7*=90826d=Q:091=vB=9482Sb42;q/=nk51578R4442;q/9kj5c:&6bf4783>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:00b?S4>;3;p@?7::0yU`6<5s-;hi7?;7:T266<5s-?mh7m4$4d`>f=zutw0c4e03_;9?74=#=oi1=6sr}|9~f66d290?6=4?{%0:5?0e3A8396g>2c83>!4>93;9m6F=919U6<5=9rF9584>{Wf0>7}#9jo1=?l4V000>7}#=on1o6*:fb8`?x{zu2c:>n4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826f=Q9;91>v*:fe8`?!3ak3i0qpsr;h303?6=,;3:6<62281!3al3i0(8hl:b9~yx{5<5290;w)<61;`b?M4?=2c:>l4?:%0:5?75i21d=n950;&1=4<6k>1]>4=51zN1=0<6s_n86?u+1bg95f1<^8886?u+5gf95>"2nj0:7psr}:a74g=8391<7>t$3;2>7>b3A8396g>2c83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:k1]=?=52z&6ba<63-?mo7?4}|~?l75k3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=c:T266<5s-?mh7o4$4d`>d=zutw0c4e03_;9?74=#=oi1=6sr}|9~f67>290=6=4?{%0:5?7502B9485+15`9b>o6:h0;6)<61;31e>P51:0:wA<65;3xR4d72;q/=nk513c8R4442;q/9kj51:&6bf<63twvq6glc;29 7?62ji0Z?7<:0yO6<3=9r\:n=4={%3`a?ed3_;9?74=#=oi1=6sr}|9jga<72-82=7mk;W0:7?4|D;3>62281!3al390(8hl:29~yxJ51=0?w[<72;1xRa7=:r.>jo4ld:&0ff<2nm1vZi<52z&6bg<6:h1/?om55gf8y!00?330q[<72;1xRa7=:r.>jo4ld:&0ff<2nm1vZi<52z&6bg<6:h1/?om55ga8y!00?330q[<72;1xRa7=:r.>jo4ld:&0ff<2nm1vZi<52z&6bgo5080;6)<61;0;5>P51:09wA<65;3xR4d72;q/=nk52938R4442;q/9kj5d:&6bf7>63-9io7;id:'221=l2wvq6g=7383>!4>938<>6X=9281I4>=3;pZ80Z<<<:3y'1cb=l2.>jn4k;|~H7?328q]>5o52zTg5?4|,i6k>0;6)<61;3`3>=zj:;>6=4<:183!4>9383i6F=849j57d=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?l4V000>7}#=on1=6*:fb82?x{zu2c:>n4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826f=Q9;91>v*:fe82?!3ak3;0qpsr;n3`3?6=,;3:662281!3al3;0(8hl:09~yx{51;294~"51809555G2978k4e0290/>4?51b58?xd49>0;644?:1y'6<7=>k1C>5;4i00a>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb288i7[?=3;0x 0`c2j1/9km5c:~yx=n9;i1<7*=90826d=Q:091=vB=9482Sb42;q/=nk513a8R4442;q/9kj5c:&6bf3683>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6;>1]=?=52z&6ba:00b?S4>;3;p@?7::0yU`6<5s-;hi7?;2:T266<5s-?mh7m4$4d`>f=zutw0e<:::18'6<7=9;k0Z?7<:0yO6<3=9r\o?74223_;9?7f=#=oi1o6sr}|9j510=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=984V000>7}#=on1o6*:fb8`?x{zu2c:8:4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8202=Q9;91>v*:fe8`?!3ak3i0qpsr;h3762281!3al3i0(8hl:b9~yx{5<3290;w)<61;4a?M4?=2c:>o4?:%0:5?75i2B95=5Y28195~J51<0:w[j<:3y'5fc=9;h0Z<<<:3y'1cb=k2.>jn4l;|~y>o6:j0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2b9U575=:r.>ji4l;%7eg?e4={%3`a?74?2\:>>4={%7e`?e<,4?51b58R7?428qG>4;51zTg7?4|,8in6{zut1vn>?m:181>5<7s-82=7ln;I0;1>o6:h0;6)<61;31e>=h9j=1<7*=9082g2=Q:091=vB=9482Sb42;q/=nk51b58R4442;q/9kj51:&6bf<63twvq6sm33094?5=83:p(?7>:3:f?M4?=2c:>o4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826g=Q9;91>v*:fe82?!3ak3;0qpsr;h31g?6=,;3:6<62281!3al3k0(8hl:`9~yx{5<1290;w)<61;31<>N50<1/=9l5f:k26d<72-82=7?=a:T1=6<6sE8297?tV0`3>7}#9jo1=?o4V000>7}#=on1=6*:fb82?x{zu2cho7>5$3;2>fe<^;386!4>93io7[<63;0xH7?228q]=o>52z&2g`>4={%7e`?5<,5r}|N1=1<3s_83>7=tVe396~"2nk0hh6*v*:fc826d=#;ki19kj4}%443??7=tVe396~"2nk0hh6*v*:fc826d=#;ki19km4}%443??7=tVe396~"2nk0hh6*v*:fc8`g>"4jj0>ji5r$754><=z^8io6?uYd081!3aj3io7)=mc;7e`>{#>>=1i6sr}:k1<4<72-82=7<71:T1=6<5sE8297?tV0`3>7}#9jo1>5?4V000>7}#=on1h6*:fb8g?x{zD;3?6{zu2c9;?4?:%0:5?40:2\95>4={M0:1?7|^8h;6?u+1bg9624<^8886?u+5gf9`>"2nj0o7psrL3;7>4}Q:1k1>vXk1;0x 0`e2;=97)=mc;7e`>{#>>=1h6sr}:m2g2<72-82=7?l7:9~f67c29086=4?{%0:5?4?m2B9485f13`94?"5180:>l5Y28195~J51<0:w[j<:3y'5fc=9;h0Z<<<:3y'1cb=92.>jn4>;|~y>o6:j0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2b9U575=:r.>ji4>;%7eg?74={%3`a?7d?2\:>>4={%7e`?7<,50z&1=4<5111C>5;4o0a4>5<#:0;1=n94;|`05c<728;1<7>t$3;2>3d<@;2>7d?=b;29 7?6288j7[<63;3xH7?228q]h>4={%3`a?75j2\:>>4={%7e`?e<,4?513c8R7?428qG>4;51zTg7?4|,8in6<{zut1b=>950;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg9561<^8886?u+5gf9g>"2nj0h7psr}:k207<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:8?5Y13196~"2nm0h7);ic;a8yx{z3`;?97>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;371>P6::09w);id;a8 0`d2j1vqps4i065>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb28>=7[?=3;0x 0`c2j1/9km5c:~yx=n9==1<7*=90826d=Q:091=vB=9482Sb42;q/=nk51558R4442;q/9kj5c:&6bf4983>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6<11]=?=52z&6ba:00b?S4>;3;p@?7::0yU`6<5s-;hi7?;9:T266<5s-?mh7m4$4d`>f=zutw0e<:n:18'6<7=9;k0Z?7<:0yO6<3=9r\o?742f3_;9?74=#=oi1=6sr}|9j57b=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?j4V000>7}#=on1=6*:fb82?x{zu2c:>h4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826`=Q9;91>v*:fe82?!3ak3;0qpsr;h31b?6=,;3:6<62281!3al3;0(8hl:09~yx{l5Y28195~J51<0:w[j<:3y'5fc=9:;0Z<<<:3y'1cb=92.>jn4>;|~y>o6;;0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>339U575=:r.>ji4>;%7eg?74={%3`a?7d?2\:>>4={%7e`?7<,50z&1=4<1j2B9485f13`94?"5180:>l5G2828R7?428qG>4;51zTg7?4|,8in6<{zut1b=?m50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957e<^8886?u+5gf9g>"2nj0h7psr}:k272<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:?:5Y13196~"2nm0h7);ic;a8yx{z3f;h;7>5$3;2>4e03_82?7?tL3;6>4}Ql:09w)?le;3`3>P6::09w);id;38 0`d281vqps4}c3`b?6=:3:1N50<1b=?o50;&1=4<6:h10c4e03_;9?74=#=oi1=6sr}|9~f4b129096=4?{%0:5?df3A8396g>2`83>!4>93;9m65`1b594?"5180:o:5Y28195~J51<0:w[j<:3y'5fc=9j=0Z<<<:3y'1cb=92.>jn4>;|~y>{e9mi1<7850;2x 7?628837E<75:&20g5$3;2>44f3_82?7?tL3;6>4}Q9k:1>v*>cd826d=Q9;91>v*:fe82?!3ak3;0qpsr;ha`>5<#:0;1on5Y28195~J51<0:w[?m0;0x 4eb2ji0Z<<<:3y'1cb=92.>jn4>;|~y>odl3:1(?7>:bf8R7?42;qG>4;51zT2f5<5s-;hi7mk;W317?4|,5+5ga97>{zuE8287:tV3:1>6}Ql809w);ib;ag?!5ek3?mh6sYd381!3aj3;9m6*6}Ql809w);ib;ag?!5ek3?mh6sYd381!3aj3;9m6*6}Ql809w);ib;ag?!5ek3?mh6sYd381!3aj3ih7)=mc;7e`>{#>>=1>6sY1bf96~Pc938p(8hm:bf8 6dd25$3;2>7>63_82?74}Q9k:1>v*>cd81<4=Q9;91>v*:fe8g?!3ak3n0qpsC28695~P50h09w[j>:3y'1cd=:1;0(>ll:4dg?x"1?>0o7psr;h046?6=,;3:6?9=;W0:7?4|D;3>61=vX=8`81Sb62;q/9kl52608 6dd25$3;2>4e032wi=i>50;194?6|,;3:6?6j;I0;1>o6:k0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2c9U575=:r.>ji4>;%7eg?74={%3`a?75k2\:>>4={%7e`?7<,4?51b58R7?428qG>4;51zTg7?4|,8in6{zut1vn:182>5<7s-82=7<68:J1<0=h9j=1<7*=9082g2=53;294~"5180=n6F=849j57d=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?l4V000>7}#=on1=6*:fb82?x{zu2c:>n4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826f=Q9;91>v*:fe82?!3ak3;0qpsr;n3`3?6=,;3:662281!3al3;0(8hl:09~yx{53;294~"5180=n6F=849j57d=83.95<4>2`9K6<6<^;3862wvqp5f13a94?"5180:>l5Y28195~J51<0:w[j<:3y'5fc=9;i0Z<<<:3y'1cb=k2.>jn4l;|~y>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4>;%7eg?7d483>6<729q/>4?56c9K6=34={%3`a?75j2\:>>4={%7e`?e<,4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;31g>P6::09w);id;a8 0`d2j1vqps4o0a4>5<#:0;1=n94V3;0>4}K:0?1=vXk3;0x 4eb28i<7[?=3;0x 0`c281/9km51:~yx=zj8n96=4;:183!4>93;h:6F=849j57d=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?l4V000>7}#=on1=6*:fb82?x{zu2c:>n4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826f=Q9;91>v*:fe82?!3ak3;0qpsr;h303?6=,;3:6<62281!3al3;0(8hl:09~yx{5<593:1N50<1b=?l50;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb288i7[?=3;0x 0`c2>1/9km57:~yx=n9;i1<7*=90826d=O:0:0Z?7<:0yO6<3=9r\o?744d3_;9?72=#=oi1;6sr}|9j561=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=>94V000>7}#=on1;6*:fb84?x{zu2c:8?4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8207=Q9;91>v*:fe84?!3ak3=0qpsr;h371?6=,;3:6<62281!3al3=0(8hl:69~yx{=6=4+283957g<^;386l5Y28195~J51<0:w[j<:3y'5fc=9==0Z<<<:3y'1cb=?2.>jn48;|~y>o6<10;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>499U575=:r.>ji48;%7eg?14={%3`a?7312\:>>4={%7e`?1<,4?513c8R7?428qG>4;51zTg7?4|,8in6<:n;W317?4|,{zut1b=?j50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957b<^8886?u+5gf93>"2nj0<7psr}:k26`<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:>h5Y13196~"2nm0<7);ic;58yx{z3`;9j7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;31b>P6::09w);id;58 0`d2>1vqps4i013>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb289;7[?=3;0x 0`c2>1/9km57:~yx=n9:;1<7*=90826d=O:0:0Z?7<:0yO6<3=9r\o?74563_;9?72=#=oi1;6sr}|9j564=83.95<4>2`9K6<6<^;386l5Y28195~J51<0:w[j<:3y'5fc=9:90Z<<<:3y'1cb=?2.>jn48;|~y>o6;=0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>359U575=:r.>ji4>;%7eg?74={%3`a?74=2\:>>4={%7e`?7<,4?513c8R7?428qG>4;51zTg7?4|,8in6<=9;W317?4|,{zut1b=>650;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg956><^8886?u+5gf95>"2nj0:7psr}:k27<<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:?45Y13196~"2nm0:7);ic;38yx{z3`;8m7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;30e>P6::09w);id;38 0`d281vqps4i01a>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb289i7[?=3;0x 0`c281/9km51:~yx=n9:i1<7*=90826d=Q:091=vB=9482Sb42;q/=nk512a8R4442;q/9kj51:&6bf<63twvq6g>3e83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6;m1]=?=52z&6ba<63-?mo7?4}|~?l74m3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?4=zutw0e<=i:18'6<7=9;k0Z?7<:0yO6<3=9r\o?745a3_;9?74=#=oi1=6sr}|9j516=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=9>4V000>7}#=on1=6*:fb82?x{zu2c:8<4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8204=Q9;91>v*:fe82?!3ak3;0qpsr;h377?6=,;3:6<62281!3al3;0(8hl:09~yx{?6=4+283957g<^;386jn4>;|~y>{e9m21<7<>:183!4>932b83>!4>93;9m6F=919U6<5=9rF9584>{Wf0>7}#9jo1=?m4V000>7}#=on1;6*:fb84?x{zu2c:?:4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8272=Q9;91>v*:fe84?!3ak3=0qpsr;h376?6=,;3:6<66X>2281!3al3=0(8hl:69~yx{>6=4+283957g<^;386l5Y28195~J51<0:w[j<:3y'5fc=9=<0Z<<<:3y'1cb=?2.>jn48;|~y>o6<>0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>469U575=:r.>ji48;%7eg?14={%3`a?7302\:>>4={%7e`?1<,290/>4?513c8R7?428qG>4;51zTg7?4|,8in6<:6;W317?4|,{zut1b=9o50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg951g<^8886?u+5gf93>"2nj0<7psr}:k26a<72-82=7?=a:J1=5=Q:091=vB=9482Sb42;q/=nk513f8R4442;q/9kj57:&6bf<03twvq6g>2d83>!4>93;9m6F=919U6<5=9rF9584>{Wf0>7}#9jo1=?k4V000>7}#=on1;6*:fb84?x{zu2c:>k4?:%0:5?75i2B95=5Y28195~J51<0:w[j<:3y'5fc=9;l0Z<<<:3y'1cb=?2.>jn48;|~y>o6;90;6)<61;31e>N5191]>4=51zN1=0<6s_n86?u+1bg9566<^8886?u+5gf93>"2nj0<7psr}:k274<72-82=7?=a:J1=5=Q:091=vB=9482Sb42;q/=nk51238R4442;q/9kj57:&6bf<03twvq6g>3383>!4>93;9m6F=919U6<5=9rF9584>{Wf0>7}#9jo1=><4V000>7}#=on1;6*:fb84?x{zu2c:?>4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8276=Q9;91>v*:fe84?!3ak3=0qpsr;h300?6=,;3:6<62281!3al3;0(8hl:09~yx{6=4+283957g<^;386l5Y28195~J51<0:w[j<:3y'5fc=9:<0Z<<<:3y'1cb=92.>jn4>;|~y>o6;10;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>399U575=:r.>ji4>;%7eg?74={%3`a?7412\:>>4={%7e`?7<,4?513c8R7?428qG>4;51zTg7?4|,8in6<=n;W317?4|,{zut1b=>l50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg956d<^8886?u+5gf95>"2nj0:7psr}:k27f<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:?n5Y13196~"2nm0:7);ic;38yx{z3`;8h7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;30`>P6::09w);id;38 0`d281vqps4i01f>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb289n7[?=3;0x 0`c281/9km51:~yx=n9:l1<7*=90826d=Q:091=vB=9482Sb42;q/=nk512d8R4442;q/9kj51:&6bf<63twvq6g>4183>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6<91]=?=52z&6ba<63-?mo7?4}|~?l7393:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?;1:T266<5s-?mh7?4$4d`>4=zutw0e<:<:18'6<7=9;k0Z?7<:0yO6<3=9r\o?74243_;9?74=#=oi1=6sr}|9j512=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=9:4V000>7}#=on1=6*:fb82?x{zu2e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`2`<<72;;1<7>t$3;2>3d<@;2>7d?=b;29 7?6288j7E<60:T1=6<6sE8297?tVe196~"6kl0:>o5Y13196~"2nm0<7);ic;58yx{z3`;9o7>5$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6:j1]=?=52z&6ba<03-?mo794}|~?l74?3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?<7:T266<5s-?mh794$4d`>2=zutw0e<:=:18'6<7=9;k0Z?7<:0yO6<3=9r\o?74253_;9?72=#=oi1;6sr}|9j513=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=9;4V000>7}#=on1;6*:fb84?x{zu2c:8;4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8203=Q9;91>v*:fe84?!3ak3=0qpsr;h373?6=,;3:6<62281!3al3=0(8hl:69~yx{36=4+283957g<^;386l5Y28195~J51<0:w[j<:3y'5fc=9=30Z<<<:3y'1cb=?2.>jn48;|~y>o6P51:0:wA<65;3xRa5=:r.:oh4>4`9U575=:r.>ji48;%7eg?1i5Y13196~"2nm0<7);ic;58yx{z3`;9i7>5$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6:l1]=?=52z&6ba<03-?mo794}|~?l75n3:1(?7>:00b?M4>82\95>4>{M0:1?7|^m91>v*>cd826c=Q9;91>v*:fe84?!3ak3=0qpsr;h304?6=,;3:6<P51:0:wA<65;3xRa5=:r.:oh4>319U575=:r.>ji48;%7eg?17>5$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6;;1]=?=52z&6ba<03-?mo794}|~?l74;3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?<3:T266<5s-?mh794$4d`>2=zutw0e<=;:18'6<7=9;k0Z?7<:0yO6<3=9r\o?74533_;9?74=#=oi1=6sr}|9j563=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=>;4V000>7}#=on1=6*:fb82?x{zu2c:?;4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8273=Q9;91>v*:fe82?!3ak3;0qpsr;h3062281!3al3;0(8hl:09~yx{l5Y28195~J51<0:w[j<:3y'5fc=9:k0Z<<<:3y'1cb=92.>jn4>;|~y>o6;k0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>3c9U575=:r.>ji4>;%7eg?74={%3`a?74k2\:>>4={%7e`?7<,4?513c8R7?428qG>4;51zTg7?4|,8in6<=k;W317?4|,{zut1b=>k50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg956c<^8886?u+5gf95>"2nj0:7psr}:k27c<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:?k5Y13196~"2nm0:7);ic;38yx{z3`;?<7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;374>P6::09w);id;38 0`d281vqps4i062>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb28>:7[?=3;0x 0`c281/9km51:~yx=n9=91<7*=90826d=Q:091=vB=9482Sb42;q/=nk51518R4442;q/9kj51:&6bf<63twvq6g>4583>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6<=1]=?=52z&6ba<63-?mo7?4}|~?j7d?3:1(?7>:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qo?ka;290?6=8r.95<4>c79K6=3l5Y28195~J51<0:w[j<:3y'5fc=9;i0Z<<<:3y'1cb=92.>jn4>;|~y>o6;>0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>369U575=:r.>ji4>;%7eg?74={%3`a?7d?2\:>>4={%7e`?7<,7>50z&1=4l54o0a4>5<#:0;1=n94V3;0>4}K:0?1=vXk3;0x 4eb28i<7[?=3;0x 0`c281/9km51:~yx=zj;n96=4<:183!4>9383i6F=849j57d=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?l4V000>7}#=on1=6*:fb82?x{zu2c:>n4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826f=Q9;91>v*:fe8b?!3ak3k0qpsr;n3`3?6=,;3:662281!3al3;0(8hl:09~yx{56;294~"5180:>55G2978 42e2o1b=?o50;&1=4<6:h1]>4=51zN1=0<6s_;i<744f3_;9?74=#=oi1=6sr}|9jgf<72-82=7ml;W0:7?7|D;3>62281!3al3;0(8hl:09~yx{P51:09wA<65;3xR4d72;q/=nk5ce9U575=:r.>ji4<;%7eg?54:54zT1<7<4s_n:6?u+5g`9ga=#;ki19kj4}Wf1>7}#=oh1=?o4$2``>0`c3t.=;:46;|T1<7<4s_n:6?u+5g`9ga=#;ki19kj4}Wf1>7}#=oh1=?o4$2``>0`d3t.=;:46;|T1<7<4s_n:6?u+5g`9ga=#;ki19kj4}Wf1>7}#=oh1on5+3ca91cbv*:fc8``>"4jj0>ji5r$754>`=zut1b>5?50;&1=4<5081]>4=52zN1=0<6s_;i<77>63_;9?7a=#=oi1h6sr}M0:0?7|^;2j6?uYd081!3aj383=6*{W3a4?4|,8in6?9=;W317?4|,{zuE8287?tV3:b>7}Ql809w);ib;046>"4jj0>ji5r$754>a=zut1d=n950;&1=4<6k>10qol5Y28195~J51<0:w[j<:3y'5fc=9;i0Z<<<:3y'1cb=92.>jn4>;|~y>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4>;%7eg?74<729q/>4?528:8L7>23f;h;7>5$3;2>4e032wi>nh50;32>5<7s-82=78m;I0;1>o6:k0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2c9U575=:r.>ji4l;%7eg?e4={%3`a?75k2\:>>4={%7e`?e<,4?513c8R7?428qG>4;51zTg7?4|,8in6<=8;W317?4|,{zut1b=9<50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg9514<^8886?u+5gf9g>"2nj0h7psr}:k200<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:885Y13196~"2nm0h7);ic;a8yx{z3`;?:7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;372>P6::09w);id;a8 0`d2j1vqps4i064>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb28><7[?=3;0x 0`c2j1/9km5c:~yx=n9=21<7*=90826d=Q:091=vB=9482Sb42;q/=nk515:8R4442;q/9kj5c:&6bf4883>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6<01]=?=52z&6ba:00b?S4>;3;p@?7::0yU`6<5s-;hi7?;a:T266<5s-?mh7m4$4d`>f=zutw0e<44c3_;9?7f=#=oi1o6sr}|9j57c=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?k4V000>7}#=on1=6*:fb82?x{zu2c:>k4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826c=Q9;91>v*:fe82?!3ak3;0qpsr;h304?6=,;3:6<62281!3al3;0(8hl:09~yx{l5Y28195~J51<0:w[j<:3y'5fc=9:80Z<<<:3y'1cb=92.>jn4>;|~y>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4>;%7eg?71<729q/>4?56c9K6=34={%3`a?75j2\:>>4={%7e`?e<,4?513c8R7?428qG>4;51zTg7?4|,8in6<{zut1b=>950;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg9561<^8886?u+5gf9g>"2nj0h7psr}:m2g2<72-82=7?l7:T1=6<6sE8297?tVe196~"6kl0:o:5Y13196~"2nm0:7);ic;38yx{z3th8:i4?:383>5}#:0;1nl5G2978m44f290/>4?513c8?j7d?3:1(?7>:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qo=83;292?6=8r.95<4>299K6=3<,8>i6k5f13c94?"5180:>l5Y28195~J51<0:w[?m0;0x 4eb288j7[?=3;0x 0`c281/9km51:~yx=nkj0;6)<61;a`?S4>;3;p@?7::0yU5g6=:r.:oh4lc:T266<5s-?mh7?4$4d`>4=zutw0enj50;&1=44={M0:1?7|^8h;6?u+1bg9ga=Q9;91>v*:fe80?!3ak390qpsC28690~P50;08w[j>:3y'1cd=km1/?om55gf8ySb52;q/9kl513c8 6dd2:3y'1cd=km1/?om55gf8ySb52;q/9kl513c8 6dd2:3y'1cd=km1/?om55gf8ySb52;q/9kl5cb9'7ge==on0q)887;;8yS7dl38pZi?52z&6bg<3;pZ?6n:3yU`4<5s-?mn7<71:&0ff<2nm1v(;98:e9~yx=n:>81<7*=908137=Q:091>vB=9482S7e838p(f2;q]h<4={%7ef?40:2.8nn4:fe9~ 3102m1vqp5`1b594?"5180:o:54}c15b?6=;3:144e3_;9?74=#=oi1=6sr}|9j57e=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?m4V000>7}#=on1=6*:fb82?x{zu2e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`035<7280;6=u+28396<><@;2>7b?l7;29 7?628i<76sm36394?3=83:p(?7>:7`8L7>23`;9n7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;31f>P6::09w);id;a8 0`d2j1vqps4i00`>5<#:0;1=?o4H3;3?S4>;3;p@?7::0yU`6<5s-;hi7?=c:T266<5s-?mh7m4$4d`>f=zutw0e<=8:18'6<7=9;k0D?7?;W0:7?7|D;3>62281!3al3i0(8hl:b9~yx{96=4+283957g<^;386jn4>;|~y>{e;?o1<7=50;2x 7?62?h0D?6:;h31f?6=,;3:6<P51:0:wA<65;3xRa5=:r.:oh4>2c9U575=:r.>ji4l;%7eg?e4={%3`a?75k2\:>>4={%7e`?e<,4?51b58R7?428qG>4;51zTg7?4|,8in6{zut1vn99::181>5<7s-82=7ln;I0;1>o6:h0;6)<61;31e>=h9j=1<7*=9082g2=Q:091=vB=9482Sb42;q/=nk51b58R4442;q/9kj51:&6bf<63twvq6sm46a94?4=83:p(?7>:cc8L7>23`;9m7>5$3;2>44f32e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`7<6<72:0;6=u+28396=c<@;2>7d?=b;29 7?6288j7[<63;3xH7?228q]h>4={%3`a?75j2\:>>4={%7e`?7<,4?513c8R7?428qG>4;51zTg7?4|,8in6<{zut1d=n950;&1=4<6k>1]>4=51zN1=0<6s_n86?u+1bg95f1<^8886?u+5gf95>"2nj0:7psr}:a0=4=83<1<7>t$3;2>44?3A8396*>4c8e?l75i3:1(?7>:00b?S4>;3;p@?7::0yU5g6=:r.:oh4>2`9U575=:r.>ji4>;%7eg?74=51zN1=0<6s_;i<7fe<^8886?u+5gf95>"2nj0:7psr}:k``?6=,;3:6nj4V3;0>7}K:0?1=vX>b181!7dm3io7[?=3;0x 0`c2:1/9km53:~yI4><3>pZ?6=:2yU`4<5s-?mn7mk;%1ag?3al2w]h?4={%7ef?75i2.8nn4:fe9~ 310201vZ?6=:2yU`4<5s-?mn7mk;%1ag?3al2w]h?4={%7ef?75i2.8nn4:fb9~ 310201vZ?6=:2yU`4<5s-?mn7mk;%1ag?3al2w]h?4={%7ef?ed3-9io7;id:'221=:2w]=nj52zTg5?4|,0`c3t.=;:4j;|~?l4?93:1(?7>:3:2?S4>;38p@?7::0yU5g6=:r.:oh4=809U575=:r.>ji4k;%7eg?b4:51zT152z&2g`<5?;1]=?=52z&6ba7}#=oh1>:<4$2``>0`c3t.=;:4k;|~?j7d?3:1(?7>:0a4?>{e<><1<7=50;2x 7?62;2n7E<75:k26g<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:>o5Y13196~"2nm0:7);ic;38yx{z3`;9o7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;31g>P6::09w);id;38 0`d281vqps4o0a4>5<#:0;1=n94V3;0>4}K:0?1=vXk3;0x 4eb28i<7[?=3;0x 0`c281/9km51:~yx=zj==<6=4>:183!4>938246F=849l5f1=83.95<4>c698yg2013:1?7>50z&1=4<1j2B9485f13`94?"5180:>l5Y28195~J51<0:w[j<:3y'5fc=9;h0Z<<<:3y'1cb=92.>jn4>;|~y>o6:j0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2b9U575=:r.>ji4>;%7eg?74={%3`a?7d?2\:>>4={%7e`?7<,k1C>5;4i00a>5<#:0;1=?o4H3;3?S4>;3;p@?7::0yU`6<5s-;hi7?=b:T266<5s-?mh784$4d`>3=zutw0e<62281!3al3<0(8hl:79~yx{2wvqp5f15094?"5180:>l5Y28195~J51<0:w[j<:3y'5fc=9=80Z<<<:3y'1cb=>2.>jn49;|~y>o6<<0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>449U575=:r.>ji49;%7eg?04={%3`a?73>2\:>>4={%7e`?0<,4?513c8R7?428qG>4;51zTg7?4|,8in6<:8;W317?4|,{zut1b=9650;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg951><^8886?u+5gf92>"2nj0=7psr}:k20<<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:845Y13196~"2nm0=7);ic;48yx{z3`;?m7>5$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6:00b?M4>82\95>4>{M0:1?7|^m91>v*>cd826a=Q9;91>v*:fe85?!3ak3<0qpsr;h31a?6=,;3:6<P51:0:wA<65;3xRa5=:r.:oh4>2d9U575=:r.>ji4l;%7eg?e4={%3`a?75n2\:>>4={%7e`?e<,4?513c8R7?428qG>4;51zTg7?4|,8in6<=?;W317?4|,{zut1b=>?50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg9567<^8886?u+5gf9g>"2nj0h7psr}:k277<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:??5Y13196~"2nm0:7);ic;38yx{z3f;h;7>5$3;2>4e03_82?7?tL3;6>4}Ql:09w)?le;3`3>P6::09w);id;38 0`d281vqps4}c64f?6=980;6=u+28392g=O:1?0e<62281!3al3<0(8hl:79~yx{4={%3`a?75k2\:>>4={%7e`?0<,4?513c8R7?428qG>4;51zTg7?4|,8in6<=8;W317?4|,{zut1b=9<50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg9514<^8886?u+5gf92>"2nj0=7psr}:k200<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:885Y13196~"2nm0=7);ic;48yx{z3`;?:7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;372>P6::09w);id;48 0`d2?1vqps4i064>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb28><7[?=3;0x 0`c2?1/9km56:~yx=n9=21<7*=90826d=Q:091=vB=9482Sb42;q/=nk515:8R4442;q/9kj56:&6bf<13twvq6g>4883>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6<01]=?=52z&6ba<13-?mo784}|~?l73i3:1(?7>:00b?M4>82\95>4>{M0:1?7|^m91>v*>cd820d=Q9;91>v*:fe85?!3ak3<0qpsr;h31`?6=,;3:6<P51:0:wA<65;3xRa5=:r.:oh4>2e9U575=:r.>ji49;%7eg?0h5Y13196~"2nm0h7);ic;a8yx{z3`;9j7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;31b>P6::09w);id;a8 0`d2j1vqps4i013>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb289;7[?=3;0x 0`c2j1/9km5c:~yx=n9:;1<7*=90826d=Q:091=vB=9482Sb42;q/=nk51238R4442;q/9kj5c:&6bf3383>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6;;1]=?=52z&6ba<63-?mo7?4}|~?j7d?3:1(?7>:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qo:88;290?6=8r.95<4>c79K6=3l5Y28195~J51<0:w[j<:3y'5fc=9;i0Z<<<:3y'1cb=92.>jn4>;|~y>o6;>0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>369U575=:r.>ji4>;%7eg?74={%3`a?7d?2\:>>4={%7e`?7<,50z&1=4<1j2B9485f13`94?"5180:>l5G2828R7?428qG>4;51zTg7?4|,8in6<{zut1b=?m50;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb288h7[?=3;0x 0`c2>1/9km57:~yx=n9:=1<7*=90826d=O:0:0Z?7<:0yO6<3=9r\o?74503_;9?72=#=oi1;6sr}|9j514=83.95<4>2`9K6<6<^;386l5Y28195~J51<0:w[j<:3y'5fc=9=?0Z<<<:3y'1cb=l2.>jn4k;|~y>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4>;%7eg?72<729q/>4?56c9K6=34={%3`a?75j2\:>>4={%7e`?1<,4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;31g>P6::09w);id;58 0`d2>1vqps4i014>5<#:0;1=?o4H3;3?S4>;3;p@?7::0yU`6<5s-;hi7?<7:T266<5s-?mh794$4d`>2=zutw0e<:=:18'6<7=9;k0D?7?;W0:7?7|D;3>66X>2281!3al3=0(8hl:69~yx{>6=4+283957g<@;3;7[<63;3xH7?228q]h>4={%3`a?73=2\:>>4={%7e`?b<,4?513c8R7?428qG>4;51zTg7?4|,8in6<:9;W317?4|,{zut1d=n950;&1=4<6k>1]>4=51zN1=0<6s_n86?u+1bg95f1<^8886?u+5gf95>"2nj0:7psr}:a02`=83=1<7>t$3;2>3d<@;2>7d?=b;29 7?6288j7E<60:T1=6<6sE8297?tVe196~"6kl0:>o5Y13196~"2nm0<7);ic;58yx{z3`;9o7>5$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6:j1]=?=52z&6ba<03-?mo794}|~?l74?3:1(?7>:00b?M4>82\95>4>{M0:1?7|^m91>v*>cd8272=Q9;91>v*:fe84?!3ak3=0qpsr;h376?6=,;3:6<P51:0:wA<65;3xRa5=:r.:oh4>439U575=:r.>ji4k;%7eg?b4={%3`a?73=2\:>>4={%7e`?b<,4?513c8R7?428qG>4;51zTg7?4|,8in6<:9;W317?4|,{zut1d=n950;&1=4<6k>1]>4=51zN1=0<6s_n86?u+1bg95f1<^8886?u+5gf95>"2nj0:7psr}:a0=6=83>1<7>t$3;2>4e13A8396g>2c83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:k1]=?=52z&6ba<63-?mo7?4}|~?l75k3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=c:T266<5s-?mh7?4$4d`>4=zutw0e<=8:18'6<7=9;k0Z?7<:0yO6<3=9r\o?74503_;9?74=#=oi1=6sr}|9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on1=6*:fb82?x{zu2wi:;h50;094?6|,;3:6oo4H3:6?l75i3:1(?7>:00b?>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4>;%7eg?73<729q/>4?513:8L7>23-;?n7h4i00b>5<#:0;1=?o4V3;0>4}K:0?1=vX>b181!7dm3;9m6X>2281!3al3;0(8hl:09~yx{P51:0:wA<65;3xR4d72;q/=nk5cb9U575=:r.>ji4>;%7eg?74=52zN1=0<6s_;i<7fb<^8886?u+5gf97>"2nj087psrL3;7>1}Q:181?vXk1;0x 0`e2jn0(>ll:4dg?xPc:38p(8hm:00b?!5ek3?mh6s+6659=>{Q:181?vXk1;0x 0`e2jn0(>ll:4dg?xPc:38p(8hm:00b?!5ek3?mo6s+6659=>{Q:181?vXk1;0x 0`e2jn0(>ll:4dg?xPc:38p(8hm:ba8 6dd2:3y'1cd=km1/?om55gf8y!00?3o0qps4i3:2>5<#:0;1>5?4V3;0>7}K:0?1=vX>b181!7dm383=6X>2281!3al3n0(8hl:e9~yxJ51=0:w[<7a;0xRa7=:r.>jo4=809'7ge==on0q)887;f8yx{P6::09w);id;f8 0`d2m1vqpB=9582S4?i38pZi?52z&6bg<5?;1/?om55gf8y!00?3n0qps4o0a4>5<#:0;1=n94;|`535<72:0;6=u+28396=c<@;2>7d?=b;29 7?6288j7E<60:T1=6<6sE8297?tVe196~"6kl0:>o5Y13196~"2nm0:7);ic;38yx{z3`;9o7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;31g>P6::09w);id;38 0`d281vqps4o0a4>5<#:0;1=n94V3;0>4}K:0?1=vXk3;0x 4eb28i<7[?=3;0x 0`c281/9km51:~yx=zj?=:6=4>:183!4>938246F=849l5f1=83.95<4>c698yg00;3:1?7>50z&1=4<1j2B9485f13`94?"5180:>l5G2828R7?428qG>4;51zTg7?4|,8in6<{zut1b=?m50;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb288h7[?=3;0x 0`c2j1/9km5c:~yx=h9j=1<7*=9082g2=Q:091=vB=9482Sb42;q/=nk51b58R4442;q/9kj51:&6bf<63twvq6sm66694?0=83:p(?7>:7`8L7>23`;9n7>5$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6:k1]=?=52z&6ba:00b?M4>82\95>4>{M0:1?7|^m91>v*>cd826f=Q9;91>v*:fe8`?!3ak3i0qpsr;h303?6=,;3:6<P51:0:wA<65;3xRa5=:r.:oh4>369U575=:r.>ji4l;%7eg?e5$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6<<1]=?=52z&6ba:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qo882;297?6=8r.95<4>c79K6=3l5Y28195~J51<0:w[j<:3y'5fc=9;i0Z<<<:3y'1cb=92.>jn4>;|~y>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4>;%7eg?77c83>7<729q/>4?5b`9K6=35$3;2>4e03_82?7?tL3;6>4}Ql:09w)?le;3`3>P6::09w);id;38 0`d281vqps4}c34b?6=:3:1N50<1b=?o50;&1=4<6:h10c4e03_;9?74=#=oi1=6sr}|9~f4>0290=6=4?{%0:5?7502B9485+15`9b>o6:h0;6)<61;31e>P51:0:wA<65;3xR4d72;q/=nk513c8R4442;q/9kj51:&6bf<63twvq6glc;29 7?62ji0Z?7<:0yO6<3=9r\:n=4={%3`a?ed3_;9?74=#=oi1=6sr}|9jga<72-82=7mk;W0:7?4|D;3>62281!3al390(8hl:29~yxJ51=0?w[<72;1xRa7=:r.>jo4ld:&0ff<2nm1vZi<52z&6bg<6:h1/?om55gf8y!00?330q[<72;1xRa7=:r.>jo4ld:&0ff<2nm1vZi<52z&6bg<6:h1/?om55ga8y!00?330q[<72;1xRa7=:r.>jo4ld:&0ff<2nm1vZi<52z&6bgo5080;6)<61;0;5>P51:09wA<65;3xR4d72;q/=nk52938R4442;q/9kj5d:&6bf7>63-9io7;id:'221=l2wvq6g=7383>!4>938<>6X=9281I4>=3;pZ80Z<<<:3y'1cb=l2.>jn4k;|~H7?328q]>5o52zTg5?4|,i6k>0;6)<61;3`3>=zj8=h6=4<:183!4>9383i6F=849j57d=83.95<4>2`9K6<6<^;386l5Y28195~J51<0:w[j<:3y'5fc=9;i0Z<<<:3y'1cb=92.>jn4>;|~y>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4>;%7eg?77e83>4<729q/>4?528:8L7>23f;h;7>5$3;2>4e032wi=:k50;194?6|,;3:6;l4H3:6?l75j3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=b:T266<5s-?mh7?4$4d`>4=zutw0e<44d3_;9?74=#=oi1=6sr}|9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on1=6*:fb82?x{zu2wi=5>50;594?6|,;3:6;l4H3:6?l75j3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=b:T266<5s-?mh794$4d`>2=zutw0e<62281!3al3=0(8hl:69~yx{l5G2828R7?428qG>4;51zTg7?4|,8in6<:=;W317?4|,{zut1b=9;50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg9513<^8886?u+5gf9`>"2nj0o7psr}:k203<72-82=7?=a:J1=5=Q:091=vB=9482Sb42;q/=nk51548R4442;q/9kj5d:&6bfc683>!4>93;h;6X=9282I4>=3;pZi=52z&2g`<6k>1]=?=52z&6ba<63-?mo7?4}|~?xd6080;6:4?:1y'6<7=>k1C>5;4i00a>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb288i7[?=3;0x 0`c2>1/9km57:~yx=n9;i1<7*=90826d=Q:091=vB=9482Sb42;q/=nk513a8R4442;q/9kj5d:&6bf3683>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6;>1]=?=52z&6ba:00b?M4>82\95>4>{M0:1?7|^m91>v*>cd8207=Q9;91>v*:fe8g?!3ak3n0qpsr;h371?6=,;3:6<62281!3al3n0(8hl:e9~yx{=6=4+283957g<@;3;7[<63;3xH7?228q]h>4={%3`a?73>2\:>>4={%7e`?b<,4?51b58R7?428qG>4;51zTg7?4|,8in6{zut1vn<6=:184>5<7s-82=78m;I0;1>o6:k0;6)<61;31e>N5191]>4=51zN1=0<6s_n86?u+1bg957d<^8886?u+5gf93>"2nj0<7psr}:k26f<72-82=7?=a:J1=5=Q:091=vB=9482Sb42;q/=nk513a8R4442;q/9kj57:&6bf<03twvq6g>3683>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6;>1]=?=52z&6ba:00b?S4>;3;p@?7::0yU`6<5s-;hi7?;2:T266<5s-?mh7j4$4d`>a=zutw0e<:::18'6<7=9;k0Z?7<:0yO6<3=9r\o?74223_;9?7a=#=oi1h6sr}|9j510=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=984V000>7}#=on1h6*:fb8g?x{zu2e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`2<6<72>0;6=u+28392g=O:1?0e<44e3_;9?72=#=oi1;6sr}|9j57e=83.95<4>2`9K6<6<^;386l5Y28195~J51<0:w[j<:3y'5fc=9:=0Z<<<:3y'1cb=l2.>jn4k;|~y>o6<;0;6)<61;31e>N5191]>4=51zN1=0<6s_n86?u+1bg9514<^8886?u+5gf9`>"2nj0o7psr}:k200<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:885Y13196~"2nm0o7);ic;f8yx{z3`;?:7>5$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qo?74;293?6=8r.95<49b:J1<0=n9;h1<7*=90826d=Q:091=vB=9482Sb42;q/=nk513`8R4442;q/9kj57:&6bf<03twvq6g>2b83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:j1]=?=52z&6ba:00b?S4>;3;p@?7::0yU`6<5s-;hi7?<7:T266<5s-?mh7j4$4d`>a=zutw0e<:=:18'6<7=9;k0D?7?;W0:7?7|D;3>66X>2281!3al3n0(8hl:e9~yx{>6=4+283957g<^;386l5G2828R7?428qG>4;51zTg7?4|,8in6<:9;W317?4|,{zut1d=n950;&1=4<6k>1]>4=51zN1=0<6s_n86?u+1bg95f1<^8886?u+5gf95>"2nj0:7psr}:a5=3=83<1<7>t$3;2>4e13A8396g>2c83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:k1]=?=52z&6ba<63-?mo7?4}|~?l75k3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=c:T266<5s-?mh7?4$4d`>4=zutw0e<=8:18'6<7=9;k0Z?7<:0yO6<3=9r\o?74503_;9?74=#=oi1=6sr}|9j514=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=9<4V000>7}#=on1=6*:fb82?x{zu2c:884?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8200=Q9;91>v*:fe82?!3ak3;0qpsr;n3`3?6=,;3:662281!3al3;0(8hl:09~yx{52;294~"5180im6F=849j57g=83.95<4>2`98k4e0290/>4?51b58R7?428qG>4;51zTg7?4|,8in6{zut1vn><6:185>5<7s-82=7?=8:J1<0=#9=h1j6g>2`83>!4>93;9m6X=9282I4>=3;pZjn4>;|~y>odk3:1(?7>:ba8R7?428qG>4;51zT2f5<5s-;hi7ml;W317?4|,{zut1boi4?:%0:5?ec3_82?74}Q9k:1>v*>cd8``>P6::09w);id;18 0`d2:1vqpB=9587S4?:39pZi?52z&6bgjo4>2`9'7ge==on0q)887;;8yS4?:39pZi?52z&6bgjo4>2`9'7ge==oi0q)887;;8yS4?:39pZi?52z&6bgjo4lc:&0ff<2nm1v(;98:89~R4ec2;q]h<4={%7ef?ec3-9io7;id:'221=m2wvq6g=8083>!4>9383=6X=9281I4>=3;pZjn4k;|~H7?328q]>5o52zTg5?4|,;%1ag?3al2w/::95d:~y>o5?;0;6)<61;046>P51:09wA<65;3xR4d72;q/=nk52608R4442;q/9kj5d:&6bf7153-9io7;id:'221=l2wvq6a>c683>!4>93;h;65rb206>5<4290;w)<61;0;a>N50<1b=?l50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957d<^8886?u+5gf95>"2nj0:7psr}:k26f<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:>n5Y13196~"2nm0:7);ic;38yx{z3f;h;7>5$3;2>4e03_82?7?tL3;6>4}Ql:09w)?le;3`3>P6::09w);id;38 0`d281vqps4}c112?6=93:147=83:p(?7>:7`8L7>23`;9n7>5$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6:k1]=?=52z&6ba:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=c:T266<5s-?mh7m4$4d`>f=zutw0e<=8:18'6<7=9;k0Z?7<:0yO6<3=9r\o?74503_;9?7f=#=oi1o6sr}|9j514=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=9<4V000>7}#=on1o6*:fb8`?x{zu2c:884?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8200=Q9;91>v*:fe8`?!3ak3i0qpsr;h372?6=,;3:6<62281!3al3i0(8hl:b9~yx{<6=4+283957g<^;386l5Y28195~J51<0:w[j<:3y'5fc=9=20Z<<<:3y'1cb=k2.>jn4l;|~y>o6<00;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>489U575=:r.>ji4l;%7eg?e4={%3`a?73i2\:>>4={%7e`?e<,4?513c8R7?428qG>4;51zTg7?4|,8in6<{zut1b=?k50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957c<^8886?u+5gf9g>"2nj0h7psr}:k26c<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:>k5Y13196~"2nm0:7);ic;38yx{z3`;8<7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;304>P6::09w);id;38 0`d281vqps4i012>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb289:7[?=3;0x 0`c281/9km51:~yx=n9:81<7*=90826d=Q:091=vB=9482Sb42;q/=nk51208R4442;q/9kj51:&6bf<63twvq6a>c683>!4>93;h;6X=9282I4>=3;pZi=52z&2g`<6k>1]=?=52z&6ba<63-?mo7?4}|~?xd4:=0;694?:1y'6<7=>k1C>5;4i00a>5<#:0;1=?o4H3;3?S4>;3;p@?7::0yU`6<5s-;hi7?=b:T266<5s-?mh7m4$4d`>f=zutw0e<44d3_;9?7f=#=oi1o6sr}|9j561=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=>94V000>7}#=on1o6*:fb8`?x{zu2e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`72`<72;0;6=u+2839fd=O:1?0e<4={%3`a?7d?2\:>>4={%7e`?7<,50z&1=4<6:11C>5;4$06a>c=n9;k1<7*=90826d=Q:091=vB=9482S7e838p(!4>93ih7[<63;3xH7?228q]=o>52z&2g`>4={%7e`?7<,7}#9jo1oi5Y13196~"2nm087);ic;18yx{K:0>18vX=8380Sb62;q/9kl5ce9'7ge==on0q[j=:3y'1cd=9;k0(>ll:4dg?x"1?>027pX=8380Sb62;q/9kl5ce9'7ge==on0q[j=:3y'1cd=9;k0(>ll:4d`?x"1?>027pX=8380Sb62;q/9kl5ce9'7ge==on0q[j=:3y'1cd=kj1/?om55gf8y!00?330q[?ld;0xRa7=:r.>jo4ld:&0ff<2nm1v(;98:d9~yx=n:1;1<7*=9081<4=Q:091>vB=9482S7e838p(f2;q]h<4={%7ef?4?92.8nn4:fe9~ 3102m1vqp5f26094?"51809;?5Y28196~J51<0:w[?m0;0x 4eb2;=97[?=3;0x 0`c2m1/9km5d:~yI4><3;pZ?6n:3yU`4<5s-?mn7<82:&0ff<2nm1v(;98:e9~yx=h9j=1<7*=9082g2=<<7>53;294~"518094h5G2978m44e290/>4?513c8R7?428qG>4;51zTg7?4|,8in6<{zut1b=?m50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957e<^8886?u+5gf95>"2nj0:7psr}:m2g2<72-82=7?l7:T1=6<6sE8297?tVe196~"6kl0:o:5Y13196~"2nm0:7);ic;38yx{z3th?;<4?:083>5}#:0;1>464H3:6?j7d?3:1(?7>:0a4?>{e<>81<7=50;2x 7?62?h0D?6:;h31f?6=,;3:6<P51:0:wA<65;3xRa5=:r.:oh4>2c9U575=:r.>ji49;%7eg?0n5Y13196~"2nm0=7);ic;48yx{z3f;h;7>5$3;2>4e03_82?7?tL3;6>4}Ql:09w)?le;3`3>P6::09w);id;38 0`d281vqps4}c65b?6=;3:1N50<1b=?l50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957d<^8886?u+5gf9g>"2nj0h7psr}:k26f<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:>n5Y13196~"2nm0h7);ic;a8yx{z3f;h;7>5$3;2>4e03_82?7?tL3;6>4}Ql:09w)?le;3`3>P6::09w);id;38 0`d281vqps4}c6;0?6=:3:1N50<1b=?o50;&1=4<6:h10c4e03_;9?74=#=oi1=6sr}|9~f1>f290=6=4?{%0:5?7502B9485+15`9b>o6:h0;6)<61;31e>P51:0:wA<65;3xR4d72;q/=nk513c8R4442;q/9kj51:&6bf<63twvq6glc;29 7?62ji0Z?7<:0yO6<3=9r\:n=4={%3`a?ed3_;9?74=#=oi1=6sr}|9jga<72-82=7mk;W0:7?4|D;3>62281!3al390(8hl:29~yxJ51=0?w[<72;1xRa7=:r.>jo4ld:&0ff<2nm1vZi<52z&6bg<6:h1/?om55gf8y!00?330q[<72;1xRa7=:r.>jo4ld:&0ff<2nm1vZi<52z&6bg<6:h1/?om55ga8y!00?330q[<72;1xRa7=:r.>jo4ld:&0ff<2nm1vZi<52z&6bgo5080;6)<61;0;5>P51:09wA<65;3xR4d72;q/=nk52938R4442;q/9kj5d:&6bf7>63-9io7;id:'221=l2wvq6g=7383>!4>938<>6X=9281I4>=3;pZ80Z<<<:3y'1cb=l2.>jn4k;|~H7?328q]>5o52zTg5?4|,i6k>0;6)<61;3`3>=zj=2=6=4<:183!4>9383i6F=849j57d=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?l4V000>7}#=on1=6*:fb82?x{zu2c:>n4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826f=Q9;91>v*:fe82?!3ak3;0qpsr;n3`3?6=,;3:662281!3al3;0(8hl:09~yx{3;7>51;294~"51809555G2978k4e0290/>4?51b58?xd3010;6>4?:1y'6<7=>k1C>5;4i00a>5<#:0;1=?o4H3;3?S4>;3;p@?7::0yU`6<5s-;hi7?=b:T266<5s-?mh784$4d`>3=zutw0e<62281!3al3<0(8hl:79~yx{5<4290;w)<61;4a?M4?=2c:>o4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826g=Q9;91>v*:fe8`?!3ak3i0qpsr;h31g?6=,;3:6<62281!3al3i0(8hl:b9~yx{5<5290;w)<61;`b?M4?=2c:>l4?:%0:5?75i21d=n950;&1=4<6k>1]>4=51zN1=0<6s_n86?u+1bg95f1<^8886?u+5gf95>"2nj0:7psr}:a0c2=8381<7>t$3;2>gg<@;2>7d?=a;29 7?6288j76a>c683>!4>93;h;6X=9282I4>=3;pZi=52z&2g`<6k>1]=?=52z&6ba<63-?mo7?4}|~?xd3n:0;6;4?:1y'6<7=9;20D?6:;%37f?`P6::09w);id;38 0`d281vqps4iba94?"5180ho6X=9282I4>=3;pZ4?5ce9U6<5=:rF9584>{W3a4?4|,8in6nj4V000>7}#=on1?6*:fb80?x{zD;3?69uY29097~Pc938p(8hm:bf8 6dd2{#>>=156sY29097~Pc938p(8hm:bf8 6dd2{#>>=156sY29097~Pc938p(8hm:bf8 6dd2ll:4dg?x"1?>097pX>ce81Sb62;q/9kl5ce9'7ge==on0q)887;g8yx{P6::09w);id;f8 0`d2m1vqpB=9582S4?i38pZi?52z&6bg<5081/?om55gf8y!00?3n0qps4i351>5<#:0;1>:<4V3;0>7}K:0?1=vX>b181!7dm38<>6X>2281!3al3n0(8hl:e9~yxJ51=0:w[<7a;0xRa7=:r.>jo4=739'7ge==on0q)887;f8yx{5}#:0;1>5k4H3:6?l75j3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=b:T266<5s-?mh7?4$4d`>4=zutw0e<44d3_;9?74=#=oi1=6sr}|9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on1=6*:fb82?x{zu2wi8hj50;394?6|,;3:6?77;I0;1>i6k>0;6)<61;3`3>=zj=om6=4<:183!4>93o5Y13196~"2nm0=7);ic;48yx{z3`;9o7>5$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6:j1]=?=52z&6ba:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qo:i0;297?6=8r.95<49b:J1<0=n9;h1<7*=90826d=O:0:0Z?7<:0yO6<3=9r\o?744e3_;9?7f=#=oi1o6sr}|9j57e=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?m4V000>7}#=on1o6*:fb8`?x{zu2e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`7b4<72:0;6=u+28392g=O:1?0e<62281!3al3i0(8hl:b9~yx{jn4>;|~y>{eo5Y13196~"2nm0:7);ic;38yx{z3`;9o7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;31g>P6::09w);id;38 0`d281vqps4i014>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb289<7[?=3;0x 0`c281/9km51:~yx=h9j=1<7*=9082g2=Q:091=vB=9482Sb42;q/=nk51b58R4442;q/9kj51:&6bf<63twvq6sm5d:94?4=83:p(?7>:cc8L7>23`;9m7>5$3;2>44f32e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`6a`<72;0;6=u+2839fd=O:1?0e<4={%3`a?7d?2\:>>4={%7e`?7<,50z&1=4<6:11C>5;4$06a>c=n9;k1<7*=90826d=Q:091=vB=9482S7e838p(!4>93ih7[<63;3xH7?228q]=o>52z&2g`>4={%7e`?7<,7}#9jo1oi5Y13196~"2nm087);ic;18yx{K:0>18vX=8380Sb62;q/9kl5ce9'7ge==on0q[j=:3y'1cd=9;k0(>ll:4dg?x"1?>027pX=8380Sb62;q/9kl5ce9'7ge==on0q[j=:3y'1cd=9;k0(>ll:4d`?x"1?>027pX=8380Sb62;q/9kl5ce9'7ge==on0q[j=:3y'1cd=kj1/?om55gf8y!00?380q[?ld;0xRa7=:r.>jo4ld:&0ff<2nm1v(;98:d9~yx=n:1;1<7*=9081<4=Q:091>vB=9482S7e838p(f2;q]h<4={%7ef?4?92.8nn4:fe9~ 3102m1vqp5f26094?"51809;?5Y28196~J51<0:w[?m0;0x 4eb2;=97[?=3;0x 0`c2m1/9km5d:~yI4><3;pZ?6n:3yU`4<5s-?mn7<82:&0ff<2nm1v(;98:e9~yx=h9j=1<7*=9082g2=53;294~"518094h5G2978m44e290/>4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;31f>P6::09w);id;38 0`d281vqps4i00`>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb288h7[?=3;0x 0`c281/9km51:~yx=h9j=1<7*=9082g2=Q:091=vB=9482Sb42;q/=nk51b58R4442;q/9kj51:&6bf<63twvq6sm5dc94?7=83:p(?7>:3;;?M4?=2e:o:4?:%0:5?7d?21vn8kl:1825?6=8r.95<49b:J1<0=n9;h1<7*=90826d=Q:091=vB=9482Sb42;q/=nk513`8R4442;q/9kj56:&6bf<13twvq6g>2b83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:j1]=?=52z&6ba<13-?mo784}|~?l74?3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?<7:T266<5s-?mh784$4d`>3=zutw0e<:=:18'6<7=9;k0Z?7<:0yO6<3=9r\o?74253_;9?73=#=oi1:6sr}|9j513=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=9;4V000>7}#=on1:6*:fb85?x{zu2c:8;4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8203=Q9;91>v*:fe85?!3ak3<0qpsr;h373?6=,;3:6<62281!3al3<0(8hl:79~yx{36=4+283957g<^;3862wvqp5f15;94?"5180:>l5G2828R7?428qG>4;51zTg7?4|,8in6<:6;W317?4|,{zut1b=9o50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg951g<^8886?u+5gf9g>"2nj0h7psr}:k26a<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:>i5Y13196~"2nm0h7);ic;a8yx{z3`;9i7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;31a>P6::09w);id;38 0`d281vqps4i00e>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb288m7[?=3;0x 0`c281/9km51:~yx=n9::1<7*=90826d=Q:091=vB=9482Sb42;q/=nk51228R4442;q/9kj51:&6bf<63twvq6g>3083>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6;81]=?=52z&6ba<63-?mo7?4}|~?l74:3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?<2:T266<5s-?mh7?4$4d`>4=zutw0c4e03_;9?74=#=oi1=6sr}|9~f0cc290:=7>50z&1=4<1j2B9485f13`94?"5180:>l5Y28195~J51<0:w[j<:3y'5fc=9;h0Z<<<:3y'1cb=>2.>jn49;|~y>o6:j0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2b9U575=:r.>ji49;%7eg?04={%3`a?74?2\:>>4={%7e`?0<,4?513c8R7?428qG>4;51zTg7?4|,8in6<:=;W317?4|,{zut1b=9;50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg9513<^8886?u+5gf92>"2nj0=7psr}:k203<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:8;5Y13196~"2nm0=7);ic;48yx{z3`;?;7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;373>P6::09w);id;48 0`d2?1vqps4i06;>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb28>37[?=3;0x 0`c2?1/9km56:~yx=n9=31<7*=90826d=Q:091=vB=9482Sb42;q/=nk515;8R4442;q/9kj5c:&6bf4`83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=d:T266<5s-?mh7m4$4d`>f=zutw0e<44b3_;9?74=#=oi1=6sr}|9j57`=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?h4V000>7}#=on1=6*:fb82?x{zu2c:?=4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8275=Q9;91>v*:fe82?!3ak3;0qpsr;h305?6=,;3:6<62281!3al3;0(8hl:09~yx{jn4>;|~y>{e=lh1<7=50;2x 7?628i=7E<75:k26g<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:>o5Y13196~"2nm0:7);ic;38yx{z3`;9o7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;31g>P6::09w);id;38 0`d281vqps4o0a4>5<#:0;1=n94V3;0>4}K:0?1=vXk3;0x 4eb28i<7[?=3;0x 0`c281/9km51:~yx=zj93o5Y13196~"2nm0=7);ic;48yx{z3`;9o7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;31g>P6::09w);id;48 0`d2?1vqps4o0a4>5<#:0;1=n94V3;0>4}K:0?1=vXk3;0x 4eb28i<7[?=3;0x 0`c281/9km51:~yx=zj932b83>!4>93;9m6F=919U6<5=9rF9584>{Wf0>7}#9jo1=?m4V000>7}#=on1;6*:fb84?x{zu2c:?:4?:%0:5?75i2B95=5Y28195~J51<0:w[j<:3y'5fc=9:=0Z<<<:3y'1cb=?2.>jn48;|~y>o6<;0;6)<61;31e>N5191]>4=51zN1=0<6s_n86?u+1bg9514<^8886?u+5gf93>"2nj0<7psr}:k200<72-82=7?=a:J1=5=Q:091=vB=9482Sb42;q/=nk51578R4442;q/9kj5d:&6bf4783>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qo;i0;293?6=8r.95<49b:J1<0=n9;h1<7*=90826d=O:0:0Z?7<:0yO6<3=9r\o?744e3_;9?72=#=oi1;6sr}|9j57e=83.95<4>2`9K6<6<^;386l5G2828R7?428qG>4;51zTg7?4|,8in6<=8;W317?4|,{zut1b=9<50;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb28>97[?=3;0x 0`c2m1/9km5d:~yx=n9=?1<7*=90826d=Q:091=vB=9482Sb42;q/=nk51578R4442;q/9kj5d:&6bf4783>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qo;i1;297?6=8r.95<4>c79K6=3l5Y28195~J51<0:w[j<:3y'5fc=9;i0Z<<<:3y'1cb=92.>jn4>;|~y>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4>;%7eg?77<729q/>4?5b`9K6=35$3;2>4e03_82?7?tL3;6>4}Ql:09w)?le;3`3>P6::09w);id;38 0`d281vqps4}c7:7?6=:3:1N50<1b=?o50;&1=4<6:h10c4e03_;9?74=#=oi1=6sr}|9~f0?f29096=4?{%0:5?df3A8396g>2`83>!4>93;9m65`1b594?"5180:o:5Y28195~J51<0:w[j<:3y'5fc=9j=0Z<<<:3y'1cb==2.>jn4:;|~y>{e=0:1<7=50;2x 7?62;2n7E<75:k26g<72-82=7?=a:J1=5=Q:091=vB=9482Sb42;q/=nk513`8R4442;q/9kj51:&6bf<63twvq6g>2b83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:j1]=?=52z&6ba<63-?mo7?4}|~?j7d?3:1(?7>:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qo;61;295?6=8r.95<4=999K6=35?4?:283>5}#:0;1:o5G2978m44e290/>4?513c8R7?428qG>4;51zTg7?4|,8in6<{zut1b=?m50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957e<^8886?u+5gf95>"2nj0:7psr}:m2g2<72-82=7?l7:T1=6<6sE8297?tVe196~"6kl0:o:5Y13196~"2nm0:7);ic;38yx{z3th>594?:783>5}#:0;1:o5G2978m44e290/>4?513c8R7?428qG>4;51zTg7?4|,8in6<{zut1b=?m50;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb288h7[?=3;0x 0`c2m1/9km5d:~yx=n9:=1<7*=90826d=Q:091=vB=9482Sb42;q/=nk51258R4442;q/9kj5d:&6bf4383>!4>93;9m6F=919U6<5=9rF9584>{Wf0>7}#9jo1=9<4V000>7}#=on1h6*:fb8g?x{zu2c:884?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8200=Q9;91>v*:fe8g?!3ak3n0qpsr;n3`3?6=,;3:662281!3al3;0(8hl:09~yx{57;294~"5180=n6F=849j57d=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?l4V000>7}#=on1;6*:fb84?x{zu2c:>n4?:%0:5?75i2B95=5Y28195~J51<0:w[j<:3y'5fc=9;i0Z<<<:3y'1cb=?2.>jn48;|~y>o6;>0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>369U575=:r.>ji4k;%7eg?b5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;371>P6::09w);id;f8 0`d2m1vqps4i065>5<#:0;1=?o4H3;3?S4>;3;p@?7::0yU`6<5s-;hi7?;6:T266<5s-?mh7j4$4d`>a=zutw0c4e03_;9?74=#=oi1=6sr}|9~f0?1290<6=4?{%0:5?0e3A8396g>2c83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:k1]=?=52z&6ba<03-?mo794}|~?l75k3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=c:T266<5s-?mh7j4$4d`>a=zutw0e<=8:18'6<7=9;k0Z?7<:0yO6<3=9r\o?74503_;9?7a=#=oi1h6sr}|9j514=83.95<4>2`9K6<6<^;386l5Y28195~J51<0:w[j<:3y'5fc=9=?0Z<<<:3y'1cb=l2.>jn4k;|~y>o6N5191]>4=51zN1=0<6s_n86?u+1bg9510<^8886?u+5gf9`>"2nj0o7psr}:m2g2<72-82=7?l7:T1=6<6sE8297?tVe196~"6kl0:o:5Y13196~"2nm0:7);ic;38yx{z3th>5:4?:683>5}#:0;1:o5G2978m44e290/>4?513c8R7?428qG>4;51zTg7?4|,8in6<{zut1b=?m50;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb288h7[?=3;0x 0`c2>1/9km57:~yx=n9:=1<7*=90826d=Q:091=vB=9482Sb42;q/=nk51258R4442;q/9kj5d:&6bf4383>!4>93;9m6F=919U6<5=9rF9584>{Wf0>7}#9jo1=9<4V000>7}#=on1h6*:fb8g?x{zu2c:884?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8200=Q9;91>v*:fe8g?!3ak3n0qpsr;h372?6=,;3:6<P51:0:wA<65;3xRa5=:r.:oh4>479U575=:r.>ji4k;%7eg?b4={%3`a?7d?2\:>>4={%7e`?7<,03:1;7>50z&1=4<1j2B9485f13`94?"5180:>l5Y28195~J51<0:w[j<:3y'5fc=9;h0Z<<<:3y'1cb=?2.>jn48;|~y>o6:j0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2b9U575=:r.>ji4k;%7eg?b4={%3`a?74?2\:>>4={%7e`?b<,4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;376>P6::09w);id;f8 0`d2m1vqps4i066>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb28>>7[?=3;0x 0`c2m1/9km5d:~yx=n9=<1<7*=90826d=O:0:0Z?7<:0yO6<3=9r\o?74213_;9?7a=#=oi1h6sr}|9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on1=6*:fb82?x{zu2wi94750;494?6|,;3:6o6:k0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2c9U575=:r.>ji4>;%7eg?74={%3`a?75k2\:>>4={%7e`?7<,4?513c8R7?428qG>4;51zTg7?4|,8in6<=8;W317?4|,{zut1b=9<50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg9514<^8886?u+5gf95>"2nj0:7psr}:k200<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:885Y13196~"2nm0:7);ic;38yx{z3f;h;7>5$3;2>4e03_82?7?tL3;6>4}Ql:09w)?le;3`3>P6::09w);id;38 0`d281vqps4}c6ef?6=:3:1N50<1b=?o50;&1=4<6:h10c4e03_;9?74=#=oi1=6sr}|9~f1`f29096=4?{%0:5?df3A8396g>2`83>!4>93;9m65`1b594?"5180:o:5Y28195~J51<0:w[j<:3y'5fc=9j=0Z<<<:3y'1cb=92.>jn4>;|~y>{e=991<7=50;2x 7?62;2n7E<75:k26g<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:>o5Y13196~"2nm0:7);ic;38yx{z3`;9o7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;31g>P6::09w);id;c8 0`d2h1vqps4o0a4>5<#:0;1=n94V3;0>4}K:0?1=vXk3;0x 4eb28i<7[?=3;0x 0`c281/9km51:~yx=zj<:96=49:183!4>93;946F=849'51d=n2c:>l4?:%0:5?75i2\95>4>{M0:1?7|^8h;6?u+1bg957g<^8886?u+5gf95>"2nj0:7psr}:k`g?6=,;3:6nm4V3;0>4}K:0?1=vX>b181!7dm3ih7[?=3;0x 0`c281/9km51:~yx=nkm0;6)<61;ag?S4>;38p@?7::0yU5g6=:r.:oh4ld:T266<5s-?mh7=4$4d`>6=zutF9594;{W0;6?5|^m;1>v*:fc8``>"4jj0>ji5rVe096~"2nk0:>l5+3ca91cbv*:fc8``>"4jj0>ji5rVe096~"2nk0:>l5+3ca91cev*:fc8``>"4jj0>ji5rVe096~"2nk0ho6*7}Ql809w);ib;ag?!5ek3?mh6s+6659a>{zu2c94<4?:%0:5?4?92\95>4={M0:1?7|^8h;6?u+1bg96=7<^8886?u+5gf9`>"2nj0o7psrL3;7>4}Q:1k1>vXk1;0x 0`e2;2:7)=mc;7e`>{#>>=1h6sr}:k137<72-82=7<82:T1=6<5sE8297?tV0`3>7}#9jo1>:<4V000>7}#=on1h6*:fb8g?x{zD;3?6{zu2e:o:4?:%0:5?7d?21vn9hl:180>5<7s-82=7<7e:J1<0=n9;h1<7*=90826d=Q:091=vB=9482Sb42;q/=nk513`8R4442;q/9kj51:&6bf<63twvq6g>2b83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:j1]=?=52z&6ba<63-?mo7?4}|~?j7d?3:1(?7>:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qo:id;295?6=8r.95<4=999K6=35}#:0;1:o5G2978m44e290/>4?513c8R7?428qG>4;51zTg7?4|,8in6<{zut1b=?m50;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb288h7[?=3;0x 0`c2j1/9km5c:~yx=n9:=1<7*=90826d=O:0:0Z?7<:0yO6<3=9r\o?74503_;9?7f=#=oi1o6sr}|9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on1=6*:fb82?x{zu2wi9=>50;794?6|,;3:6;l4H3:6?l75j3:1(?7>:00b?M4>82\95>4>{M0:1?7|^m91>v*>cd826g=Q9;91>v*:fe8`?!3ak3i0qpsr;h31g?6=,;3:6<P51:0:wA<65;3xRa5=:r.:oh4>2b9U575=:r.>ji4l;%7eg?e4={%3`a?74?2\:>>4={%7e`?e<,4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;376>P6::09w);id;a8 0`d2j1vqps4o0a4>5<#:0;1=n94V3;0>4}K:0?1=vXk3;0x 4eb28i<7[?=3;0x 0`c281/9km51:~yx=zj=ln6=4<:183!4>93;h:6F=849j57d=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?l4V000>7}#=on1=6*:fb82?x{zu2c:>n4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826f=Q9;91>v*:fe82?!3ak3;0qpsr;n3`3?6=,;3:662281!3al3;0(8hl:09~yx{52;294~"5180im6F=849j57g=83.95<4>2`98k4e0290/>4?51b58R7?428qG>4;51zTg7?4|,8in6{zut1vn?<=:181>5<7s-82=7ln;I0;1>o6:h0;6)<61;31e>=h9j=1<7*=9082g2=Q:091=vB=9482Sb42;q/=nk51b58R4442;q/9kj51:&6bf<63twvq6sm23494?4=83:p(?7>:cc8L7>23`;9m7>5$3;2>44f32e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe86?!3ak3?0qpsr;|`15a<72:0;6=u+28396=c<@;2>7d?=b;29 7?6288j7[<63;3xH7?228q]h>4={%3`a?75j2\:>>4={%7e`?7<,4?513c8R7?428qG>4;51zTg7?4|,8in6<{zut1d=n950;&1=4<6k>1]>4=51zN1=0<6s_n86?u+1bg95f1<^8886?u+5gf95>"2nj0:7psr}:a64c=83;1<7>t$3;2>7??3A8396a>c683>!4>93;h;65rb303>5<4290;w)<61;4a?M4?=2c:>o4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826g=Q9;91>v*:fe85?!3ak3<0qpsr;h31g?6=,;3:6<62281!3al3i0(8hl:b9~yx{5<4290;w)<61;4a?M4?=2c:>o4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826g=Q9;91>v*:fe85?!3ak3<0qpsr;h31g?6=,;3:6<P51:0:wA<65;3xRa5=:r.:oh4>2b9U575=:r.>ji4l;%7eg?e4={%3`a?7d?2\:>>4={%7e`?7<,50z&1=4<6k?1C>5;4i00a>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb288i7[?=3;0x 0`c281/9km51:~yx=n9;i1<7*=90826d=Q:091=vB=9482Sb42;q/=nk513a8R4442;q/9kj51:&6bf<63twvq6a>c683>!4>93;h;6X=9282I4>=3;pZi=52z&2g`<6k>1]=?=52z&6ba<63-?mo7?4}|~?xd5::0;6>4?:1y'6<7=>k1C>5;4i00a>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb288i7[?=3;0x 0`c2>1/9km57:~yx=n9;i1<7*=90826d=O:0:0Z?7<:0yO6<3=9r\o?744d3_;9?7a=#=oi1h6sr}|9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on1=6*:fb82?x{zu2wi>?:50;194?6|,;3:6;l4H3:6?l75j3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=b:T266<5s-?mh7j4$4d`>a=zutw0e<44d3_;9?72=#=oi1;6sr}|9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on1=6*:fb82?x{zu2wi>?;50;194?6|,;3:6o6:k0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2c9U575=:r.>ji4>;%7eg?74={%3`a?75k2\:>>4={%7e`?7<,4?51b58R7?428qG>4;51zTg7?4|,8in6{zut1vn8?9:181>5<7s-82=7ln;I0;1>o6:h0;6)<61;31e>=h9j=1<7*=9082g2=Q:091=vB=9482Sb42;q/=nk51b58R4442;q/9kj51:&6bf<63twvq6sm50794?4=83:p(?7>:cc8L7>23`;9m7>5$3;2>44f32e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`667<72?0;6=u+283957><@;2>7)?;b;d8m44f290/>4?513c8R7?428qG>4;51zT2f5<5s-;hi7?=a:T266<5s-?mh7?4$4d`>4=zutw0enm50;&1=44>{M0:1?7|^8h;6?u+1bg9gf=Q9;91>v*:fe82?!3ak3;0qpsr;hag>5<#:0;1oi5Y28196~J51<0:w[?m0;0x 4eb2jn0Z<<<:3y'1cb=;2.>jn4<;|~H7?32=q]>5<53zTg5?4|,0`c3t\o>744f3-9io7;id:'221=12w]>5<53zTg5?4|,0`c3t\o>744f3-9io7;ic:'221=12w]>5<53zTg5?4|,0`c3t\o>7fe<,:hh68hk;|&532<53t\:oi4={Wf2>7}#=oh1oi5+3ca91cb6290/>4?52938R7?42;qG>4;51zT2f5<5s-;hi7<71:T266<5s-?mh7j4$4d`>a=zutF9594>{W0;e?4|^m;1>v*:fc81<4=#;ki19kj4}%443?b80Z?7<:3yO6<3=9r\:n=4={%3`a?40:2\:>>4={%7e`?b<,4?51b58?xd29>0;6>4?:1y'6<7=:1o0D?6:;h31f?6=,;3:6<62281!3al3;0(8hl:09~yx{jn4>;|~y>{e=821<7?50;2x 7?62;337E<75:m2g2<72-82=7?l7:9~f07f29086=4?{%0:5?0e3A8396g>2c83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:k1]=?=52z&6ba<63-?mo7?4}|~?l75k3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=c:T266<5s-?mh7?4$4d`>4=zutw0c4e03_;9?74=#=oi1=6sr}|9~f07e29086=4?{%0:5?0e3A8396g>2c83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:k1]=?=52z&6ba<63-?mo7?4}|~?l75k3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=c:T266<5s-?mh7?4$4d`>4=zutw0c4e03_;9?74=#=oi1=6sr}|9~f07d29086=4?{%0:5?0e3A8396g>2c83>!4>93;9m6F=919U6<5=9rF9584>{Wf0>7}#9jo1=?l4V000>7}#=on1o6*:fb8`?x{zu2c:>n4?:%0:5?75i2B95=5Y28195~J51<0:w[j<:3y'5fc=9;i0Z<<<:3y'1cb=k2.>jn4l;|~y>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4>;%7eg?76<729q/>4?56c9K6=32wvqp5f13a94?"5180:>l5G2828R7?428qG>4;51zTg7?4|,8in6<{zut1d=n950;&1=4<6k>1]>4=51zN1=0<6s_n86?u+1bg95f1<^8886?u+5gf95>"2nj0:7psr}:a14c=8391<7>t$3;2>3d<@;2>7d?=b;29 7?6288j7[<63;3xH7?228q]h>4={%3`a?75j2\:>>4={%7e`?0<,4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;31g>P6::09w);id;a8 0`d2j1vqps4o0a4>5<#:0;1=n94V3;0>4}K:0?1=vXk3;0x 4eb28i<7[?=3;0x 0`c281/9km51:~yx=zj<;m6=4;:183!4>93o5Y13196~"2nm0=7);ic;48yx{z3`;9o7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;31g>P6::09w);id;48 0`d2?1vqps4i014>5<#:0;1=?o4H3;3?S4>;3;p@?7::0yU`6<5s-;hi7?<7:T266<5s-?mh7m4$4d`>f=zutw0c4e03_;9?74=#=oi1=6sr}|9~f047290?6=4?{%0:5?0e3A8396g>2c83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:k1]=?=52z&6ba<13-?mo784}|~?l75k3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=c:T266<5s-?mh784$4d`>3=zutw0e<=8:18'6<7=9;k0D?7?;W0:7?7|D;3>62281!3al3i0(8hl:b9~yx{5N50<1b=?l50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957d<^8886?u+5gf95>"2nj0:7psr}:k26f<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:>n5Y13196~"2nm0:7);ic;38yx{z3`;8;7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;303>P6::09w);id;38 0`d281vqps4i061>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb28>97[?=3;0x 0`c281/9km51:~yx=n9=?1<7*=90826d=Q:091=vB=9482Sb42;q/=nk51578R4442;q/9kj51:&6bf<63twvq6g>4783>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:00b?S4>;3;p@?7::0yU`6<5s-;hi7?;7:T266<5s-?mh7?4$4d`>4=zutw0c4e03_;9?74=#=oi1=6sr}|9~f36329096=4?{%0:5?df3A8396g>2`83>!4>93;9m65`1b594?"5180:o:5Y28195~J51<0:w[j<:3y'5fc=9j=0Z<<<:3y'1cb=92.>jn4>;|~y>{e>9i1<7850;2x 7?628837E<75:&20g5$3;2>44f3_82?7?tL3;6>4}Q9k:1>v*>cd826d=Q9;91>v*:fe82?!3ak3;0qpsr;ha`>5<#:0;1on5Y28195~J51<0:w[?m0;0x 4eb2ji0Z<<<:3y'1cb=92.>jn4>;|~y>odl3:1(?7>:bf8R7?42;qG>4;51zT2f5<5s-;hi7mk;W317?4|,5+5ga97>{zuE8287:tV3:1>6}Ql809w);ib;ag?!5ek3?mh6sYd381!3aj3;9m6*6}Ql809w);ib;ag?!5ek3?mh6sYd381!3aj3;9m6*6}Ql809w);ib;ag?!5ek3?mh6sYd381!3aj3ih7)=mc;7e`>{#>>=1>6sY1bf96~Pc938p(8hm:bf8 6dd25$3;2>7>63_82?74}Q9k:1>v*>cd81<4=Q9;91>v*:fe8g?!3ak3n0qpsC28695~P50h09w[j>:3y'1cd=:1;0(>ll:4dg?x"1?>0o7psr;h046?6=,;3:6?9=;W0:7?4|D;3>61=vX=8`81Sb62;q/9kl52608 6dd25$3;2>4e032wi:=;50;194?6|,;3:6?6j;I0;1>o6:k0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2c9U575=:r.>ji4>;%7eg?74={%3`a?75k2\:>>4={%7e`?7<,4?51b58R7?428qG>4;51zTg7?4|,8in6{zut1vn;>9:182>5<7s-82=7<68:J1<0=h9j=1<7*=9082g2=53;294~"5180=n6F=849j57d=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?l4V000>7}#=on1o6*:fb8`?x{zu2c:>n4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826f=Q9;91>v*:fe8`?!3ak3i0qpsr;n3`3?6=,;3:662281!3al3;0(8hl:09~yx{57;294~"5180=n6F=849j57d=83.95<4>2`9K6<6<^;3862wvqp5f13a94?"5180:>l5G2828R7?428qG>4;51zTg7?4|,8in6<{zut1b=>950;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb289<7[?=3;0x 0`c2?1/9km56:~yx=n9=81<7*=90826d=Q:091=vB=9482Sb42;q/=nk51508R4442;q/9kj5c:&6bf4483>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6<<1]=?=52z&6ba3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?;6:T266<5s-?mh7m4$4d`>f=zutw0c4e03_;9?74=#=oi1=6sr}|9~f36f290<6=4?{%0:5?0e3A8396g>2c83>!4>93;9m6F=919U6<5=9rF9584>{Wf0>7}#9jo1=?l4V000>7}#=on1:6*:fb85?x{zu2c:>n4?:%0:5?75i2B95=5Y28195~J51<0:w[j<:3y'5fc=9;i0Z<<<:3y'1cb=>2.>jn49;|~y>o6;>0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>369U575=:r.>ji4l;%7eg?e4={%3`a?73:2\:>>4={%7e`?e<,4?513c8R7?428qG>4;51zTg7?4|,8in6<::;W317?4|,{zut1b=9850;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg9510<^8886?u+5gf9g>"2nj0h7psr}:m2g2<72-82=7?l7:T1=6<6sE8297?tVe196~"6kl0:o:5Y13196~"2nm0:7);ic;38yx{z3th=<:4?:583>5}#:0;1=n84H3:6?l75j3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=b:T266<5s-?mh7?4$4d`>4=zutw0e<44d3_;9?74=#=oi1=6sr}|9j561=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=>94V000>7}#=on1=6*:fb82?x{zu2e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`7e0<72;0;6=u+2839fd=O:1?0e<4={%3`a?7d?2\:>>4={%7e`?7<,50z&1=4<6:11C>5;4$06a>c=n9;k1<7*=90826d=Q:091=vB=9482S7e838p(!4>93ih7[<63;3xH7?228q]=o>52z&2g`>4={%7e`?7<,7}#9jo1oi5Y13196~"2nm087);ic;18yx{K:0>18vX=8380Sb62;q/9kl5ce9'7ge==on0q[j=:3y'1cd=9;k0(>ll:4dg?x"1?>027pX=8380Sb62;q/9kl5ce9'7ge==on0q[j=:3y'1cd=9;k0(>ll:4d`?x"1?>027pX=8380Sb62;q/9kl5ce9'7ge==on0q[j=:3y'1cd=kj1/?om55gf8y!00?380q[?ld;0xRa7=:r.>jo4ld:&0ff<2nm1v(;98:d9~yx=n:1;1<7*=9081<4=Q:091>vB=9482S7e838p(f2;q]h<4={%7ef?4?92.8nn4:fe9~ 3102m1vqp5f26094?"51809;?5Y28196~J51<0:w[?m0;0x 4eb2;=97[?=3;0x 0`c2m1/9km5d:~yI4><3;pZ?6n:3yU`4<5s-?mn7<82:&0ff<2nm1v(;98:e9~yx=h9j=1<7*=9082g2=j:7>53;294~"518094h5G2978m44e290/>4?513c8R7?428qG>4;51zTg7?4|,8in6<{zut1b=?m50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957e<^8886?u+5gf95>"2nj0:7psr}:m2g2<72-82=7?l7:T1=6<6sE8297?tVe196~"6kl0:o:5Y13196~"2nm0:7);ic;38yx{z3th?m:4?:083>5}#:0;1>464H3:6?j7d?3:1(?7>:0a4?>{e62281!3al3i0(8hl:b9~yx{jn4>;|~y>{e62281!3al3i0(8hl:b9~yx{jn4>;|~y>{eo5Y13196~"2nm0:7);ic;38yx{z3`;9o7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;31g>P6::09w);id;38 0`d281vqps4o0a4>5<#:0;1=n94V3;0>4}K:0?1=vXk3;0x 4eb28i<7[?=3;0x 0`c281/9km51:~yx=zj=ko6=4=:183!4>93hj7E<75:k26d<72-82=7?=a:9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on1=6*:fb82?x{zu2wi8o750;494?6|,;3:6<<7;I0;1>"652z&2g`<6:h1]=?=52z&6ba<63-?mo7?4}|~?led290/>4?5cb9U6<5=9rF9584>{W3a4?4|,8in6nm4V000>7}#=on1=6*:fb82?x{zu2chh7>5$3;2>fb<^;386?uC28795~P6j909w)?le;ag?S75;38p(8hk:29'1ce=;2wvqA<64;6xR7>52:q]h<4={%7ef?ec3-9io7;id:U`7<5s-?mn7?=a:&0ff<2nm1v(;98:89~R7>52:q]h<4={%7ef?ec3-9io7;id:U`7<5s-?mn7?=a:&0ff<2nj1v(;98:89~R7>52:q]h<4={%7ef?ec3-9io7;id:U`7<5s-?mn7ml;%1ag?3al2w/::952:U5fb=:r\o=7fb<,:hh68hk;|&53252z&2g`<5081]=?=52z&6ba7}#=oh1>5?4$2``>0`c3t.=;:4k;|~?l40:3:1(?7>:351?S4>;38p@?7::0yU5g6=:r.:oh4=739U575=:r.>ji4k;%7eg?b4:51zT1:3:f?M4?=2c:>o4?:%0:5?75i2B95=5Y28195~J51<0:w[j<:3y'5fc=9;h0Z<<<:3y'1cb=92.>jn4>;|~y>o6:j0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2b9U575=:r.>ji4>;%7eg?74={%3`a?7d?2\:>>4={%7e`?7<,50z&1=4<5111C>5;4o0a4>5<#:0;1=n94;|`7f4<72:0;6=u+28392g=O:1?0e<44e3_;9?74=#=oi1=6sr}|9j57e=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?m4V000>7}#=on1=6*:fb82?x{zu2e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`7f7<72:0;6=u+28392g=O:1?0e<44e3_;9?74=#=oi1=6sr}|9j57e=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?m4V000>7}#=on1=6*:fb82?x{zu2e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`7f6<72:0;6=u+28392g=O:1?0e<44e3_;9?7f=#=oi1o6sr}|9j57e=83.95<4>2`9K6<6<^;386jn4>;|~y>{e1<7=50;2x 7?62?h0D?6:;h31f?6=,;3:6<62281!3al3i0(8hl:b9~yx{jn4>;|~y>{e62281!3al3i0(8hl:b9~yx{4={%3`a?75k2\:>>4={%7e`?e<,4?513c8R7?428qG>4;51zTg7?4|,8in6<=8;W317?4|,{zut1d=n950;&1=4<6k>1]>4=51zN1=0<6s_n86?u+1bg95f1<^8886?u+5gf95>"2nj0:7psr}:a0g0=83?1<7>t$3;2>3d<@;2>7d?=b;29 7?6288j7[<63;3xH7?228q]h>4={%3`a?75j2\:>>4={%7e`?0<,4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;31g>P6::09w);id;a8 0`d2j1vqps4i014>5<#:0;1=?o4H3;3?S4>;3;p@?7::0yU`6<5s-;hi7?<7:T266<5s-?mh7m4$4d`>f=zutw0e<:=:18'6<7=9;k0Z?7<:0yO6<3=9r\o?74253_;9?7f=#=oi1o6sr}|9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on1=6*:fb82?x{zu2wi8o950;494?6|,;3:6;l4H3:6?l75j3:1(?7>:00b?M4>82\95>4>{M0:1?7|^m91>v*>cd826g=Q9;91>v*:fe85?!3ak3<0qpsr;h31g?6=,;3:6<P51:0:wA<65;3xRa5=:r.:oh4>2b9U575=:r.>ji49;%7eg?04={%3`a?74?2\:>>4={%7e`?0<,4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;376>P6::09w);id;a8 0`d2j1vqps4i066>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb28>>7[?=3;0x 0`c2j1/9km5c:~yx=h9j=1<7*=9082g2=Q:091=vB=9482Sb42;q/=nk51b58R4442;q/9kj51:&6bf<63twvq6sm4c294?>=83:p(?7>:0a5?M4?=2c:>o4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826g=Q9;91>v*:fe82?!3ak3;0qpsr;h31g?6=,;3:6<62281!3al3;0(8hl:09~yx{l5Y28195~J51<0:w[j<:3y'5fc=9=80Z<<<:3y'1cb=92.>jn4>;|~y>o6<<0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>449U575=:r.>ji4>;%7eg?74={%3`a?73>2\:>>4={%7e`?7<,4?513c8R7?428qG>4;51zTg7?4|,8in6<:8;W317?4|,{zut1d=n950;&1=4<6k>1]>4=51zN1=0<6s_n86?u+1bg95f1<^8886?u+5gf95>"2nj0:7psr}:a011=8381<7>t$3;2>gg<@;2>7d?=a;29 7?6288j76a>c683>!4>93;h;6X=9282I4>=3;pZi=52z&2g`<6k>1]=?=52z&6ba<63-?mo7?4}|~?xd35$3;2>44f3_82?7?tL3;6>4}Q9k:1>v*>cd826d=Q9;91>v*:fe82?!3ak3;0qpsr;ha`>5<#:0;1on5Y28195~J51<0:w[?m0;0x 4eb2ji0Z<<<:3y'1cb=92.>jn4>;|~y>odl3:1(?7>:bf8R7?42;qG>4;51zT2f5<5s-;hi7mk;W317?4|,5+5ga97>{zuE8287:tV3:1>6}Ql809w);ib;ag?!5ek3?mh6sYd381!3aj3;9m6*6}Ql809w);ib;ag?!5ek3?mh6sYd381!3aj3;9m6*6}Ql809w);ib;ag?!5ek3?mh6sYd381!3aj3ih7)=mc;7e`>{#>>=1>6sY1bf96~Pc938p(8hm:bf8 6dd25$3;2>7>63_82?74}Q9k:1>v*>cd81<4=Q9;91>v*:fe8g?!3ak3n0qpsC28695~P50h09w[j>:3y'1cd=:1;0(>ll:4dg?x"1?>0o7psr;h046?6=,;3:6?9=;W0:7?4|D;3>61=vX=8`81Sb62;q/9kl52608 6dd25$3;2>4e032wi89650;194?6|,;3:6?6j;I0;1>o6:k0;6)<61;31e>N5191]>4=51zN1=0<6s_n86?u+1bg957d<^8886?u+5gf95>"2nj0:7psr}:k26f<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:>n5Y13196~"2nm0:7);ic;38yx{z3f;h;7>5$3;2>4e03_82?7?tL3;6>4}Ql:09w)?le;3`3>P6::09w);id;38 0`d281vqps4}c67=?6=93:16<729q/>4?56c9K6=34={%3`a?75j2\:>>4={%7e`?e<,4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;31g>P6::09w);id;a8 0`d2j1vqps4o0a4>5<#:0;1=n94V3;0>4}K:0?1=vXk3;0x 4eb28i<7[?=3;0x 0`c281/9km51:~yx=zj=>h6=4::183!4>932b83>!4>93;9m6F=919U6<5=9rF9584>{Wf0>7}#9jo1=?m4V000>7}#=on1o6*:fb8`?x{zu2c:?:4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8272=Q9;91>v*:fe8`?!3ak3i0qpsr;h376?6=,;3:6<P51:0:wA<65;3xRa5=:r.:oh4>439U575=:r.>ji4l;%7eg?e4={%3`a?7d?2\:>>4={%7e`?7<,50z&1=4<1j2B9485f13`94?"5180:>l5G2828R7?428qG>4;51zTg7?4|,8in6<{zut1b=?m50;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb288h7[?=3;0x 0`c2j1/9km5c:~yx=n9:=1<7*=90826d=Q:091=vB=9482Sb42;q/=nk51258R4442;q/9kj5c:&6bf4383>!4>93;9m6F=919U6<5=9rF9584>{Wf0>7}#9jo1=9<4V000>7}#=on1o6*:fb8`?x{zu2e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`70d<72=0;6=u+28395f0<@;2>7d?=b;29 7?6288j7[<63;3xH7?228q]h>4={%3`a?75j2\:>>4={%7e`?7<,4?513c8R7?428qG>4;51zTg7?4|,8in6<{zut1b=>950;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg9561<^8886?u+5gf95>"2nj0:7psr}:m2g2<72-82=7?l7:T1=6<6sE8297?tVe196~"6kl0:o:5Y13196~"2nm0:7);ic;38yx{z3th?>l4?:383>5}#:0;1nl5G2978m44f290/>4?513c8?j7d?3:1(?7>:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qo:<2;292?6=8r.95<4>299K6=3<,8>i6k5f13c94?"5180:>l5Y28195~J51<0:w[?m0;0x 4eb288j7[?=3;0x 0`c281/9km51:~yx=nkj0;6)<61;a`?S4>;3;p@?7::0yU5g6=:r.:oh4lc:T266<5s-?mh7?4$4d`>4=zutw0enj50;&1=44={M0:1?7|^8h;6?u+1bg9ga=Q9;91>v*:fe80?!3ak390qpsC28690~P50;08w[j>:3y'1cd=km1/?om55gf8ySb52;q/9kl513c8 6dd2:3y'1cd=km1/?om55gf8ySb52;q/9kl513c8 6dd2:3y'1cd=km1/?om55gf8ySb52;q/9kl5cb9'7ge==on0q)887;08yS7dl38pZi?52z&6bg<3;pZ?6n:3yU`4<5s-?mn7<71:&0ff<2nm1v(;98:e9~yx=n:>81<7*=908137=Q:091>vB=9482S7e838p(f2;q]h<4={%7ef?40:2.8nn4:fe9~ 3102m1vqp5`1b594?"5180:o:54}c61f?6=;3:144e3_;9?74=#=oi1=6sr}|9j57e=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?m4V000>7}#=on1=6*:fb82?x{zu2e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`76f<7280;6=u+28396<><@;2>7b?l7;29 7?628i<76sm43g94?5=83:p(?7>:7`8L7>23`;9n7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;31f>P6::09w);id;a8 0`d2j1vqps4i00`>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb288h7[?=3;0x 0`c2j1/9km5c:~yx=h9j=1<7*=9082g2=Q:091=vB=9482Sb42;q/=nk51b58R4442;q/9kj51:&6bf<63twvq6sm43d94?5=83:p(?7>:7`8L7>23`;9n7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;31f>P6::09w);id;a8 0`d2j1vqps4i00`>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb288h7[?=3;0x 0`c2j1/9km5c:~yx=h9j=1<7*=9082g2=Q:091=vB=9482Sb42;q/=nk51b58R4442;q/9kj51:&6bf<63twvq6sm42294?2=83:p(?7>:7`8L7>23`;9n7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;31f>P6::09w);id;a8 0`d2j1vqps4i00`>5<#:0;1=?o4H3;3?S4>;3;p@?7::0yU`6<5s-;hi7?=c:T266<5s-?mh7m4$4d`>f=zutw0e<=8:18'6<7=9;k0D?7?;W0:7?7|D;3>62281!3al3i0(8hl:b9~yx{5<3290;w)<61;3`2>N50<1b=?l50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957d<^8886?u+5gf95>"2nj0:7psr}:k26f<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:>n5Y13196~"2nm0:7);ic;38yx{z3`;8;7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;303>P6::09w);id;38 0`d281vqps4o0a4>5<#:0;1=n94V3;0>4}K:0?1=vXk3;0x 4eb28i<7[?=3;0x 0`c281/9km51:~yx=zj:i26=4=:183!4>93hj7E<75:k26d<72-82=7?=a:9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on1=6*:fb82?x{zu2wi?nk50;494?6|,;3:6<<7;I0;1>"652z&2g`<6:h1]=?=52z&6ba<63-?mo7?4}|~?led290/>4?5cb9U6<5=9rF9584>{W3a4?4|,8in6nm4V000>7}#=on1=6*:fb82?x{zu2chh7>5$3;2>fb<^;386?uC28795~P6j909w)?le;ag?S75;38p(8hk:29'1ce=;2wvqA<64;6xR7>52:q]h<4={%7ef?ec3-9io7;id:U`7<5s-?mn7?=a:&0ff<2nm1v(;98:89~R7>52:q]h<4={%7ef?ec3-9io7;id:U`7<5s-?mn7?=a:&0ff<2nj1v(;98:89~R7>52:q]h<4={%7ef?ec3-9io7;id:U`7<5s-?mn7ml;%1ag?3al2w/::952:U5fb=:r\o=7fb<,:hh68hk;|&53252z&2g`<5081]=?=52z&6ba7}#=oh1>5?4$2``>0`c3t.=;:4k;|~?l40:3:1(?7>:351?S4>;38p@?7::0yU5g6=:r.:oh4=739U575=:r.>ji4k;%7eg?b4:51zT1:3:f?M4?=2c:>o4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826g=Q9;91>v*:fe82?!3ak3;0qpsr;h31g?6=,;3:6<62281!3al3;0(8hl:09~yx{5<6290;w)<61;0:<>N50<1d=n950;&1=4<6k>10qo=lc;297?6=8r.95<49b:J1<0=n9;h1<7*=90826d=Q:091=vB=9482Sb42;q/=nk513`8R4442;q/9kj56:&6bf<13twvq6g>2b83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:j1]=?=52z&6ba<13-?mo784}|~?j7d?3:1(?7>:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qo=kc;296?6=8r.95<4ma:J1<0=n9;k1<7*=90826d=5<4290;w)<61;0;a>N50<1b=?l50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957d<^8886?u+5gf95>"2nj0:7psr}:k26f<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:>n5Y13196~"2nm0j7);ic;c8yx{z3f;h;7>5$3;2>4e03_82?7?tL3;6>4}Ql:09w)?le;3`3>P6::09w);id;38 0`d281vqps4}c1f0?6=>3:12`9U6<5=9rF9584>{W3a4?4|,8in6<{zut1bon4?:%0:5?ed3_82?7?tL3;6>4}Q9k:1>v*>cd8`g>P6::09w);id;38 0`d281vqps4ibf94?"5180hh6X=9281I4>=3;pZ7}#=oh1oi5+3ca91cb3t\94?4<{Wf2>7}#=oh1oi5+3ca91cb3t\94?4<{Wf2>7}#=oh1oi5+3ca91cb{W3a4?4|,8in6?6>;W317?4|,{zuE8287?tV3:b>7}Ql809w);ib;0;5>"4jj0>ji5r$754>a=zut1b>:<50;&1=4<5?;1]>4=52zN1=0<6s_;i<77153_;9?7a=#=oi1h6sr}M0:0?7|^;2j6?uYd081!3aj38<>6*c698yg5cl3:1?7>50z&1=4<50l1C>5;4i00a>5<#:0;1=?o4H3;3?S4>;3;p@?7::0yU`6<5s-;hi7?=b:T266<5s-?mh7?4$4d`>4=zutw0e<44d3_;9?74=#=oi1=6sr}|9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on1=6*:fb82?x{zu2wi?ik50;394?6|,;3:6?77;I0;1>i6k>0;6)<61;3`3>=zj:o;6=4;:183!4>93o5Y13196~"2nm0h7);ic;a8yx{z3`;9o7>5$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6:j1]=?=52z&6ba<13-?mo784}|~?l74?3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?<7:T266<5s-?mh7m4$4d`>f=zutw0c4e03_;9?74=#=oi1=6sr}|9~f6c6290=6=4?{%0:5?0e3A8396g>2c83>!4>93;9m6F=919U6<5=9rF9584>{Wf0>7}#9jo1=?l4V000>7}#=on1o6*:fb8`?x{zu2c:>n4?:%0:5?75i2B95=5Y28195~J51<0:w[j<:3y'5fc=9;i0Z<<<:3y'1cb=k2.>jn4l;|~y>o6;>0;6)<61;31e>N5191]>4=51zN1=0<6s_n86?u+1bg9561<^8886?u+5gf9g>"2nj0h7psr}:k207<72-82=7?=a:J1=5=Q:091=vB=9482Sb42;q/=nk51508R4442;q/9kj5c:&6bf4483>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6<<1]=?=52z&6ba<13-?mo784}|~?j7d?3:1(?7>:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qo=j2;292?6=8r.95<49b:J1<0=n9;h1<7*=90826d=O:0:0Z?7<:0yO6<3=9r\o?744e3_;9?7f=#=oi1o6sr}|9j57e=83.95<4>2`9K6<6<^;386l5G2828R7?428qG>4;51zTg7?4|,8in6<=8;W317?4|,{zut1b=9<50;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb28>97[?=3;0x 0`c2j1/9km5c:~yx=n9=?1<7*=90826d=O:0:0Z?7<:0yO6<3=9r\o?74223_;9?7f=#=oi1o6sr}|9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on1=6*:fb82?x{zu2wi?ih50;694?6|,;3:6o6:k0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2c9U575=:r.>ji4>;%7eg?74={%3`a?75k2\:>>4={%7e`?7<,4?513c8R7?428qG>4;51zTg7?4|,8in6<=8;W317?4|,{zut1d=n950;&1=4<6k>1]>4=51zN1=0<6s_n86?u+1bg95f1<^8886?u+5gf95>"2nj0:7psr}:a065=8381<7>t$3;2>gg<@;2>7d?=a;29 7?6288j76a>c683>!4>93;h;6X=9282I4>=3;pZi=52z&2g`<6k>1]=?=52z&6ba<63-?mo7?4}|~?xd3;10;6;4?:1y'6<7=9;20D?6:;%37f?`P6::09w);id;38 0`d281vqps4iba94?"5180ho6X=9282I4>=3;pZ4?5ce9U6<5=:rF9584>{W3a4?4|,8in6nj4V000>7}#=on1?6*:fb80?x{zD;3?69uY29097~Pc938p(8hm:bf8 6dd2{#>>=156sY29097~Pc938p(8hm:bf8 6dd2{#>>=156sY29097~Pc938p(8hm:bf8 6dd2ll:4dg?x"1?>097pX>ce81Sb62;q/9kl5ce9'7ge==on0q)887;g8yx{P6::09w);id;f8 0`d2m1vqpB=9582S4?i38pZi?52z&6bg<5081/?om55gf8y!00?3n0qps4i351>5<#:0;1>:<4V3;0>7}K:0?1=vX>b181!7dm38<>6X>2281!3al3n0(8hl:e9~yxJ51=0:w[<7a;0xRa7=:r.>jo4=739'7ge==on0q)887;f8yx{5}#:0;1>5k4H3:6?l75j3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=b:T266<5s-?mh7?4$4d`>4=zutw0e<44d3_;9?74=#=oi1=6sr}|9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on1=6*:fb82?x{zu2wi8>;50;394?6|,;3:6?77;I0;1>i6k>0;6)<61;3`3>=zj=9=6=4<:183!4>93o5Y13196~"2nm0h7);ic;a8yx{z3`;9o7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;31g>P6::09w);id;a8 0`d2j1vqps4o0a4>5<#:0;1=n94V3;0>4}K:0?1=vXk3;0x 4eb28i<7[?=3;0x 0`c281/9km51:~yx=zj:l;6=4=:183!4>93hj7E<75:k26d<72-82=7?=a:9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on1=6*:fb82?x{zu2wi?k750;194?6|,;3:6?6j;I0;1>o6:k0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2c9U575=:r.>ji4>;%7eg?74={%3`a?75k2\:>>4={%7e`?g<,4?51b58R7?428qG>4;51zTg7?4|,8in6{zut1vn>h7:185>5<7s-82=7?=8:J1<0=#9=h1j6g>2`83>!4>93;9m6X=9282I4>=3;pZjn4>;|~y>odk3:1(?7>:ba8R7?428qG>4;51zT2f5<5s-;hi7ml;W317?4|,{zut1boi4?:%0:5?ec3_82?74}Q9k:1>v*>cd8``>P6::09w);id;18 0`d2:1vqpB=9587S4?:39pZi?52z&6bgjo4>2`9'7ge==on0q)887;;8yS4?:39pZi?52z&6bgjo4>2`9'7ge==oi0q)887;;8yS4?:39pZi?52z&6bgjo4lc:&0ff<2nm1v(;98:39~R4ec2;q]h<4={%7ef?ec3-9io7;id:'221=m2wvq6g=8083>!4>9383=6X=9281I4>=3;pZjn4k;|~H7?328q]>5o52zTg5?4|,;%1ag?3al2w/::95d:~y>o5?;0;6)<61;046>P51:09wA<65;3xR4d72;q/=nk52608R4442;q/9kj5d:&6bf7153-9io7;id:'221=l2wvq6a>c683>!4>93;h;65rb2d2>5<4290;w)<61;0;a>N50<1b=?l50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957d<^8886?u+5gf95>"2nj0:7psr}:k26f<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:>n5Y13196~"2nm0:7);ic;38yx{z3f;h;7>5$3;2>4e03_82?7?tL3;6>4}Ql:09w)?le;3`3>P6::09w);id;38 0`d281vqps4}c1e6?6=93:11<729q/>4?56c9K6=3l5Y28195~J51<0:w[j<:3y'5fc=9;i0Z<<<:3y'1cb=k2.>jn4l;|~y>o6;>0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>369U575=:r.>ji49;%7eg?04={%3`a?7d?2\:>>4={%7e`?7<,50z&1=4<1j2B9485f13`94?"5180:>l5Y28195~J51<0:w[j<:3y'5fc=9;h0Z<<<:3y'1cb=k2.>jn4l;|~y>o6:j0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2b9U575=:r.>ji4l;%7eg?e5$3;2>4e03_82?7?tL3;6>4}Ql:09w)?le;3`3>P6::09w);id;38 0`d281vqps4}c1e2?6=<3:1N50<1b=?l50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957d<^8886?u+5gf9g>"2nj0h7psr}:k26f<72-82=7?=a:J1=5=Q:091=vB=9482Sb42;q/=nk513a8R4442;q/9kj56:&6bf<13twvq6g>3683>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6;>1]=?=52z&6ba:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qo=i3;290?6=8r.95<4>c79K6=3l5Y28195~J51<0:w[j<:3y'5fc=9;i0Z<<<:3y'1cb=92.>jn4>;|~y>o6;>0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>369U575=:r.>ji4>;%7eg?74={%3`a?7d?2\:>>4={%7e`?7<,7>50z&1=4l54o0a4>5<#:0;1=n94V3;0>4}K:0?1=vXk3;0x 4eb28i<7[?=3;0x 0`c281/9km51:~yx=zj=9n6=49:183!4>93;946F=849'51d=n2c:>l4?:%0:5?75i2\95>4>{M0:1?7|^8h;6?u+1bg957g<^8886?u+5gf95>"2nj0:7psr}:k`g?6=,;3:6nm4V3;0>4}K:0?1=vX>b181!7dm3ih7[?=3;0x 0`c281/9km51:~yx=nkm0;6)<61;ag?S4>;38p@?7::0yU5g6=:r.:oh4ld:T266<5s-?mh7=4$4d`>6=zutF9594;{W0;6?5|^m;1>v*:fc8``>"4jj0>ji5rVe096~"2nk0:>l5+3ca91cbv*:fc8``>"4jj0>ji5rVe096~"2nk0:>l5+3ca91cev*:fc8``>"4jj0>ji5rVe096~"2nk0ho6*7}Ql809w);ib;ag?!5ek3?mh6s+6659a>{zu2c94<4?:%0:5?4?92\95>4={M0:1?7|^8h;6?u+1bg96=7<^8886?u+5gf9`>"2nj0o7psrL3;7>4}Q:1k1>vXk1;0x 0`e2;2:7)=mc;7e`>{#>>=1h6sr}:k137<72-82=7<82:T1=6<5sE8297?tV0`3>7}#9jo1>:<4V000>7}#=on1h6*:fb8g?x{zD;3?6{zu2e:o:4?:%0:5?7d?21vn9=n:180>5<7s-82=7<7e:J1<0=n9;h1<7*=90826d=Q:091=vB=9482Sb42;q/=nk513`8R4442;q/9kj51:&6bf<63twvq6g>2b83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:j1]=?=52z&6ba<63-?mo7?4}|~?j7d?3:1(?7>:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qo:5}#:0;1:o5G2978m44e290/>4?513c8R7?428qG>4;51zTg7?4|,8in6<{zut1b=?m50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957e<^8886?u+5gf9g>"2nj0h7psr}:m2g2<72-82=7?l7:T1=6<6sE8297?tVe196~"6kl0:o:5Y13196~"2nm0:7);ic;38yx{z3th??k4?:383>5}#:0;1nl5G2978m44f290/>4?513c8?j7d?3:1(?7>:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qo:;6;292?6=8r.95<4>299K6=3<,8>i6k5f13c94?"5180:>l5Y28195~J51<0:w[?m0;0x 4eb288j7[?=3;0x 0`c281/9km51:~yx=nkj0;6)<61;a`?S4>;3;p@?7::0yU5g6=:r.:oh4lc:T266<5s-?mh7?4$4d`>4=zutw0enj50;&1=44={M0:1?7|^8h;6?u+1bg9ga=Q9;91>v*:fe80?!3ak390qpsC28690~P50;08w[j>:3y'1cd=km1/?om55gf8ySb52;q/9kl513c8 6dd2:3y'1cd=km1/?om55gf8ySb52;q/9kl513c8 6dd2:3y'1cd=km1/?om55gf8ySb52;q/9kl5cb9'7ge==on0q)887;08yS7dl38pZi?52z&6bg<3;pZ?6n:3yU`4<5s-?mn7<71:&0ff<2nm1v(;98:e9~yx=n:>81<7*=908137=Q:091>vB=9482S7e838p(f2;q]h<4={%7ef?40:2.8nn4:fe9~ 3102m1vqp5`1b594?"5180:o:54}c674?6=;3:144e3_;9?74=#=oi1=6sr}|9j57e=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?m4V000>7}#=on1=6*:fb82?x{zu2e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`704<7280;6=u+28396<><@;2>7b?l7;29 7?628i<76sm45194?3=83:p(?7>:7`8L7>23`;9n7>5$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6:k1]=?=52z&6ba:00b?M4>82\95>4>{M0:1?7|^m91>v*>cd826f=Q9;91>v*:fe8`?!3ak3i0qpsr;h303?6=,;3:6<62281!3al3i0(8hl:b9~yx{96=4+283957g<^;386jn4>;|~y>{e<=>1<7;50;2x 7?62?h0D?6:;h31f?6=,;3:6<P51:0:wA<65;3xRa5=:r.:oh4>2c9U575=:r.>ji4l;%7eg?en5Y13196~"2nm0h7);ic;a8yx{z3`;8;7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;303>P6::09w);id;a8 0`d2j1vqps4i061>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb28>97[?=3;0x 0`c2j1/9km5c:~yx=h9j=1<7*=9082g2=Q:091=vB=9482Sb42;q/=nk51b58R4442;q/9kj51:&6bf<63twvq6sm45094?5=83:p(?7>:0a5?M4?=2c:>o4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826g=Q9;91>v*:fe82?!3ak3;0qpsr;h31g?6=,;3:6<62281!3al3;0(8hl:09~yx{5<5290;w)<61;`b?M4?=2c:>l4?:%0:5?75i21d=n950;&1=4<6k>1]>4=51zN1=0<6s_n86?u+1bg95f1<^8886?u+5gf95>"2nj0:7psr}:a23c=83<1<7>t$3;2>44?3A8396*>4c824>o6:h0;6)<61;31e>P51:0:wA<65;3xR4d72;q/=nk513c8R4442;q/9kj51:&6bf<63twvq6glc;29 7?62ji0Z?7<:0yO6<3=9r\:n=4={%3`a?ed3_;9?74=#=oi1=6sr}|9jga<72-82=7mk;W0:7?4|D;3>62281!3al390(8hl:29~yxJ51=0?w[<72;1xRa7=:r.>jo4ld:&0ff<2nm1vZi<52z&6bg<6:h1/?om55gf8y!00?330q[<72;1xRa7=:r.>jo4ld:&0ff<2nm1vZi<52z&6bg<6:h1/?om55ga8y!00?330q[<72;1xRa7=:r.>jo4ld:&0ff<2nm1vZi<52z&6bgo5080;6)<61;0;5>P51:09wA<65;3xR4d72;q/=nk52938R4442;q/9kj5d:&6bf7>63-9io7;id:'221=l2wvq6g=7383>!4>938<>6X=9281I4>=3;pZ80Z<<<:3y'1cb=l2.>jn4k;|~H7?328q]>5o52zTg5?4|,i6k>0;6)<61;3`3>=zj?<86=4<:183!4>9383i6F=849j57d=83.95<4>2`9K6<6<^;386l5Y28195~J51<0:w[j<:3y'5fc=9;i0Z<<<:3y'1cb=92.>jn4>;|~y>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4>;%7eg?74<729q/>4?528:8L7>23f;h;7>5$3;2>4e032wi:;850;194?6|,;3:6;l4H3:6?l75j3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=b:T266<5s-?mh7?4$4d`>4=zutw0e<44d3_;9?74=#=oi1=6sr}|9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on1=6*:fb82?x{zu2wi:;950;194?6|,;3:6;l4H3:6?l75j3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=b:T266<5s-?mh7?4$4d`>4=zutw0e<44d3_;9?74=#=oi1=6sr}|9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on1=6*:fb82?x{zu2wi:;650;694?6|,;3:6;l4H3:6?l75j3:1(?7>:00b?M4>82\95>4>{M0:1?7|^m91>v*>cd826g=Q9;91>v*:fe8`?!3ak3i0qpsr;h31g?6=,;3:6<P51:0:wA<65;3xRa5=:r.:oh4>2b9U575=:r.>ji4l;%7eg?e5$3;2>4e03_82?7?tL3;6>4}Ql:09w)?le;3`3>P6::09w);id;38 0`d281vqps4}c45=?6==3:1N50<1b=?l50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957d<^8886?u+5gf92>"2nj0=7psr}:k26f<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:>n5Y13196~"2nm0h7);ic;a8yx{z3`;8;7>5$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6;>1]=?=52z&6ba:00b?M4>82\95>4>{M0:1?7|^m91>v*>cd8207=Q9;91>v*:fe8`?!3ak3i0qpsr;n3`3?6=,;3:662281!3al3;0(8hl:09~yx{55;294~"5180=n6F=849j57d=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?l4V000>7}#=on1:6*:fb85?x{zu2c:>n4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826f=Q9;91>v*:fe8`?!3ak3i0qpsr;h303?6=,;3:6<P51:0:wA<65;3xRa5=:r.:oh4>369U575=:r.>ji4l;%7eg?e5$3;2>4e03_82?7?tL3;6>4}Ql:09w)?le;3`3>P6::09w);id;38 0`d281vqps4}c45f?6==3:1N50<1b=?l50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957d<^8886?u+5gf9g>"2nj0h7psr}:k26f<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:>n5Y13196~"2nm0h7);ic;a8yx{z3`;8;7>5$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6;>1]=?=52z&6ba:00b?M4>82\95>4>{M0:1?7|^m91>v*>cd8207=Q9;91>v*:fe8`?!3ak3i0qpsr;n3`3?6=,;3:662281!3al3;0(8hl:09~yx{55;294~"5180=n6F=849j57d=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?l4V000>7}#=on1o6*:fb8`?x{zu2c:>n4?:%0:5?75i2B95=5Y28195~J51<0:w[j<:3y'5fc=9;i0Z<<<:3y'1cb=k2.>jn4l;|~y>o6;>0;6)<61;31e>N5191]>4=51zN1=0<6s_n86?u+1bg9561<^8886?u+5gf9g>"2nj0h7psr}:k207<72-82=7?=a:J1=5=Q:091=vB=9482Sb42;q/=nk51508R4442;q/9kj5c:&6bfc683>!4>93;h;6X=9282I4>=3;pZi=52z&2g`<6k>1]=?=52z&6ba<63-?mo7?4}|~?xd1><0;654?:1y'6<7=9j<0D?6:;h31f?6=,;3:6<62281!3al3;0(8hl:09~yx{l5Y28195~J51<0:w[j<:3y'5fc=9:=0Z<<<:3y'1cb=92.>jn4>;|~y>o6<;0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>439U575=:r.>ji4>;%7eg?74={%3`a?73=2\:>>4={%7e`?7<,4?513c8R7?428qG>4;51zTg7?4|,8in6<:9;W317?4|,{zut1b=9950;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg9511<^8886?u+5gf95>"2nj0:7psr}:m2g2<72-82=7?l7:T1=6<6sE8297?tVe196~"6kl0:o:5Y13196~"2nm0:7);ic;38yx{z3th8i;4?:383>5}#:0;1nl5G2978m44f290/>4?513c8?j7d?3:1(?7>:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qo=jf;292?6=8r.95<4>299K6=3<,8>i6k5f13c94?"5180:>l5Y28195~J51<0:w[?m0;0x 4eb288j7[?=3;0x 0`c281/9km51:~yx=nkj0;6)<61;a`?S4>;3;p@?7::0yU5g6=:r.:oh4lc:T266<5s-?mh7?4$4d`>4=zutw0enj50;&1=44={M0:1?7|^8h;6?u+1bg9ga=Q9;91>v*:fe80?!3ak390qpsC28690~P50;08w[j>:3y'1cd=km1/?om55gf8ySb52;q/9kl513c8 6dd2:3y'1cd=km1/?om55gf8ySb52;q/9kl513c8 6dd2:3y'1cd=km1/?om55gf8ySb52;q/9kl5cb9'7ge==on0q)887;08yS7dl38pZi?52z&6bg<3;pZ?6n:3yU`4<5s-?mn7<71:&0ff<2nm1v(;98:e9~yx=n:>81<7*=908137=Q:091>vB=9482S7e838p(f2;q]h<4={%7ef?40:2.8nn4:fe9~ 3102m1vqp5`1b594?"5180:o:54}c1f3?6=;3:162281!3al3;0(8hl:09~yx{jn4>;|~y>{e;l21<7?50;2x 7?62;337E<75:m2g2<72-82=7?l7:9~f6cf29086=4?{%0:5?0e3A8396g>2c83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:k1]=?=52z&6ba:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=c:T266<5s-?mh7m4$4d`>f=zutw0c4e03_;9?74=#=oi1=6sr}|9~f6ce29086=4?{%0:5?0e3A8396g>2c83>!4>93;9m6F=919U6<5=9rF9584>{Wf0>7}#9jo1=?l4V000>7}#=on1o6*:fb8`?x{zu2c:>n4?:%0:5?75i2B95=5Y28195~J51<0:w[j<:3y'5fc=9;i0Z<<<:3y'1cb=k2.>jn4l;|~y>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4>;%7eg?76<729q/>4?56c9K6=34={%3`a?75j2\:>>4={%7e`?0<,4?513c8R7?428qG>4;51zTg7?4|,8in6<{zut1d=n950;&1=4<6k>1]>4=51zN1=0<6s_n86?u+1bg95f1<^8886?u+5gf95>"2nj0:7psr}:a7`b=83>1<7>t$3;2>3d<@;2>7d?=b;29 7?6288j7[<63;3xH7?228q]h>4={%3`a?75j2\:>>4={%7e`?e<,4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;31g>P6::09w);id;a8 0`d2j1vqps4i014>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb289<7[?=3;0x 0`c2j1/9km5c:~yx=h9j=1<7*=9082g2=Q:091=vB=9482Sb42;q/=nk51b58R4442;q/9kj51:&6bf<63twvq6sm3d;94?3=83:p(?7>:0a5?M4?=2c:>o4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826g=Q9;91>v*:fe82?!3ak3;0qpsr;h31g?6=,;3:6<62281!3al3;0(8hl:09~yx{l5Y28195~J51<0:w[j<:3y'5fc=9=80Z<<<:3y'1cb=92.>jn4>;|~y>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4>;%7eg?77<729q/>4?5b`9K6=35$3;2>4e03_82?7?tL3;6>4}Ql:09w)?le;3`3>P6::09w);id;38 0`d281vqps4}c630?6=;3:144e3_;9?74=#=oi1=6sr}|9j57e=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?m4V000>7}#=on1m6*:fb8b?x{zu2e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`746<72?0;6=u+283957><@;2>7)?;b;d8m44f290/>4?513c8R7?428qG>4;51zT2f5<5s-;hi7?=a:T266<5s-?mh7?4$4d`>4=zutw0enm50;&1=44>{M0:1?7|^8h;6?u+1bg9gf=Q9;91>v*:fe82?!3ak3;0qpsr;hag>5<#:0;1oi5Y28196~J51<0:w[?m0;0x 4eb2jn0Z<<<:3y'1cb=;2.>jn4<;|~H7?32=q]>5<53zTg5?4|,0`c3t\o>744f3-9io7;id:'221=12w]>5<53zTg5?4|,0`c3t\o>744f3-9io7;ic:'221=12w]>5<53zTg5?4|,0`c3t\o>7fe<,:hh68hk;|&532<53t\:oi4={Wf2>7}#=oh1oi5+3ca91cb6290/>4?52938R7?42;qG>4;51zT2f5<5s-;hi7<71:T266<5s-?mh7j4$4d`>a=zutF9594>{W0;e?4|^m;1>v*:fc81<4=#;ki19kj4}%443?b80Z?7<:3yO6<3=9r\:n=4={%3`a?40:2\:>>4={%7e`?b<,4?51b58?xd4nk0;6>4?:1y'6<7=:1o0D?6:;h31f?6=,;3:6<62281!3al3;0(8hl:09~yx{jn4>;|~y>{e;oi1<7?50;2x 7?62;337E<75:m2g2<72-82=7?l7:9~f6`b290?6=4?{%0:5?0e3A8396g>2c83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:k1]=?=52z&6ba:00b?M4>82\95>4>{M0:1?7|^m91>v*>cd826f=Q9;91>v*:fe85?!3ak3<0qpsr;h303?6=,;3:6<62281!3al3i0(8hl:b9~yx{5<2290;w)<61;4a?M4?=2c:>o4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826g=Q9;91>v*:fe8`?!3ak3i0qpsr;h31g?6=,;3:6<62281!3al3i0(8hl:b9~yx{l5Y28195~J51<0:w[j<:3y'5fc=9=80Z<<<:3y'1cb=>2.>jn49;|~y>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4>;%7eg?70<729q/>4?56c9K6=3l5Y28195~J51<0:w[j<:3y'5fc=9;i0Z<<<:3y'1cb=k2.>jn4l;|~y>o6;>0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>369U575=:r.>ji4l;%7eg?e5$3;2>4e03_82?7?tL3;6>4}Ql:09w)?le;3`3>P6::09w);id;38 0`d281vqps4}c635?6==3:1N50<1b=?l50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957d<^8886?u+5gf9g>"2nj0h7psr}:k26f<72-82=7?=a:J1=5=Q:091=vB=9482Sb42;q/=nk513a8R4442;q/9kj5c:&6bf3683>!4>93;9m6F=919U6<5=9rF9584>{Wf0>7}#9jo1=>94V000>7}#=on1o6*:fb8`?x{zu2c:8?4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8207=Q9;91>v*:fe8`?!3ak3i0qpsr;n3`3?6=,;3:662281!3al3;0(8hl:09~yx{55;294~"5180:o;5G2978m44e290/>4?513c8R7?428qG>4;51zTg7?4|,8in6<{zut1b=?m50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957e<^8886?u+5gf95>"2nj0:7psr}:k272<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:?:5Y13196~"2nm0:7);ic;38yx{z3`;?>7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;376>P6::09w);id;38 0`d281vqps4o0a4>5<#:0;1=n94V3;0>4}K:0?1=vXk3;0x 4eb28i<7[?=3;0x 0`c281/9km51:~yx=zj;k>6=4=:183!4>93hj7E<75:k26d<72-82=7?=a:9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on1=6*:fb82?x{zu2wi>ll50;194?6|,;3:6?6j;I0;1>o6:k0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2c9U575=:r.>ji4>;%7eg?74={%3`a?75k2\:>>4={%7e`?g<,4?51b58R7?428qG>4;51zTg7?4|,8in6{zut1vn?on:185>5<7s-82=7?=8:J1<0=#9=h1j6g>2`83>!4>93;9m6X=9282I4>=3;pZjn4>;|~y>odk3:1(?7>:ba8R7?428qG>4;51zT2f5<5s-;hi7ml;W317?4|,{zut1boi4?:%0:5?ec3_82?74}Q9k:1>v*>cd8``>P6::09w);id;18 0`d2:1vqpB=9587S4?:39pZi?52z&6bgjo4>2`9'7ge==on0q)887;;8yS4?:39pZi?52z&6bgjo4>2`9'7ge==oi0q)887;;8yS4?:39pZi?52z&6bgjo4lc:&0ff<2nm1v(;98:39~R4ec2;q]h<4={%7ef?ec3-9io7;id:'221=m2wvq6g=8083>!4>9383=6X=9281I4>=3;pZjn4k;|~H7?328q]>5o52zTg5?4|,;%1ag?3al2w/::95d:~y>o5?;0;6)<61;046>P51:09wA<65;3xR4d72;q/=nk52608R4442;q/9kj5d:&6bf7153-9io7;id:'221=l2wvq6a>c683>!4>93;h;65rb3c5>5<4290;w)<61;0;a>N50<1b=?l50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957d<^8886?u+5gf95>"2nj0:7psr}:k26f<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:>n5Y13196~"2nm0:7);ic;38yx{z3f;h;7>5$3;2>4e03_82?7?tL3;6>4}Ql:09w)?le;3`3>P6::09w);id;38 0`d281vqps4}c0b3?6=93:1=<729q/>4?56c9K6=34={%3`a?75j2\:>>4={%7e`?e<,4?513c8R7?428qG>4;51zTg7?4|,8in6<{zut1b=>950;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg9561<^8886?u+5gf9g>"2nj0h7psr}:k207<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:8?5Y13196~"2nm0h7);ic;a8yx{z3`;?97>5$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6<<1]=?=52z&6ba3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?;6:T266<5s-?mh7m4$4d`>f=zutw0e<:8:18'6<7=9;k0Z?7<:0yO6<3=9r\o?74203_;9?7f=#=oi1o6sr}|9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on1=6*:fb82?x{zu2wi>lm50;094?6|,;3:6oo4H3:6?l75i3:1(?7>:00b?>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4>;%7eg?76<729q/>4?529g8L7>23`;9n7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;31f>P6::09w);id;38 0`d281vqps4i00`>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb288h7[?=3;0x 0`c2h1/9km5a:~yx=h9j=1<7*=9082g2=Q:091=vB=9482Sb42;q/=nk51b58R4442;q/9kj51:&6bf<63twvq6sm2c194?0=83:p(?7>:00;?M4?=2.:8o4i;h31e?6=,;3:6<6l5Y13196~"2nm0:7);ic;38yx{z3`ih6=4+2839gf=Q:091=vB=9482S7e838p(6=#=oi1?6sr}M0:0?2|^;296>uYd081!3aj3io7)=mc;7e`>{Ql;09w);ib;31e>"4jj0>ji5r$754><=z^;296>uYd081!3aj3io7)=mc;7e`>{Ql;09w);ib;31e>"4jj0>jn5r$754><=z^;296>uYd081!3aj3io7)=mc;7e`>{Ql;09w);ib;a`?!5ek3?mh6s+66596>{Q9jn1>vXk1;0x 0`e2jn0(>ll:4dg?x"1?>0n7psr;h0;5?6=,;3:6?6>;W0:7?4|D;3>61=vX=8`81Sb62;q/9kl52938 6dd27>5$3;2>7153_82?74}Q9k:1>v*>cd8137=Q9;91>v*:fe8g?!3ak3n0qpsC28695~P50h09w[j>:3y'1cd=:>80(>ll:4dg?x"1?>0o7psr;n3`3?6=,;3:6t$3;2>7>b3A8396g>2c83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:k1]=?=52z&6ba<63-?mo7?4}|~?l75k3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=c:T266<5s-?mh7?4$4d`>4=zutw0c4e03_;9?74=#=oi1=6sr}|9~f7gb290:6=4?{%0:5?4>02B9485`1b594?"5180:o:54}c0a4?6=?3:1N50<1b=?l50;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb288i7[?=3;0x 0`c2j1/9km5c:~yx=n9;i1<7*=90826d=Q:091=vB=9482Sb42;q/=nk513a8R4442;q/9kj5c:&6bf3683>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6;>1]=?=52z&6ba:00b?S4>;3;p@?7::0yU`6<5s-;hi7?;2:T266<5s-?mh7m4$4d`>f=zutw0e<:::18'6<7=9;k0Z?7<:0yO6<3=9r\o?74223_;9?7f=#=oi1o6sr}|9j510=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=984V000>7}#=on1o6*:fb8`?x{zu2e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`1f4<72>0;6=u+28392g=O:1?0e<62281!3al3i0(8hl:b9~yx{l5Y28195~J51<0:w[j<:3y'5fc=9:=0Z<<<:3y'1cb=k2.>jn4l;|~y>o6<;0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>439U575=:r.>ji4l;%7eg?e5$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qoc79K6=3l5Y28195~J51<0:w[j<:3y'5fc=9;i0Z<<<:3y'1cb=92.>jn4>;|~y>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4>;%7eg?77<729q/>4?5b`9K6=35$3;2>4e03_82?7?tL3;6>4}Ql:09w)?le;3`3>P6::09w);id;38 0`d281vqps4}c470?6=>3:12`9U6<5=9rF9584>{W3a4?4|,8in6<{zut1bon4?:%0:5?ed3_82?7?tL3;6>4}Q9k:1>v*>cd8`g>P6::09w);id;38 0`d281vqps4ibf94?"5180hh6X=9281I4>=3;pZ7}#=oh1oi5+3ca91cb3t\94?4<{Wf2>7}#=oh1oi5+3ca91cb3t\94?4<{Wf2>7}#=oh1oi5+3ca91cb{W3a4?4|,8in6?6>;W317?4|,{zuE8287?tV3:b>7}Ql809w);ib;0;5>"4jj0>ji5r$754>a=zut1b>:<50;&1=4<5?;1]>4=52zN1=0<6s_;i<77153_;9?7a=#=oi1h6sr}M0:0?7|^;2j6?uYd081!3aj38<>6*c698yg0383:1?7>50z&1=4<50l1C>5;4i00a>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb288i7[?=3;0x 0`c281/9km51:~yx=n9;i1<7*=90826d=Q:091=vB=9482Sb42;q/=nk513a8R4442;q/9kj51:&6bf<63twvq6a>c683>!4>93;h;6X=9282I4>=3;pZi=52z&2g`<6k>1]=?=52z&6ba<63-?mo7?4}|~?xd1<80;6<4?:1y'6<7=:020D?6:;n3`3?6=,;3:6t$3;2>3d<@;2>7d?=b;29 7?6288j7[<63;3xH7?228q]h>4={%3`a?75j2\:>>4={%7e`?e<,4?513c8R7?428qG>4;51zTg7?4|,8in6<{zut1d=n950;&1=4<6k>1]>4=51zN1=0<6s_n86?u+1bg95f1<^8886?u+5gf95>"2nj0:7psr}:a25b=8381<7>t$3;2>gg<@;2>7d?=a;29 7?6288j76a>c683>!4>93;h;6X=9282I4>=3;pZi=52z&2g`<6k>1]=?=52z&6ba<63-?mo7?4}|~?xd1910;6;4?:1y'6<7=9;20D?6:;%37f?`P6::09w);id;38 0`d281vqps4iba94?"5180ho6X=9282I4>=3;pZ4?5ce9U6<5=:rF9584>{W3a4?4|,8in6nj4V000>7}#=on1?6*:fb80?x{zD;3?69uY29097~Pc938p(8hm:bf8 6dd2{#>>=156sY29097~Pc938p(8hm:bf8 6dd2{#>>=156sY29097~Pc938p(8hm:bf8 6dd2ll:4dg?x"1?>097pX>ce81Sb62;q/9kl5ce9'7ge==on0q)887;g8yx{P6::09w);id;f8 0`d2m1vqpB=9582S4?i38pZi?52z&6bg<5081/?om55gf8y!00?3n0qps4i351>5<#:0;1>:<4V3;0>7}K:0?1=vX>b181!7dm38<>6X>2281!3al3n0(8hl:e9~yxJ51=0:w[<7a;0xRa7=:r.>jo4=739'7ge==on0q)887;f8yx{5}#:0;1>5k4H3:6?l75j3:1(?7>:00b?M4>82\95>4>{M0:1?7|^m91>v*>cd826g=Q9;91>v*:fe82?!3ak3;0qpsr;h31g?6=,;3:6<62281!3al3;0(8hl:09~yx{5<6290;w)<61;0:<>N50<1d=n950;&1=4<6k>10qo8>1;297?6=8r.95<49b:J1<0=n9;h1<7*=90826d=Q:091=vB=9482Sb42;q/=nk513`8R4442;q/9kj51:&6bf<63twvq6g>2b83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:j1]=?=52z&6ba<63-?mo7?4}|~?j7d?3:1(?7>:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qo8>2;290?6=8r.95<49b:J1<0=n9;h1<7*=90826d=O:0:0Z?7<:0yO6<3=9r\o?744e3_;9?7f=#=oi1o6sr}|9j57e=83.95<4>2`9K6<6<^;386l5G2828R7?428qG>4;51zTg7?4|,8in6<=8;W317?4|,{zut1d=n950;&1=4<6k>1]>4=51zN1=0<6s_n86?u+1bg95f1<^8886?u+5gf95>"2nj0:7psr}:a245=83?1<7>t$3;2>3d<@;2>7d?=b;29 7?6288j7[<63;3xH7?228q]h>4={%3`a?75j2\:>>4={%7e`?0<,4?513c8R7?428qG>4;51zTg7?4|,8in6<{zut1b=>950;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb289<7[?=3;0x 0`c2j1/9km5c:~yx=n9=81<7*=90826d=O:0:0Z?7<:0yO6<3=9r\o?74253_;9?7f=#=oi1o6sr}|9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on1=6*:fb82?x{zu2wi:<:50;794?6|,;3:6;l4H3:6?l75j3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=b:T266<5s-?mh784$4d`>3=zutw0e<44d3_;9?7f=#=oi1o6sr}|9j561=83.95<4>2`9K6<6<^;386l5G2828R7?428qG>4;51zTg7?4|,8in6<:=;W317?4|,{zut1d=n950;&1=4<6k>1]>4=51zN1=0<6s_n86?u+1bg95f1<^8886?u+5gf95>"2nj0:7psr}:a243=83?1<7>t$3;2>3d<@;2>7d?=b;29 7?6288j7[<63;3xH7?228q]h>4={%3`a?75j2\:>>4={%7e`?e<,4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;31g>P6::09w);id;a8 0`d2j1vqps4i014>5<#:0;1=?o4H3;3?S4>;3;p@?7::0yU`6<5s-;hi7?<7:T266<5s-?mh7m4$4d`>f=zutw0e<:=:18'6<7=9;k0D?7?;W0:7?7|D;3>66X>2281!3al3i0(8hl:b9~yx{5<2290;w)<61;4a?M4?=2c:>o4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826g=Q9;91>v*:fe8`?!3ak3i0qpsr;h31g?6=,;3:6<P51:0:wA<65;3xRa5=:r.:oh4>2b9U575=:r.>ji4l;%7eg?e7>5$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6<;1]=?=52z&6ba:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qo8>0;293?6=8r.95<4>c79K6=3l5Y28195~J51<0:w[j<:3y'5fc=9;i0Z<<<:3y'1cb=92.>jn4>;|~y>o6;>0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>369U575=:r.>ji4>;%7eg?74={%3`a?73:2\:>>4={%7e`?7<,4?513c8R7?428qG>4;51zTg7?4|,8in6<::;W317?4|,{zut1b=9850;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg9510<^8886?u+5gf95>"2nj0:7psr}:m2g2<72-82=7?l7:T1=6<6sE8297?tVe196~"6kl0:o:5Y13196~"2nm0:7);ic;38yx{z3th=>i4?:383>5}#:0;1nl5G2978m44f290/>4?513c8?j7d?3:1(?7>:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qo8<2;292?6=8r.95<4>299K6=3<,8>i6k5f13c94?"5180:>l5Y28195~J51<0:w[?m0;0x 4eb288j7[?=3;0x 0`c281/9km51:~yx=nkj0;6)<61;a`?S4>;3;p@?7::0yU5g6=:r.:oh4lc:T266<5s-?mh7?4$4d`>4=zutw0enj50;&1=44={M0:1?7|^8h;6?u+1bg9ga=Q9;91>v*:fe80?!3ak390qpsC28690~P50;08w[j>:3y'1cd=km1/?om55gf8ySb52;q/9kl513c8 6dd2:3y'1cd=km1/?om55gf8ySb52;q/9kl513c8 6dd2:3y'1cd=km1/?om55gf8ySb52;q/9kl5cb9'7ge==on0q)887;08yS7dl38pZi?52z&6bg<3;pZ?6n:3yU`4<5s-?mn7<71:&0ff<2nm1v(;98:e9~yx=n:>81<7*=908137=Q:091>vB=9482S7e838p(f2;q]h<4={%7ef?40:2.8nn4:fe9~ 3102m1vqp5`1b594?"5180:o:54}c41a?6=;3:144e3_;9?74=#=oi1=6sr}|9j57e=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?m4V000>7}#=on1=6*:fb82?x{zu2e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`56c<7280;6=u+28396<><@;2>7b?l7;29 7?628i<76sm62294?5=83:p(?7>:7`8L7>23`;9n7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;31f>P6::09w);id;a8 0`d2j1vqps4i00`>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb288h7[?=3;0x 0`c2j1/9km5c:~yx=h9j=1<7*=9082g2=Q:091=vB=9482Sb42;q/=nk51b58R4442;q/9kj51:&6bf<63twvq6sm15a94?4=83:p(?7>:cc8L7>23`;9m7>5$3;2>44f32e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`212<72?0;6=u+283957><@;2>7)?;b;d8m44f290/>4?513c8R7?428qG>4;51zT2f5<5s-;hi7?=a:T266<5s-?mh7?4$4d`>4=zutw0enm50;&1=44>{M0:1?7|^8h;6?u+1bg9gf=Q9;91>v*:fe82?!3ak3;0qpsr;hag>5<#:0;1oi5Y28196~J51<0:w[?m0;0x 4eb2jn0Z<<<:3y'1cb=;2.>jn4<;|~H7?32=q]>5<53zTg5?4|,0`c3t\o>744f3-9io7;id:'221=12w]>5<53zTg5?4|,0`c3t\o>744f3-9io7;ic:'221=12w]>5<53zTg5?4|,0`c3t\o>7fe<,:hh68hk;|&532<53t\:oi4={Wf2>7}#=oh1oi5+3ca91cb6290/>4?52938R7?42;qG>4;51zT2f5<5s-;hi7<71:T266<5s-?mh7j4$4d`>a=zutF9594>{W0;e?4|^m;1>v*:fc81<4=#;ki19kj4}%443?b80Z?7<:3yO6<3=9r\:n=4={%3`a?40:2\:>>4={%7e`?b<,4?51b58?xd64?:1y'6<7=:1o0D?6:;h31f?6=,;3:6<62281!3al3;0(8hl:09~yx{jn4>;|~y>{e9=o1<7?50;2x 7?62;337E<75:m2g2<72-82=7?l7:9~f43729086=4?{%0:5?0e3A8396g>2c83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:k1]=?=52z&6ba:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=c:T266<5s-?mh7m4$4d`>f=zutw0c4e03_;9?74=#=oi1=6sr}|9~f43629086=4?{%0:5?0e3A8396g>2c83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:k1]=?=52z&6ba:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=c:T266<5s-?mh7m4$4d`>f=zutw0c4e03_;9?74=#=oi1=6sr}|9~f43529086=4?{%0:5?0e3A8396g>2c83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:k1]=?=52z&6ba:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=c:T266<5s-?mh7m4$4d`>f=zutw0c4e03_;9?74=#=oi1=6sr}|9~f43429086=4?{%0:5?0e3A8396g>2c83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:k1]=?=52z&6ba<63-?mo7?4}|~?l75k3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=c:T266<5s-?mh7?4$4d`>4=zutw0c4e03_;9?74=#=oi1=6sr}|9~f43329086=4?{%0:5?0e3A8396g>2c83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:k1]=?=52z&6ba:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=c:T266<5s-?mh7m4$4d`>f=zutw0c4e03_;9?74=#=oi1=6sr}|9~f432290?6=4?{%0:5?0e3A8396g>2c83>!4>93;9m6F=919U6<5=9rF9584>{Wf0>7}#9jo1=?l4V000>7}#=on1o6*:fb8`?x{zu2c:>n4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826f=Q9;91>v*:fe8`?!3ak3i0qpsr;h303?6=,;3:6<62281!3al3i0(8hl:b9~yx{5<0290;w)<61;3`2>N50<1b=?l50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957d<^8886?u+5gf95>"2nj0:7psr}:k26f<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:>n5Y13196~"2nm0:7);ic;38yx{z3`;8;7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;303>P6::09w);id;38 0`d281vqps4i061>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb28>97[?=3;0x 0`c281/9km51:~yx=n9=?1<7*=90826d=Q:091=vB=9482Sb42;q/=nk51578R4442;q/9kj51:&6bf<63twvq6g>4783>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qo=84;296?6=8r.95<4ma:J1<0=n9;k1<7*=90826d=5<5290;w)<61;`b?M4?=2c:>l4?:%0:5?75i21d=n950;&1=4<6k>1]>4=51zN1=0<6s_n86?u+1bg95f1<^8886?u+5gf95>"2nj0:7psr}:a72c=8391<7>t$3;2>7>b3A8396g>2c83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:k1]=?=52z&6ba<63-?mo7?4}|~?l75k3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=c:T266<5s-?mh7o4$4d`>d=zutw0c4e03_;9?74=#=oi1=6sr}|9~f61c290=6=4?{%0:5?7502B9485+15`9b>o6:h0;6)<61;31e>P51:0:wA<65;3xR4d72;q/=nk513c8R4442;q/9kj51:&6bf<63twvq6glc;29 7?62ji0Z?7<:0yO6<3=9r\:n=4={%3`a?ed3_;9?74=#=oi1=6sr}|9jga<72-82=7mk;W0:7?4|D;3>62281!3al390(8hl:29~yxJ51=0?w[<72;1xRa7=:r.>jo4ld:&0ff<2nm1vZi<52z&6bg<6:h1/?om55gf8y!00?330q[<72;1xRa7=:r.>jo4ld:&0ff<2nm1vZi<52z&6bg<6:h1/?om55ga8y!00?330q[<72;1xRa7=:r.>jo4ld:&0ff<2nm1vZi<52z&6bgo5080;6)<61;0;5>P51:09wA<65;3xR4d72;q/=nk52938R4442;q/9kj5d:&6bf7>63-9io7;id:'221=l2wvq6g=7383>!4>938<>6X=9281I4>=3;pZ80Z<<<:3y'1cb=l2.>jn4k;|~H7?328q]>5o52zTg5?4|,i6k>0;6)<61;3`3>=zj:=>6=4<:183!4>9383i6F=849j57d=83.95<4>2`9K6<6<^;386l5Y28195~J51<0:w[j<:3y'5fc=9;i0Z<<<:3y'1cb=92.>jn4>;|~y>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4>;%7eg?74<729q/>4?528:8L7>23f;h;7>5$3;2>4e032wi?:950;694?6|,;3:6;l4H3:6?l75j3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=b:T266<5s-?mh784$4d`>3=zutw0e<62281!3al3i0(8hl:b9~yx{4={%3`a?74?2\:>>4={%7e`?e<,4?51b58R7?428qG>4;51zTg7?4|,8in6{zut1vn>96:180>5<7s-82=78m;I0;1>o6:k0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2c9U575=:r.>ji48;%7eg?1n5Y13196~"2nm0o7);ic;f8yx{z3f;h;7>5$3;2>4e03_82?7?tL3;6>4}Ql:09w)?le;3`3>P6::09w);id;38 0`d281vqps4}c14e?6=;3:1N50<1b=?l50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957d<^8886?u+5gf9`>"2nj0o7psr}:k26f<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:>n5Y13196~"2nm0<7);ic;58yx{z3f;h;7>5$3;2>4e03_82?7?tL3;6>4}Ql:09w)?le;3`3>P6::09w);id;38 0`d281vqps4}c14f?6=;3:144e3_;9?74=#=oi1=6sr}|9j57e=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?m4V000>7}#=on1=6*:fb82?x{zu2e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`75f<72;0;6=u+2839fd=O:1?0e<4={%3`a?7d?2\:>>4={%7e`?7<,50z&1=4<6:11C>5;4$06a>c=n9;k1<7*=90826d=Q:091=vB=9482S7e838p(!4>93ih7[<63;3xH7?228q]=o>52z&2g`>4={%7e`?7<,7}#9jo1oi5Y13196~"2nm087);ic;18yx{K:0>18vX=8380Sb62;q/9kl5ce9'7ge==on0q[j=:3y'1cd=9;k0(>ll:4dg?x"1?>027pX=8380Sb62;q/9kl5ce9'7ge==on0q[j=:3y'1cd=9;k0(>ll:4d`?x"1?>027pX=8380Sb62;q/9kl5ce9'7ge==on0q[j=:3y'1cd=kj1/?om55gf8y!00?380q[?ld;0xRa7=:r.>jo4ld:&0ff<2nm1v(;98:d9~yx=n:1;1<7*=9081<4=Q:091>vB=9482S7e838p(f2;q]h<4={%7ef?4?92.8nn4:fe9~ 3102m1vqp5f26094?"51809;?5Y28196~J51<0:w[?m0;0x 4eb2;=97[?=3;0x 0`c2m1/9km5d:~yI4><3;pZ?6n:3yU`4<5s-?mn7<82:&0ff<2nm1v(;98:e9~yx=h9j=1<7*=9082g2=:h7>53;294~"518094h5G2978m44e290/>4?513c8R7?428qG>4;51zTg7?4|,8in6<{zut1b=?m50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957e<^8886?u+5gf95>"2nj0:7psr}:m2g2<72-82=7?l7:T1=6<6sE8297?tVe196~"6kl0:o:5Y13196~"2nm0:7);ic;38yx{z3th?=h4?:083>5}#:0;1>464H3:6?j7d?3:1(?7>:0a4?>{e<8l1<7=50;2x 7?62?h0D?6:;h31f?6=,;3:6<62281!3al3i0(8hl:b9~yx{jn4>;|~y>{e<;81<7<50;2x 7?62kk0D?6:;h31e?6=,;3:6<44?:783>5}#:0;1=?64H3:6?!73j3l0e<>4={%7e`?7<,7}#9jo1on5Y13196~"2nm0:7);ic;38yx{z3`io6=4+2839ga=Q:091>vB=9482S7e838p(fb<,:hh68hk;|Tg6?4|,fb<,:hh68hk;|Tg6?4|,fb<,:hh68hk;|Tg6?4|,0`c3t.=;:4=;|T2ga<5s_n:6?u+5g`9ga=#;ki19kj4}%443?c:18'6<7=:1;0Z?7<:3yO6<3=9r\:n=4={%3`a?4?92\:>>4={%7e`?b<,4?52608R7?42;qG>4;51zT2f5<5s-;hi7<82:T266<5s-?mh7j4$4d`>a=zutF9594>{W0;e?4|^m;1>v*:fc8137=#;ki19kj4}%443?b6<729q/>4?529g8L7>23`;9n7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;31f>P6::09w);id;38 0`d281vqps4i00`>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb288h7[?=3;0x 0`c281/9km51:~yx=h9j=1<7*=9082g2=Q:091=vB=9482Sb42;q/=nk51b58R4442;q/9kj51:&6bf<63twvq6sm43694?7=83:p(?7>:3;;?M4?=2e:o:4?:%0:5?7d?21vn9<9:187>5<7s-82=78m;I0;1>o6:k0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2c9U575=:r.>ji4l;%7eg?en5Y13196~"2nm0h7);ic;a8yx{z3`;8;7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;303>P6::09w);id;a8 0`d2j1vqps4o0a4>5<#:0;1=n94V3;0>4}K:0?1=vXk3;0x 4eb28i<7[?=3;0x 0`c281/9km51:~yx=zj=8<6=4;:183!4>93o5Y13196~"2nm0h7);ic;a8yx{z3`;9o7>5$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6:j1]=?=52z&6ba:00b?S4>;3;p@?7::0yU`6<5s-;hi7?<7:T266<5s-?mh7m4$4d`>f=zutw0c4e03_;9?74=#=oi1=6sr}|9~f14229086=4?{%0:5?7d>2B9485f13`94?"5180:>l5Y28195~J51<0:w[j<:3y'5fc=9;h0Z<<<:3y'1cb=92.>jn4>;|~y>o6:j0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2b9U575=:r.>ji4>;%7eg?74={%3`a?7d?2\:>>4={%7e`?7<,7>50z&1=4l54o0a4>5<#:0;1=n94V3;0>4}K:0?1=vXk3;0x 4eb28i<7[?=3;0x 0`c281/9km51:~yx=zj=<<6=49:183!4>93;946F=849'51d=n2c:>l4?:%0:5?75i2\95>4>{M0:1?7|^8h;6?u+1bg957g<^8886?u+5gf95>"2nj0:7psr}:k`g?6=,;3:6nm4V3;0>4}K:0?1=vX>b181!7dm3ih7[?=3;0x 0`c281/9km51:~yx=nkm0;6)<61;ag?S4>;38p@?7::0yU5g6=:r.:oh4ld:T266<5s-?mh7=4$4d`>6=zutF9594;{W0;6?5|^m;1>v*:fc8``>"4jj0>ji5rVe096~"2nk0:>l5+3ca91cbv*:fc8``>"4jj0>ji5rVe096~"2nk0:>l5+3ca91cev*:fc8``>"4jj0>ji5rVe096~"2nk0ho6*7}Ql809w);ib;ag?!5ek3?mh6s+6659a>{zu2c94<4?:%0:5?4?92\95>4={M0:1?7|^8h;6?u+1bg96=7<^8886?u+5gf9`>"2nj0o7psrL3;7>4}Q:1k1>vXk1;0x 0`e2;2:7)=mc;7e`>{#>>=1h6sr}:k137<72-82=7<82:T1=6<5sE8297?tV0`3>7}#9jo1>:<4V000>7}#=on1h6*:fb8g?x{zD;3?6{zu2e:o:4?:%0:5?7d?21vn98<:180>5<7s-82=7<7e:J1<0=n9;h1<7*=90826d=Q:091=vB=9482Sb42;q/=nk513`8R4442;q/9kj51:&6bf<63twvq6g>2b83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:j1]=?=52z&6ba<63-?mo7?4}|~?j7d?3:1(?7>:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qo:94;295?6=8r.95<4=999K6=35}#:0;1:o5G2978m44e290/>4?513c8R7?428qG>4;51zTg7?4|,8in6<{zut1b=?m50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957e<^8886?u+5gf9g>"2nj0h7psr}:m2g2<72-82=7?l7:T1=6<6sE8297?tVe196~"6kl0:o:5Y13196~"2nm0:7);ic;38yx{z3th?4o4?:383>5}#:0;1nl5G2978m44f290/>4?513c8?j7d?3:1(?7>:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qo:66;292?6=8r.95<4>299K6=3<,8>i6k5f13c94?"5180:>l5Y28195~J51<0:w[?m0;0x 4eb288j7[?=3;0x 0`c281/9km51:~yx=nkj0;6)<61;a`?S4>;3;p@?7::0yU5g6=:r.:oh4lc:T266<5s-?mh7?4$4d`>4=zutw0enj50;&1=44={M0:1?7|^8h;6?u+1bg9ga=Q9;91>v*:fe80?!3ak390qpsC28690~P50;08w[j>:3y'1cd=km1/?om55gf8ySb52;q/9kl513c8 6dd2:3y'1cd=km1/?om55gf8ySb52;q/9kl513c8 6dd2:3y'1cd=km1/?om55gf8ySb52;q/9kl5cb9'7ge==on0q)887;08yS7dl38pZi?52z&6bg<3;pZ?6n:3yU`4<5s-?mn7<71:&0ff<2nm1v(;98:e9~yx=n:>81<7*=908137=Q:091>vB=9482S7e838p(f2;q]h<4={%7ef?40:2.8nn4:fe9~ 3102m1vqp5`1b594?"5180:o:54}c6;g?6=;3:144e3_;9?74=#=oi1=6sr}|9j57e=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?m4V000>7}#=on1=6*:fb82?x{zu2e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`7<@;2>7b?l7;29 7?628i<76sm49d94?5=83:p(?7>:7`8L7>23`;9n7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;31f>P6::09w);id;38 0`d281vqps4i00`>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb288h7[?=3;0x 0`c281/9km51:~yx=h9j=1<7*=9082g2=Q:091=vB=9482Sb42;q/=nk51b58R4442;q/9kj51:&6bf<63twvq6sm48294?76290;w)<61;4a?M4?=2c:>o4?:%0:5?75i2B95=5Y28195~J51<0:w[j<:3y'5fc=9;h0Z<<<:3y'1cb=>2.>jn49;|~y>o6:j0;6)<61;31e>N5191]>4=51zN1=0<6s_n86?u+1bg957e<^8886?u+5gf92>"2nj0=7psr}:k272<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:?:5Y13196~"2nm0=7);ic;48yx{z3`;?>7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;376>P6::09w);id;48 0`d2?1vqps4i066>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb28>>7[?=3;0x 0`c2?1/9km56:~yx=n9=<1<7*=90826d=Q:091=vB=9482Sb42;q/=nk51548R4442;q/9kj56:&6bf<13twvq6g>4683>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6<>1]=?=52z&6ba<13-?mo784}|~?l7303:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?;8:T266<5s-?mh784$4d`>3=zutw0e<:6:18'6<7=9;k0Z?7<:0yO6<3=9r\o?742>3_;9?73=#=oi1:6sr}|9j51g=83.95<4>2`9K6<6<^;3862wvqp5f13f94?"5180:>l5G2828R7?428qG>4;51zTg7?4|,8in6<{zut1b=?k50;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb288n7[?=3;0x 0`c2j1/9km5c:~yx=n9;l1<7*=90826d=Q:091=vB=9482Sb42;q/=nk513d8R4442;q/9kj5c:&6bf3183>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6;91]=?=52z&6ba:00b?S4>;3;p@?7::0yU`6<5s-;hi7?<1:T266<5s-?mh7?4$4d`>4=zutw0e<==:18'6<7=9;k0Z?7<:0yO6<3=9r\o?74553_;9?74=#=oi1=6sr}|9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on1=6*:fb82?x{zu2wi84?50;32>5<7s-82=78m;I0;1>o6:k0;6)<61;31e>N5191]>4=51zN1=0<6s_n86?u+1bg957d<^8886?u+5gf92>"2nj0=7psr}:k26f<72-82=7?=a:J1=5=Q:091=vB=9482Sb42;q/=nk513a8R4442;q/9kj56:&6bf<13twvq6g>3683>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6;>1]=?=52z&6ba<13-?mo784}|~?l73:3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?;2:T266<5s-?mh784$4d`>3=zutw0e<:::18'6<7=9;k0Z?7<:0yO6<3=9r\o?74223_;9?73=#=oi1:6sr}|9j510=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=984V000>7}#=on1:6*:fb85?x{zu2c:8:4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8202=Q9;91>v*:fe85?!3ak3<0qpsr;h3762281!3al3<0(8hl:79~yx{26=4+283957g<^;3862wvqp5f15c94?"5180:>l5G2828R7?428qG>4;51zTg7?4|,8in6<:n;W317?4|,{zut1b=?j50;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb288o7[?=3;0x 0`c2j1/9km5c:~yx=n9;o1<7*=90826d=Q:091=vB=9482Sb42;q/=nk513g8R4442;q/9kj5c:&6bf2g83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:o1]=?=52z&6ba:00b?S4>;3;p@?7::0yU`6<5s-;hi7?<0:T266<5s-?mh7m4$4d`>f=zutw0e<=>:18'6<7=9;k0Z?7<:0yO6<3=9r\o?74563_;9?74=#=oi1=6sr}|9j564=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=><4V000>7}#=on1=6*:fb82?x{zu2e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`7=7<728;1<7>t$3;2>3d<@;2>7d?=b;29 7?6288j7E<60:T1=6<6sE8297?tVe196~"6kl0:>o5Y13196~"2nm0=7);ic;48yx{z3`;9o7>5$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6:j1]=?=52z&6ba<13-?mo784}|~?l74?3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?<7:T266<5s-?mh784$4d`>3=zutw0e<:=:18'6<7=9;k0Z?7<:0yO6<3=9r\o?74253_;9?73=#=oi1:6sr}|9j513=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=9;4V000>7}#=on1:6*:fb85?x{zu2c:8;4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8203=Q9;91>v*:fe85?!3ak3<0qpsr;h373?6=,;3:6<62281!3al3<0(8hl:79~yx{36=4+283957g<^;3862wvqp5f15;94?"5180:>l5Y28195~J51<0:w[j<:3y'5fc=9=30Z<<<:3y'1cb=>2.>jn49;|~y>o6N5191]>4=51zN1=0<6s_n86?u+1bg951g<^8886?u+5gf92>"2nj0=7psr}:k26a<72-82=7?=a:J1=5=Q:091=vB=9482Sb42;q/=nk513f8R4442;q/9kj56:&6bf<13twvq6g>2d83>!4>93;9m6F=919U6<5=9rF9584>{Wf0>7}#9jo1=?k4V000>7}#=on1o6*:fb8`?x{zu2c:>k4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826c=Q9;91>v*:fe8`?!3ak3i0qpsr;h304?6=,;3:6<62281!3al3i0(8hl:b9~yx{l5Y28195~J51<0:w[j<:3y'5fc=9:80Z<<<:3y'1cb=92.>jn4>;|~y>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4>;%7eg?747=83:p(?7>:7`8L7>23`;9n7>5$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6:k1]=?=52z&6ba<13-?mo784}|~?l75k3:1(?7>:00b?M4>82\95>4>{M0:1?7|^m91>v*>cd826f=Q9;91>v*:fe85?!3ak3<0qpsr;h303?6=,;3:6<62281!3al3<0(8hl:79~yx{96=4+283957g<^;3862wvqp5f15794?"5180:>l5Y28195~J51<0:w[j<:3y'5fc=9=?0Z<<<:3y'1cb=>2.>jn49;|~y>o6P51:0:wA<65;3xRa5=:r.:oh4>479U575=:r.>ji49;%7eg?04={%3`a?73?2\:>>4={%7e`?0<,4?513c8R7?428qG>4;51zTg7?4|,8in6<:7;W317?4|,{zut1b=9750;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg951?<^8886?u+5gf92>"2nj0=7psr}:k20d<72-82=7?=a:J1=5=Q:091=vB=9482Sb42;q/=nk515c8R4442;q/9kj56:&6bf<13twvq6g>2e83>!4>93;9m6F=919U6<5=9rF9584>{Wf0>7}#9jo1=?j4V000>7}#=on1:6*:fb85?x{zu2c:>h4?:%0:5?75i2B95=5Y28195~J51<0:w[j<:3y'5fc=9;o0Z<<<:3y'1cb=k2.>jn4l;|~y>o6:o0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2g9U575=:r.>ji4l;%7eg?e4={%3`a?7482\:>>4={%7e`?e<,4?513c8R7?428qG>4;51zTg7?4|,8in6<=>;W317?4|,{zut1b=><50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg9564<^8886?u+5gf95>"2nj0:7psr}:m2g2<72-82=7?l7:T1=6<6sE8297?tVe196~"6kl0:o:5Y13196~"2nm0:7);ic;38yx{z3th?594?:0394?6|,;3:6;l4H3:6?l75j3:1(?7>:00b?M4>82\95>4>{M0:1?7|^m91>v*>cd826g=Q9;91>v*:fe85?!3ak3<0qpsr;h31g?6=,;3:6<P51:0:wA<65;3xRa5=:r.:oh4>2b9U575=:r.>ji49;%7eg?04={%3`a?74?2\:>>4={%7e`?0<,4?513c8R7?428qG>4;51zTg7?4|,8in6<:=;W317?4|,{zut1b=9;50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg9513<^8886?u+5gf92>"2nj0=7psr}:k203<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:8;5Y13196~"2nm0=7);ic;48yx{z3`;?;7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;373>P6::09w);id;48 0`d2?1vqps4i06;>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb28>37[?=3;0x 0`c2?1/9km56:~yx=n9=31<7*=90826d=Q:091=vB=9482Sb42;q/=nk515;8R4442;q/9kj56:&6bf<13twvq6g>4`83>!4>93;9m6F=919U6<5=9rF9584>{Wf0>7}#9jo1=9o4V000>7}#=on1:6*:fb85?x{zu2c:>i4?:%0:5?75i2B95=5Y28195~J51<0:w[j<:3y'5fc=9;n0Z<<<:3y'1cb=k2.>jn4l;|~y>o6:l0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2d9U575=:r.>ji4l;%7eg?e4={%3`a?75n2\:>>4={%7e`?e<,4?513c8R7?428qG>4;51zTg7?4|,8in6<=?;W317?4|,{zut1b=>?50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg9567<^8886?u+5gf95>"2nj0:7psr}:k277<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:??5Y13196~"2nm0:7);ic;38yx{z3f;h;7>5$3;2>4e03_82?7?tL3;6>4}Ql:09w)?le;3`3>P6::09w);id;38 0`d281vqps4}c6;a?6=?3:144e3_;9?74=#=oi1=6sr}|9j57e=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?m4V000>7}#=on1=6*:fb82?x{zu2c:?:4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8272=Q9;91>v*:fe82?!3ak3;0qpsr;h376?6=,;3:6<66X>2281!3al3;0(8hl:09~yx{>6=4+283957g<^;386l5Y28195~J51<0:w[j<:3y'5fc=9=<0Z<<<:3y'1cb=92.>jn4>;|~y>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4>;%7eg?77<729q/>4?5b`9K6=35$3;2>4e03_82?7?tL3;6>4}Ql:09w)?le;3`3>P6::09w);id;38 0`d281vqps4}c437?6=>3:12`9U6<5=9rF9584>{W3a4?4|,8in6<{zut1bon4?:%0:5?ed3_82?7?tL3;6>4}Q9k:1>v*>cd8`g>P6::09w);id;38 0`d281vqps4ibf94?"5180hh6X=9281I4>=3;pZ7}#=oh1oi5+3ca91cb3t\94?4<{Wf2>7}#=oh1oi5+3ca91cb3t\94?4<{Wf2>7}#=oh1oi5+3ca91cb{W3a4?4|,8in6?6>;W317?4|,{zuE8287?tV3:b>7}Ql809w);ib;0;5>"4jj0>ji5r$754>a=zut1b>:<50;&1=4<5?;1]>4=52zN1=0<6s_;i<77153_;9?7a=#=oi1h6sr}M0:0?7|^;2j6?uYd081!3aj38<>6*c698yg3an3:1?7>50z&1=4<50l1C>5;4i00a>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb288i7[?=3;0x 0`c281/9km51:~yx=n9;i1<7*=90826d=Q:091=vB=9482Sb42;q/=nk513a8R4442;q/9kj51:&6bf<63twvq6a>c683>!4>93;h;6X=9282I4>=3;pZi=52z&2g`<6k>1]=?=52z&6ba<63-?mo7?4}|~?xd1890;6<4?:1y'6<7=:020D?6:;n3`3?6=,;3:6t$3;2>3d<@;2>7d?=b;29 7?6288j7E<60:T1=6<6sE8297?tVe196~"6kl0:>o5Y13196~"2nm0h7);ic;a8yx{z3`;9o7>5$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6:j1]=?=52z&6ba:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qo8;5;296?6=8r.95<4ma:J1<0=n9;k1<7*=90826d=5<1290;w)<61;31<>N50<1/=9l5f:k26d<72-82=7?=a:T1=6<6sE8297?tV0`3>7}#9jo1=?o4V000>7}#=on1=6*:fb82?x{zu2cho7>5$3;2>fe<^;386!4>93io7[<63;0xH7?228q]=o>52z&2g`>4={%7e`?5<,5r}|N1=1<3s_83>7=tVe396~"2nk0hh6*v*:fc826d=#;ki19kj4}%443??7=tVe396~"2nk0hh6*v*:fc826d=#;ki19km4}%443??7=tVe396~"2nk0hh6*v*:fc8`g>"4jj0>ji5r$754>7=z^8io6?uYd081!3aj3io7)=mc;7e`>{#>>=1i6sr}:k1<4<72-82=7<71:T1=6<5sE8297?tV0`3>7}#9jo1>5?4V000>7}#=on1h6*:fb8g?x{zD;3?6{zu2c9;?4?:%0:5?40:2\95>4={M0:1?7|^8h;6?u+1bg9624<^8886?u+5gf9`>"2nj0o7psrL3;7>4}Q:1k1>vXk1;0x 0`e2;=97)=mc;7e`>{#>>=1h6sr}:m2g2<72-82=7?l7:9~f32129086=4?{%0:5?4?m2B9485f13`94?"5180:>l5Y28195~J51<0:w[j<:3y'5fc=9;h0Z<<<:3y'1cb=92.>jn4>;|~y>o6:j0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2b9U575=:r.>ji4>;%7eg?74={%3`a?7d?2\:>>4={%7e`?7<,50z&1=4<5111C>5;4o0a4>5<#:0;1=n94;|`50<<72:0;6=u+28392g=O:1?0e<44e3_;9?7f=#=oi1o6sr}|9j57e=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?m4V000>7}#=on1o6*:fb8`?x{zu2e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`50d<72:0;6=u+28392g=O:1?0e<62281!3al3i0(8hl:b9~yx{jn4>;|~y>{e>=21<7=50;2x 7?628i=7E<75:k26g<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:>o5Y13196~"2nm0:7);ic;38yx{z3`;9o7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;31g>P6::09w);id;38 0`d281vqps4o0a4>5<#:0;1=n94V3;0>4}K:0?1=vXk3;0x 4eb28i<7[?=3;0x 0`c281/9km51:~yx=zj?>o6=4=:183!4>93hj7E<75:k26d<72-82=7?=a:9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on1=6*:fb82?x{zu2wi:8:50;494?6|,;3:6<<7;I0;1>"652z&2g`<6:h1]=?=52z&6ba<63-?mo7?4}|~?led290/>4?5cb9U6<5=9rF9584>{W3a4?4|,8in6nm4V000>7}#=on1=6*:fb82?x{zu2chh7>5$3;2>fb<^;386?uC28795~P6j909w)?le;ag?S75;38p(8hk:29'1ce=;2wvqA<64;6xR7>52:q]h<4={%7ef?ec3-9io7;id:U`7<5s-?mn7?=a:&0ff<2nm1v(;98:89~R7>52:q]h<4={%7ef?ec3-9io7;id:U`7<5s-?mn7?=a:&0ff<2nj1v(;98:89~R7>52:q]h<4={%7ef?ec3-9io7;id:U`7<5s-?mn7ml;%1ag?3al2w/::952:U5fb=:r\o=7fb<,:hh68hk;|&53252z&2g`<5081]=?=52z&6ba7}#=oh1>5?4$2``>0`c3t.=;:4k;|~?l40:3:1(?7>:351?S4>;38p@?7::0yU5g6=:r.:oh4=739U575=:r.>ji4k;%7eg?b4:51zT1:3:f?M4?=2c:>o4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826g=Q9;91>v*:fe82?!3ak3;0qpsr;h31g?6=,;3:6<62281!3al3;0(8hl:09~yx{5<6290;w)<61;0:<>N50<1d=n950;&1=4<6k>10qo8:1;293?6=8r.95<49b:J1<0=n9;h1<7*=90826d=O:0:0Z?7<:0yO6<3=9r\o?744e3_;9?73=#=oi1:6sr}|9j57e=83.95<4>2`9K6<6<^;3862wvqp5f12594?"5180:>l5G2828R7?428qG>4;51zTg7?4|,8in6<=8;W317?4|,{zut1b=9<50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg9514<^8886?u+5gf9g>"2nj0h7psr}:k200<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:885Y13196~"2nm0h7);ic;a8yx{z3`;?:7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;372>P6::09w);id;a8 0`d2j1vqps4o0a4>5<#:0;1=n94V3;0>4}K:0?1=vXk3;0x 4eb28i<7[?=3;0x 0`c281/9km51:~yx=zj??96=48:183!4>932b83>!4>93;9m6F=919U6<5=9rF9584>{Wf0>7}#9jo1=?m4V000>7}#=on1:6*:fb85?x{zu2c:?:4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8272=Q9;91>v*:fe8`?!3ak3i0qpsr;h376?6=,;3:6<66X>2281!3al3i0(8hl:b9~yx{>6=4+283957g<^;386l5Y28195~J51<0:w[j<:3y'5fc=9=<0Z<<<:3y'1cb=k2.>jn4l;|~y>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4>;%7eg?76<729q/>4?51b48L7>23`;9n7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;31f>P6::09w);id;38 0`d281vqps4i00`>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb288h7[?=3;0x 0`c281/9km51:~yx=h9j=1<7*=9082g2=Q:091=vB=9482Sb42;q/=nk51b58R4442;q/9kj51:&6bf<63twvq6sm64794?4=83:p(?7>:cc8L7>23`;9m7>5$3;2>44f32e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`51`<72;0;6=u+2839fd=O:1?0e<4={%3`a?7d?2\:>>4={%7e`?7<,50z&1=4<6:11C>5;4$06a>c=n9;k1<7*=90826d=Q:091=vB=9482S7e838p(!4>93ih7[<63;3xH7?228q]=o>52z&2g`>4={%7e`?7<,7}#9jo1oi5Y13196~"2nm087);ic;18yx{K:0>18vX=8380Sb62;q/9kl5ce9'7ge==on0q[j=:3y'1cd=9;k0(>ll:4dg?x"1?>027pX=8380Sb62;q/9kl5ce9'7ge==on0q[j=:3y'1cd=9;k0(>ll:4d`?x"1?>027pX=8380Sb62;q/9kl5ce9'7ge==on0q[j=:3y'1cd=kj1/?om55gf8y!00?380q[?ld;0xRa7=:r.>jo4ld:&0ff<2nm1v(;98:d9~yx=n:1;1<7*=9081<4=Q:091>vB=9482S7e838p(f2;q]h<4={%7ef?4?92.8nn4:fe9~ 3102m1vqp5f26094?"51809;?5Y28196~J51<0:w[?m0;0x 4eb2;=97[?=3;0x 0`c2m1/9km5d:~yI4><3;pZ?6n:3yU`4<5s-?mn7<82:&0ff<2nm1v(;98:e9~yx=h9j=1<7*=9082g2=:7>53;294~"518094h5G2978m44e290/>4?513c8R7?428qG>4;51zTg7?4|,8in6<{zut1b=?m50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957e<^8886?u+5gf95>"2nj0:7psr}:m2g2<72-82=7?l7:T1=6<6sE8297?tVe196~"6kl0:o:5Y13196~"2nm0:7);ic;38yx{z3th=9:4?:083>5}#:0;1>464H3:6?j7d?3:1(?7>:0a4?>{e><31<7=50;2x 7?62?h0D?6:;h31f?6=,;3:6<62281!3al3;0(8hl:09~yx{jn4>;|~y>{e>62281!3al3i0(8hl:b9~yx{4={%3`a?75k2\:>>4={%7e`?e<,4?513c8R7?428qG>4;51zTg7?4|,8in6<=8;W317?4|,{zut1d=n950;&1=4<6k>1]>4=51zN1=0<6s_n86?u+1bg95f1<^8886?u+5gf95>"2nj0:7psr}:a20d=83?1<7>t$3;2>3d<@;2>7d?=b;29 7?6288j7[<63;3xH7?228q]h>4={%3`a?75j2\:>>4={%7e`?0<,4?513c8R7?428qG>4;51zTg7?4|,8in6<{zut1b=>950;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb289<7[?=3;0x 0`c2j1/9km5c:~yx=n9=81<7*=90826d=Q:091=vB=9482Sb42;q/=nk51508R4442;q/9kj5c:&6bfc683>!4>93;h;6X=9282I4>=3;pZi=52z&2g`<6k>1]=?=52z&6ba<63-?mo7?4}|~?xd1=j0;684?:1y'6<7=>k1C>5;4i00a>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb288i7[?=3;0x 0`c2?1/9km56:~yx=n9;i1<7*=90826d=Q:091=vB=9482Sb42;q/=nk513a8R4442;q/9kj5c:&6bf3683>!4>93;9m6F=919U6<5=9rF9584>{Wf0>7}#9jo1=>94V000>7}#=on1o6*:fb8`?x{zu2c:8?4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8207=Q9;91>v*:fe8`?!3ak3i0qpsr;n3`3?6=,;3:662281!3al3;0(8hl:09~yx{h7>55;294~"5180=n6F=849j57d=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?l4V000>7}#=on1o6*:fb8`?x{zu2c:>n4?:%0:5?75i2B95=5Y28195~J51<0:w[j<:3y'5fc=9;i0Z<<<:3y'1cb=k2.>jn4l;|~y>o6;>0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>369U575=:r.>ji4l;%7eg?e5$3;2>4e03_82?7?tL3;6>4}Ql:09w)?le;3`3>P6::09w);id;38 0`d281vqps4}c463:144e3_;9?74=#=oi1=6sr}|9j57e=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?m4V000>7}#=on1=6*:fb82?x{zu2c:?:4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8272=Q9;91>v*:fe82?!3ak3;0qpsr;h376?6=,;3:6<66X>2281!3al3;0(8hl:09~yx{>6=4+283957g<^;386jn4>;|~y>{e>P51:0:wA<65;3xRa5=:r.:oh4>2c9U575=:r.>ji48;%7eg?1n5Y13196~"2nm0<7);ic;58yx{z3`;8;7>5$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6;>1]=?=52z&6ba<03-?mo794}|~?l73:3:1(?7>:00b?M4>82\95>4>{M0:1?7|^m91>v*>cd8207=Q9;91>v*:fe8g?!3ak3n0qpsr;h371?6=,;3:6<62281!3al3n0(8hl:e9~yx{=6=4+283957g<^;386jn4>;|~y>{e9?n1<7<50;2x 7?62kk0D?6:;h31e?6=,;3:6<5}#:0;1>5k4H3:6?l75j3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=b:T266<5s-?mh7?4$4d`>4=zutw0e<44d3_;9?7d=#=oi1m6sr}|9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on1=6*:fb82?x{zu2wi=:750;494?6|,;3:6<<7;I0;1>"652z&2g`<6:h1]=?=52z&6ba<63-?mo7?4}|~?led290/>4?5cb9U6<5=9rF9584>{W3a4?4|,8in6nm4V000>7}#=on1=6*:fb82?x{zu2chh7>5$3;2>fb<^;386?uC28795~P6j909w)?le;ag?S75;38p(8hk:29'1ce=;2wvqA<64;6xR7>52:q]h<4={%7ef?ec3-9io7;id:U`7<5s-?mn7?=a:&0ff<2nm1v(;98:89~R7>52:q]h<4={%7ef?ec3-9io7;id:U`7<5s-?mn7?=a:&0ff<2nj1v(;98:89~R7>52:q]h<4={%7ef?ec3-9io7;id:U`7<5s-?mn7ml;%1ag?3al2w/::952:U5fb=:r\o=7fb<,:hh68hk;|&53252z&2g`<5081]=?=52z&6ba7}#=oh1>5?4$2``>0`c3t.=;:4k;|~?l40:3:1(?7>:351?S4>;38p@?7::0yU5g6=:r.:oh4=739U575=:r.>ji4k;%7eg?b4:51zT1:3:f?M4?=2c:>o4?:%0:5?75i2B95=5Y28195~J51<0:w[j<:3y'5fc=9;h0Z<<<:3y'1cb=92.>jn4>;|~y>o6:j0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2b9U575=:r.>ji4>;%7eg?74={%3`a?7d?2\:>>4={%7e`?7<,50z&1=4<5111C>5;4o0a4>5<#:0;1=n94;|`234<72:0;6=u+28392g=O:1?0e<44e3_;9?7f=#=oi1o6sr}|9j57e=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?m4V000>7}#=on1o6*:fb8`?x{zu2e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`237<72:0;6=u+28392g=O:1?0e<44e3_;9?74=#=oi1=6sr}|9j57e=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?m4V000>7}#=on1=6*:fb82?x{zu2e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`236<72:0;6=u+28392g=O:1?0e<44e3_;9?74=#=oi1=6sr}|9j57e=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?m4V000>7}#=on1=6*:fb82?x{zu2e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`231<72=0;6=u+28392g=O:1?0e<44e3_;9?7f=#=oi1o6sr}|9j57e=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?m4V000>7}#=on1o6*:fb8`?x{zu2c:?:4?:%0:5?75i2B95=5Y28195~J51<0:w[j<:3y'5fc=9:=0Z<<<:3y'1cb=>2.>jn49;|~y>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4>;%7eg?77483>1<729q/>4?56c9K6=3l5G2828R7?428qG>4;51zTg7?4|,8in6<{zut1b=>950;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg9561<^8886?u+5gf9g>"2nj0h7psr}:m2g2<72-82=7?l7:T1=6<6sE8297?tVe196~"6kl0:o:5Y13196~"2nm0:7);ic;38yx{z3th:;;4?:583>5}#:0;1:o5G2978m44e290/>4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;31f>P6::09w);id;a8 0`d2j1vqps4i00`>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb288h7[?=3;0x 0`c2j1/9km5c:~yx=n9:=1<7*=90826d=Q:091=vB=9482Sb42;q/=nk51258R4442;q/9kj56:&6bf<13twvq6a>c683>!4>93;h;6X=9282I4>=3;pZi=52z&2g`<6k>1]=?=52z&6ba<63-?mo7?4}|~?xd6?>0;694?:1y'6<7=>k1C>5;4i00a>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb288i7[?=3;0x 0`c2j1/9km5c:~yx=n9;i1<7*=90826d=O:0:0Z?7<:0yO6<3=9r\o?744d3_;9?7f=#=oi1o6sr}|9j561=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=>94V000>7}#=on1o6*:fb8`?x{zu2e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`235<7210;6=u+28395f0<@;2>7d?=b;29 7?6288j7[<63;3xH7?228q]h>4={%3`a?75j2\:>>4={%7e`?7<,4?513c8R7?428qG>4;51zTg7?4|,8in6<{zut1b=>950;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg9561<^8886?u+5gf95>"2nj0:7psr}:k207<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:8?5Y13196~"2nm0:7);ic;38yx{z3`;?97>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;371>P6::09w);id;38 0`d281vqps4i065>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb28>=7[?=3;0x 0`c281/9km51:~yx=n9==1<7*=90826d=Q:091=vB=9482Sb42;q/=nk51558R4442;q/9kj51:&6bf<63twvq6a>c683>!4>93;h;6X=9282I4>=3;pZi=52z&2g`<6k>1]=?=52z&6ba<63-?mo7?4}|~?xd61<0;6?4?:1y'6<7=jh1C>5;4i00b>5<#:0;1=?o4;n3`3?6=,;3:662281!3al3;0(8hl:09~yx{7>53;294~"518094h5G2978m44e290/>4?513c8R7?428qG>4;51zTg7?4|,8in6<{zut1b=?m50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957e<^8886?u+5gf9e>"2nj0j7psr}:m2g2<72-82=7?l7:T1=6<6sE8297?tVe196~"6kl0:o:5Y13196~"2nm0:7);ic;38yx{z3th:m<4?:783>5}#:0;1=?64H3:6?!73j3l0e<>4={%7e`?7<,7}#9jo1on5Y13196~"2nm0:7);ic;38yx{z3`io6=4+2839ga=Q:091>vB=9482S7e838p(fb<,:hh68hk;|Tg6?4|,fb<,:hh68hk;|Tg6?4|,fb<,:hh68hk;|Tg6?4|,0`c3t.=;:4=;|T2ga<5s_n:6?u+5g`9ga=#;ki19kj4}%443?c:18'6<7=:1;0Z?7<:3yO6<3=9r\:n=4={%3`a?4?92\:>>4={%7e`?b<,4?52608R7?42;qG>4;51zT2f5<5s-;hi7<82:T266<5s-?mh7j4$4d`>a=zutF9594>{W0;e?4|^m;1>v*:fc8137=#;ki19kj4}%443?b9783>6<729q/>4?529g8L7>23`;9n7>5$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6:k1]=?=52z&6ba<63-?mo7?4}|~?l75k3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=c:T266<5s-?mh7?4$4d`>4=zutw0c4e03_;9?74=#=oi1=6sr}|9~f4?0290:6=4?{%0:5?4>02B9485`1b594?"5180:o:54}c3:=?6=;3:1N50<1b=?l50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957d<^8886?u+5gf9g>"2nj0h7psr}:k26f<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:>n5Y13196~"2nm0h7);ic;a8yx{z3f;h;7>5$3;2>4e03_82?7?tL3;6>4}Ql:09w)?le;3`3>P6::09w);id;38 0`d281vqps4}c3:e?6=;3:1N50<1b=?l50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957d<^8886?u+5gf95>"2nj0:7psr}:k26f<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:>n5Y13196~"2nm0:7);ic;38yx{z3f;h;7>5$3;2>4e03_82?7?tL3;6>4}Ql:09w)?le;3`3>P6::09w);id;38 0`d281vqps4}c3:f?6=;3:1N50<1b=?l50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957d<^8886?u+5gf95>"2nj0:7psr}:k26f<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:>n5Y13196~"2nm0:7);ic;38yx{z3f;h;7>5$3;2>4e03_82?7?tL3;6>4}Ql:09w)?le;3`3>P6::09w);id;38 0`d281vqps4}c3:g?6=<3:1N50<1b=?l50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957d<^8886?u+5gf9g>"2nj0h7psr}:k26f<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:>n5Y13196~"2nm0h7);ic;a8yx{z3`;8;7>5$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6;>1]=?=52z&6ba<13-?mo784}|~?j7d?3:1(?7>:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qo?6d;290?6=8r.95<49b:J1<0=n9;h1<7*=90826d=Q:091=vB=9482Sb42;q/=nk513`8R4442;q/9kj5c:&6bf2b83>!4>93;9m6F=919U6<5=9rF9584>{Wf0>7}#9jo1=?m4V000>7}#=on1o6*:fb8`?x{zu2c:?:4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8272=Q9;91>v*:fe8`?!3ak3i0qpsr;n3`3?6=,;3:662281!3al3;0(8hl:09~yx{54;294~"5180=n6F=849j57d=83.95<4>2`9K6<6<^;386l5Y28195~J51<0:w[j<:3y'5fc=9;i0Z<<<:3y'1cb=k2.>jn4l;|~y>o6;>0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>369U575=:r.>ji49;%7eg?04={%3`a?7d?2\:>>4={%7e`?7<,n3:187>50z&1=4<1j2B9485f13`94?"5180:>l5Y28195~J51<0:w[j<:3y'5fc=9;h0Z<<<:3y'1cb=k2.>jn4l;|~y>o6:j0;6)<61;31e>N5191]>4=51zN1=0<6s_n86?u+1bg957e<^8886?u+5gf9g>"2nj0h7psr}:k272<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:?:5Y13196~"2nm0h7);ic;a8yx{z3f;h;7>5$3;2>4e03_82?7?tL3;6>4}Ql:09w)?le;3`3>P6::09w);id;38 0`d281vqps4}c3:44e3_;9?74=#=oi1=6sr}|9j57e=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?m4V000>7}#=on1=6*:fb82?x{zu2c:?:4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8272=Q9;91>v*:fe82?!3ak3;0qpsr;h376?6=,;3:6<66X>2281!3al3;0(8hl:09~yx{>6=4+283957g<^;386l5Y28195~J51<0:w[j<:3y'5fc=9=<0Z<<<:3y'1cb=92.>jn4>;|~y>o6<>0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>469U575=:r.>ji4>;%7eg?74={%3`a?7d?2\:>>4={%7e`?7<,7>50z&1=4l54o0a4>5<#:0;1=n94V3;0>4}K:0?1=vXk3;0x 4eb28i<7[?=3;0x 0`c281/9km51:~yx=zj:2=6=4=:183!4>93hj7E<75:k26d<72-82=7?=a:9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on1=6*:fb82?x{zu2wi?5l50;494?6|,;3:6<<7;I0;1>"652z&2g`<6:h1]=?=52z&6ba<63-?mo7?4}|~?led290/>4?5cb9U6<5=9rF9584>{W3a4?4|,8in6nm4V000>7}#=on1=6*:fb82?x{zu2chh7>5$3;2>fb<^;386?uC28795~P6j909w)?le;ag?S75;38p(8hk:29'1ce=;2wvqA<64;6xR7>52:q]h<4={%7ef?ec3-9io7;id:U`7<5s-?mn7?=a:&0ff<2nm1v(;98:89~R7>52:q]h<4={%7ef?ec3-9io7;id:U`7<5s-?mn7?=a:&0ff<2nj1v(;98:89~R7>52:q]h<4={%7ef?ec3-9io7;id:U`7<5s-?mn7ml;%1ag?3al2w/::952:U5fb=:r\o=7fb<,:hh68hk;|&53252z&2g`<5081]=?=52z&6ba7}#=oh1>5?4$2``>0`c3t.=;:4k;|~?l40:3:1(?7>:351?S4>;38p@?7::0yU5g6=:r.:oh4=739U575=:r.>ji4k;%7eg?b4:51zT1:3:f?M4?=2c:>o4?:%0:5?75i2B95=5Y28195~J51<0:w[j<:3y'5fc=9;h0Z<<<:3y'1cb=92.>jn4>;|~y>o6:j0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2b9U575=:r.>ji4>;%7eg?74={%3`a?7d?2\:>>4={%7e`?7<,50z&1=4<5111C>5;4o0a4>5<#:0;1=n94;|`0<6<72:0;6=u+28392g=O:1?0e<44e3_;9?73=#=oi1:6sr}|9j57e=83.95<4>2`9K6<6<^;386jn4>;|~y>{e;1>1<7=50;2x 7?62?h0D?6:;h31f?6=,;3:6<62281!3al3i0(8hl:b9~yx{jn4>;|~y>{e;1?1<7=50;2x 7?62?h0D?6:;h31f?6=,;3:6<P51:0:wA<65;3xRa5=:r.:oh4>2c9U575=:r.>ji4l;%7eg?en5Y13196~"2nm0h7);ic;a8yx{z3f;h;7>5$3;2>4e03_82?7?tL3;6>4}Ql:09w)?le;3`3>P6::09w);id;38 0`d281vqps4}c1;6?6=<3:144e3_;9?74=#=oi1=6sr}|9j57e=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?m4V000>7}#=on1=6*:fb82?x{zu2c:?:4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8272=Q9;91>v*:fe82?!3ak3;0qpsr;n3`3?6=,;3:662281!3al3;0(8hl:09~yx{53;294~"5180=n6F=849j57d=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?l4V000>7}#=on1h6*:fb8g?x{zu2c:>n4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826f=Q9;91>v*:fe8g?!3ak3n0qpsr;n3`3?6=,;3:662281!3al3;0(8hl:09~yx{56;294~"5180=n6F=849j57d=83.95<4>2`9K6<6<^;386l5G2828R7?428qG>4;51zTg7?4|,8in6<{zut1b=>950;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb289<7[?=3;0x 0`c2m1/9km5d:~yx=n9=81<7*=90826d=O:0:0Z?7<:0yO6<3=9r\o?74253_;9?7a=#=oi1h6sr}|9j513=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=9;4V000>7}#=on1h6*:fb8g?x{zu2e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`0<<<72:0;6=u+28395f0<@;2>7d?=b;29 7?6288j7[<63;3xH7?228q]h>4={%3`a?75j2\:>>4={%7e`?7<,4?513c8R7?428qG>4;51zTg7?4|,8in6<{zut1d=n950;&1=4<6k>1]>4=51zN1=0<6s_n86?u+1bg95f1<^8886?u+5gf95>"2nj0:7psr}:a7=e=8381<7>t$3;2>gg<@;2>7d?=a;29 7?6288j76a>c683>!4>93;h;6X=9282I4>=3;pZi=52z&2g`<6k>1]=?=52z&6ba<63-?mo7?4}|~?xd41<0;6>4?:1y'6<7=:1o0D?6:;h31f?6=,;3:6<62281!3al3;0(8hl:09~yx{jn4>;|~y>{e;0>1<7850;2x 7?628837E<75:&20g5$3;2>44f3_82?7?tL3;6>4}Q9k:1>v*>cd826d=Q9;91>v*:fe82?!3ak3;0qpsr;ha`>5<#:0;1on5Y28195~J51<0:w[?m0;0x 4eb2ji0Z<<<:3y'1cb=92.>jn4>;|~y>odl3:1(?7>:bf8R7?42;qG>4;51zT2f5<5s-;hi7mk;W317?4|,5+5ga97>{zuE8287:tV3:1>6}Ql809w);ib;ag?!5ek3?mh6sYd381!3aj3;9m6*6}Ql809w);ib;ag?!5ek3?mh6sYd381!3aj3;9m6*6}Ql809w);ib;ag?!5ek3?mh6sYd381!3aj3ih7)=mc;7e`>{#>>=1>6sY1bf96~Pc938p(8hm:bf8 6dd25$3;2>7>63_82?74}Q9k:1>v*>cd81<4=Q9;91>v*:fe8g?!3ak3n0qpsC28695~P50h09w[j>:3y'1cd=:1;0(>ll:4dg?x"1?>0o7psr;h046?6=,;3:6?9=;W0:7?4|D;3>61=vX=8`81Sb62;q/9kl52608 6dd25$3;2>4e032wi?5j50;194?6|,;3:6?6j;I0;1>o6:k0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2c9U575=:r.>ji4>;%7eg?74={%3`a?75k2\:>>4={%7e`?7<,4?51b58R7?428qG>4;51zTg7?4|,8in6{zut1vn>6j:182>5<7s-82=7<68:J1<0=h9j=1<7*=9082g2=54;294~"5180=n6F=849j57d=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?l4V000>7}#=on1:6*:fb85?x{zu2c:>n4?:%0:5?75i2B95=5Y28195~J51<0:w[j<:3y'5fc=9;i0Z<<<:3y'1cb=k2.>jn4l;|~y>o6;>0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>369U575=:r.>ji4l;%7eg?e4={%3`a?7d?2\:>>4={%7e`?7<,93:187>50z&1=4<1j2B9485f13`94?"5180:>l5G2828R7?428qG>4;51zTg7?4|,8in6<{zut1b=?m50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957e<^8886?u+5gf9g>"2nj0h7psr}:k272<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:?:5Y13196~"2nm0h7);ic;a8yx{z3f;h;7>5$3;2>4e03_82?7?tL3;6>4}Ql:09w)?le;3`3>P6::09w);id;38 0`d281vqps4}c1:6?6=<3:1N50<1b=?l50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957d<^8886?u+5gf9g>"2nj0h7psr}:k26f<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:>n5Y13196~"2nm0h7);ic;a8yx{z3`;8;7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;303>P6::09w);id;a8 0`d2j1vqps4o0a4>5<#:0;1=n94V3;0>4}K:0?1=vXk3;0x 4eb28i<7[?=3;0x 0`c281/9km51:~yx=zj:2m6=4;:183!4>93;h:6F=849j57d=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?l4V000>7}#=on1=6*:fb82?x{zu2c:>n4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826f=Q9;91>v*:fe82?!3ak3;0qpsr;h303?6=,;3:6<62281!3al3;0(8hl:09~yx{5<5290;w)<61;`b?M4?=2c:>l4?:%0:5?75i21d=n950;&1=4<6k>1]>4=51zN1=0<6s_n86?u+1bg95f1<^8886?u+5gf95>"2nj0:7psr}:a7<`=8391<7>t$3;2>7>b3A8396g>2c83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:k1]=?=52z&6ba<63-?mo7?4}|~?l75k3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=c:T266<5s-?mh7o4$4d`>d=zutw0c4e03_;9?74=#=oi1=6sr}|9~f6?b290=6=4?{%0:5?7502B9485+15`9b>o6:h0;6)<61;31e>P51:0:wA<65;3xR4d72;q/=nk513c8R4442;q/9kj51:&6bf<63twvq6glc;29 7?62ji0Z?7<:0yO6<3=9r\:n=4={%3`a?ed3_;9?74=#=oi1=6sr}|9jga<72-82=7mk;W0:7?4|D;3>62281!3al390(8hl:29~yxJ51=0?w[<72;1xRa7=:r.>jo4ld:&0ff<2nm1vZi<52z&6bg<6:h1/?om55gf8y!00?330q[<72;1xRa7=:r.>jo4ld:&0ff<2nm1vZi<52z&6bg<6:h1/?om55ga8y!00?330q[<72;1xRa7=:r.>jo4ld:&0ff<2nm1vZi<52z&6bgo5080;6)<61;0;5>P51:09wA<65;3xR4d72;q/=nk52938R4442;q/9kj5d:&6bf7>63-9io7;id:'221=l2wvq6g=7383>!4>938<>6X=9281I4>=3;pZ80Z<<<:3y'1cb=l2.>jn4k;|~H7?328q]>5o52zTg5?4|,i6k>0;6)<61;3`3>=zj:3<6=4<:183!4>9383i6F=849j57d=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?l4V000>7}#=on1=6*:fb82?x{zu2c:>n4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826f=Q9;91>v*:fe82?!3ak3;0qpsr;n3`3?6=,;3:662281!3al3;0(8hl:09~yx{51;294~"51809555G2978k4e0290/>4?51b58?xd41h0;694?:1y'6<7=>k1C>5;4i00a>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb288i7[?=3;0x 0`c2?1/9km56:~yx=n9;i1<7*=90826d=O:0:0Z?7<:0yO6<3=9r\o?744d3_;9?7f=#=oi1o6sr}|9j561=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=>94V000>7}#=on1o6*:fb8`?x{zu2e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`0=g<72<0;6=u+28392g=O:1?0e<62281!3al3<0(8hl:79~yx{l5Y28195~J51<0:w[j<:3y'5fc=9:=0Z<<<:3y'1cb=k2.>jn4l;|~y>o6<;0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>439U575=:r.>ji4l;%7eg?e4={%3`a?7d?2\:>>4={%7e`?7<,k3:197>50z&1=4<1j2B9485f13`94?"5180:>l5Y28195~J51<0:w[j<:3y'5fc=9;h0Z<<<:3y'1cb=k2.>jn4l;|~y>o6:j0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2b9U575=:r.>ji4l;%7eg?e4={%3`a?74?2\:>>4={%7e`?e<,4?513c8R7?428qG>4;51zTg7?4|,8in6<:=;W317?4|,{zut1d=n950;&1=4<6k>1]>4=51zN1=0<6s_n86?u+1bg95f1<^8886?u+5gf95>"2nj0:7psr}:a71<7>t$3;2>4e13A8396g>2c83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:k1]=?=52z&6ba<63-?mo7?4}|~?l75k3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=c:T266<5s-?mh7?4$4d`>4=zutw0e<=8:18'6<7=9;k0Z?7<:0yO6<3=9r\o?74503_;9?74=#=oi1=6sr}|9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on1=6*:fb82?x{zu2wi8=l50;094?6|,;3:6oo4H3:6?l75i3:1(?7>:00b?>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4>;%7eg?73<729q/>4?513:8L7>23-;?n7h4i00b>5<#:0;1=?o4V3;0>4}K:0?1=vX>b181!7dm3;9m6X>2281!3al3;0(8hl:09~yx{P51:0:wA<65;3xR4d72;q/=nk5cb9U575=:r.>ji4>;%7eg?74=52zN1=0<6s_;i<7fb<^8886?u+5gf97>"2nj087psrL3;7>1}Q:181?vXk1;0x 0`e2jn0(>ll:4dg?xPc:38p(8hm:00b?!5ek3?mh6s+6659=>{Q:181?vXk1;0x 0`e2jn0(>ll:4dg?xPc:38p(8hm:00b?!5ek3?mo6s+6659=>{Q:181?vXk1;0x 0`e2jn0(>ll:4dg?xPc:38p(8hm:ba8 6dd2:3y'1cd=km1/?om55gf8y!00?3o0qps4i3:2>5<#:0;1>5?4V3;0>7}K:0?1=vX>b181!7dm383=6X>2281!3al3n0(8hl:e9~yxJ51=0:w[<7a;0xRa7=:r.>jo4=809'7ge==on0q)887;f8yx{P6::09w);id;f8 0`d2m1vqpB=9582S4?i38pZi?52z&6bg<5?;1/?om55gf8y!00?3n0qps4o0a4>5<#:0;1=n94;|`74f<72:0;6=u+28396=c<@;2>7d?=b;29 7?6288j7[<63;3xH7?228q]h>4={%3`a?75j2\:>>4={%7e`?7<,4?513c8R7?428qG>4;51zTg7?4|,8in6<{zut1d=n950;&1=4<6k>1]>4=51zN1=0<6s_n86?u+1bg95f1<^8886?u+5gf95>"2nj0:7psr}:a05b=83;1<7>t$3;2>7??3A8396a>c683>!4>93;h;65rb52f>5<4290;w)<61;4a?M4?=2c:>o4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826g=Q9;91>v*:fe8`?!3ak3i0qpsr;h31g?6=,;3:6<62281!3al3i0(8hl:b9~yx{5<5290;w)<61;`b?M4?=2c:>l4?:%0:5?75i21d=n950;&1=4<6k>1]>4=51zN1=0<6s_n86?u+1bg95f1<^8886?u+5gf95>"2nj0:7psr}:a26>=83<1<7>t$3;2>44?3A8396*>4c8e?l75i3:1(?7>:00b?S4>;3;p@?7::0yU5g6=:r.:oh4>2`9U575=:r.>ji4>;%7eg?74=51zN1=0<6s_;i<7fe<^8886?u+5gf95>"2nj0:7psr}:k``?6=,;3:6nj4V3;0>7}K:0?1=vX>b181!7dm3io7[?=3;0x 0`c2:1/9km53:~yI4><3>pZ?6=:2yU`4<5s-?mn7mk;%1ag?3al2w]h?4={%7ef?75i2.8nn4:fe9~ 310201vZ?6=:2yU`4<5s-?mn7mk;%1ag?3al2w]h?4={%7ef?75i2.8nn4:fb9~ 310201vZ?6=:2yU`4<5s-?mn7mk;%1ag?3al2w]h?4={%7ef?ed3-9io7;id:'221=:2w]=nj52zTg5?4|,0`c3t.=;:4j;|~?l4?93:1(?7>:3:2?S4>;38p@?7::0yU5g6=:r.:oh4=809U575=:r.>ji4k;%7eg?b4:51zT152z&2g`<5?;1]=?=52z&6ba7}#=oh1>:<4$2``>0`c3t.=;:4k;|~?j7d?3:1(?7>:0a4?>{e>:>1<7=50;2x 7?62;2n7E<75:k26g<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:>o5Y13196~"2nm0:7);ic;38yx{z3`;9o7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;31g>P6::09w);id;38 0`d281vqps4o0a4>5<#:0;1=n94V3;0>4}K:0?1=vXk3;0x 4eb28i<7[?=3;0x 0`c281/9km51:~yx=zj?9>6=4>:183!4>938246F=849l5f1=83.95<4>c698yg04>3:1?7>50z&1=4<1j2B9485f13`94?"5180:>l5Y28195~J51<0:w[j<:3y'5fc=9;h0Z<<<:3y'1cb=k2.>jn4l;|~y>o6:j0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2b9U575=:r.>ji4l;%7eg?e4={%3`a?7d?2\:>>4={%7e`?7<,7>50z&1=4l54o0a4>5<#:0;1=n94V3;0>4}K:0?1=vXk3;0x 4eb28i<7[?=3;0x 0`c281/9km51:~yx=zj?9n6=49:183!4>93;946F=849'51d=n2c:>l4?:%0:5?75i2\95>4>{M0:1?7|^8h;6?u+1bg957g<^8886?u+5gf95>"2nj0:7psr}:k`g?6=,;3:6nm4V3;0>4}K:0?1=vX>b181!7dm3ih7[?=3;0x 0`c281/9km51:~yx=nkm0;6)<61;ag?S4>;38p@?7::0yU5g6=:r.:oh4ld:T266<5s-?mh7=4$4d`>6=zutF9594;{W0;6?5|^m;1>v*:fc8``>"4jj0>ji5rVe096~"2nk0:>l5+3ca91cbv*:fc8``>"4jj0>ji5rVe096~"2nk0:>l5+3ca91cev*:fc8``>"4jj0>ji5rVe096~"2nk0ho6*7}Ql809w);ib;ag?!5ek3?mh6s+6659a>{zu2c94<4?:%0:5?4?92\95>4={M0:1?7|^8h;6?u+1bg96=7<^8886?u+5gf9`>"2nj0o7psrL3;7>4}Q:1k1>vXk1;0x 0`e2;2:7)=mc;7e`>{#>>=1h6sr}:k137<72-82=7<82:T1=6<5sE8297?tV0`3>7}#9jo1>:<4V000>7}#=on1h6*:fb8g?x{zD;3?6{zu2e:o:4?:%0:5?7d?21vn;=n:180>5<7s-82=7<7e:J1<0=n9;h1<7*=90826d=Q:091=vB=9482Sb42;q/=nk513`8R4442;q/9kj51:&6bf<63twvq6g>2b83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:j1]=?=52z&6ba<63-?mo7?4}|~?j7d?3:1(?7>:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qo85}#:0;1:o5G2978m44e290/>4?513c8R7?428qG>4;51zTg7?4|,8in6<{zut1b=?m50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957e<^8886?u+5gf9g>"2nj0h7psr}:m2g2<72-82=7?l7:T1=6<6sE8297?tVe196~"6kl0:o:5Y13196~"2nm0:7);ic;38yx{z3th>57>52;294~"5180im6F=849j57g=83.95<4>2`98k4e0290/>4?51b58R7?428qG>4;51zTg7?4|,8in6{zut1vn;=50;494?6|,;3:6<<7;I0;1>"652z&2g`<6:h1]=?=52z&6ba<63-?mo7?4}|~?led290/>4?5cb9U6<5=9rF9584>{W3a4?4|,8in6nm4V000>7}#=on1=6*:fb82?x{zu2chh7>5$3;2>fb<^;386?uC28795~P6j909w)?le;ag?S75;38p(8hk:29'1ce=;2wvqA<64;6xR7>52:q]h<4={%7ef?ec3-9io7;id:U`7<5s-?mn7?=a:&0ff<2nm1v(;98:89~R7>52:q]h<4={%7ef?ec3-9io7;id:U`7<5s-?mn7?=a:&0ff<2nj1v(;98:89~R7>52:q]h<4={%7ef?ec3-9io7;id:U`7<5s-?mn7ml;%1ag?3al2w/::952:U5fb=:r\o=7fb<,:hh68hk;|&53252z&2g`<5081]=?=52z&6ba7}#=oh1>5?4$2``>0`c3t.=;:4k;|~?l40:3:1(?7>:351?S4>;38p@?7::0yU5g6=:r.:oh4=739U575=:r.>ji4k;%7eg?b4:51zT16<729q/>4?529g8L7>23`;9n7>5$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6:k1]=?=52z&6ba<63-?mo7?4}|~?l75k3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=c:T266<5s-?mh7?4$4d`>4=zutw0c4e03_;9?74=#=oi1=6sr}|9~f0d=83;1<7>t$3;2>7??3A8396a>c683>!4>93;h;65rb4f94?1=83:p(?7>:7`8L7>23`;9n7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;31f>P6::09w);id;a8 0`d2j1vqps4i00`>5<#:0;1=?o4H3;3?S4>;3;p@?7::0yU`6<5s-;hi7?=c:T266<5s-?mh7m4$4d`>f=zutw0e<=8:18'6<7=9;k0D?7?;W0:7?7|D;3>62281!3al3i0(8hl:b9~yx{96=4+283957g<@;3;7[<63;3xH7?228q]h>4={%3`a?73:2\:>>4={%7e`?e<,4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;371>P6::09w);id;a8 0`d2j1vqps4i065>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb28>=7[?=3;0x 0`c2j1/9km5c:~yx=h9j=1<7*=9082g2=Q:091=vB=9482Sb42;q/=nk51b58R4442;q/9kj51:&6bf<63twvq6sm5d83>2<729q/>4?56c9K6=34={%3`a?75j2\:>>4={%7e`?e<,4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;31g>P6::09w);id;a8 0`d2j1vqps4i014>5<#:0;1=?o4H3;3?S4>;3;p@?7::0yU`6<5s-;hi7?<7:T266<5s-?mh7m4$4d`>f=zutw0e<:=:18'6<7=9;k0D?7?;W0:7?7|D;3>66X>2281!3al3i0(8hl:b9~yx{>6=4+283957g<@;3;7[<63;3xH7?228q]h>4={%3`a?73=2\:>>4={%7e`?e<,4?513c8R7?428qG>4;51zTg7?4|,8in6<:9;W317?4|,{zut1d=n950;&1=4<6k>1]>4=51zN1=0<6s_n86?u+1bg95f1<^8886?u+5gf95>"2nj0:7psr}:a1c<72>0;6=u+28392g=O:1?0e<62281!3al3i0(8hl:b9~yx{4={%3`a?75k2\:>>4={%7e`?e<,4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;303>P6::09w);id;a8 0`d2j1vqps4i061>5<#:0;1=?o4H3;3?S4>;3;p@?7::0yU`6<5s-;hi7?;2:T266<5s-?mh7m4$4d`>f=zutw0e<:::18'6<7=9;k0D?7?;W0:7?7|D;3>62281!3al3i0(8hl:b9~yx{=6=4+283957g<^;386jn4>;|~y>{e>90;6:4?:1y'6<7=>k1C>5;4i00a>5<#:0;1=?o4H3;3?S4>;3;p@?7::0yU`6<5s-;hi7?=b:T266<5s-?mh7m4$4d`>f=zutw0e<62281!3al3i0(8hl:b9~yx{4={%3`a?74?2\:>>4={%7e`?e<,4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;376>P6::09w);id;a8 0`d2j1vqps4i066>5<#:0;1=?o4H3;3?S4>;3;p@?7::0yU`6<5s-;hi7?;5:T266<5s-?mh7m4$4d`>f=zutw0e<:9:18'6<7=9;k0D?7?;W0:7?7|D;3>62281!3al3i0(8hl:b9~yx{=83:p(?7>:7`8L7>23`;9n7>5$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6:k1]=?=52z&6ba:00b?M4>82\95>4>{M0:1?7|^m91>v*>cd826f=Q9;91>v*:fe8`?!3ak3i0qpsr;h303?6=,;3:6<P51:0:wA<65;3xRa5=:r.:oh4>369U575=:r.>ji4l;%7eg?e5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;371>P6::09w);id;a8 0`d2j1vqps4i065>5<#:0;1=?o4H3;3?S4>;3;p@?7::0yU`6<5s-;hi7?;6:T266<5s-?mh7m4$4d`>f=zutw0e<:8:18'6<7=9;k0D?7?;W0:7?7|D;3>62281!3al3i0(8hl:b9~yx{:0a5?M4?=2c:>o4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826g=Q9;91>v*:fe82?!3ak3;0qpsr;h31g?6=,;3:6<62281!3al3;0(8hl:09~yx{l5Y28195~J51<0:w[j<:3y'5fc=9=80Z<<<:3y'1cb=92.>jn4>;|~y>o6<<0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>449U575=:r.>ji4>;%7eg?74={%3`a?7d?2\:>>4={%7e`?7<,2`83>!4>93;9m65`1b594?"5180:o:5Y28195~J51<0:w[j<:3y'5fc=9j=0Z<<<:3y'1cb=92.>jn4>;|~y>{ej00;6;4?:1y'6<7=9;20D?6:;%37f?`P6::09w);id;38 0`d281vqps4iba94?"5180ho6X=9282I4>=3;pZ4?5ce9U6<5=:rF9584>{W3a4?4|,8in6nj4V000>7}#=on1?6*:fb80?x{zD;3?69uY29097~Pc938p(8hm:bf8 6dd2{#>>=156sY29097~Pc938p(8hm:bf8 6dd2{#>>=156sY29097~Pc938p(8hm:bf8 6dd2ll:4dg?x"1?>097pX>ce81Sb62;q/9kl5ce9'7ge==on0q)887;g8yx{P6::09w);id;f8 0`d2m1vqpB=9582S4?i38pZi?52z&6bg<5081/?om55gf8y!00?3n0qps4i351>5<#:0;1>:<4V3;0>7}K:0?1=vX>b181!7dm38<>6X>2281!3al3n0(8hl:e9~yxJ51=0:w[<7a;0xRa7=:r.>jo4=739'7ge==on0q)887;f8yx{53;294~"518094h5G2978m44e290/>4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;31f>P6::09w);id;38 0`d281vqps4i00`>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb288h7[?=3;0x 0`c281/9km51:~yx=h9j=1<7*=9082g2=Q:091=vB=9482Sb42;q/=nk51b58R4442;q/9kj51:&6bf<63twvq6smb783>4<729q/>4?528:8L7>23f;h;7>5$3;2>4e032win:4?:283>5}#:0;1:o5G2978m44e290/>4?513c8R7?428qG>4;51zTg7?4|,8in6<{zut1b=?m50;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb288h7[?=3;0x 0`c2j1/9km5c:~yx=h9j=1<7*=9082g2=Q:091=vB=9482Sb42;q/=nk51b58R4442;q/9kj51:&6bf<63twvq6sm19:94?4=83:p(?7>:cc8L7>23`;9m7>5$3;2>44f32e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`2=1<72?0;6=u+283957><@;2>7)?;b;d8m44f290/>4?513c8R7?428qG>4;51zT2f5<5s-;hi7?=a:T266<5s-?mh7?4$4d`>4=zutw0enm50;&1=44>{M0:1?7|^8h;6?u+1bg9gf=Q9;91>v*:fe82?!3ak3;0qpsr;hag>5<#:0;1oi5Y28196~J51<0:w[?m0;0x 4eb2jn0Z<<<:3y'1cb=;2.>jn4<;|~H7?32=q]>5<53zTg5?4|,0`c3t\o>744f3-9io7;id:'221=12w]>5<53zTg5?4|,0`c3t\o>744f3-9io7;ic:'221=12w]>5<53zTg5?4|,0`c3t\o>7fe<,:hh68hk;|&532<53t\:oi4={Wf2>7}#=oh1oi5+3ca91cb6290/>4?52938R7?42;qG>4;51zT2f5<5s-;hi7<71:T266<5s-?mh7j4$4d`>a=zutF9594>{W0;e?4|^m;1>v*:fc81<4=#;ki19kj4}%443?b80Z?7<:3yO6<3=9r\:n=4={%3`a?40:2\:>>4={%7e`?b<,4?51b58?xd6000;6>4?:1y'6<7=:1o0D?6:;h31f?6=,;3:6<P51:0:wA<65;3xRa5=:r.:oh4>2c9U575=:r.>ji4>;%7eg?74={%3`a?75k2\:>>4={%7e`?7<,4?51b58R7?428qG>4;51zTg7?4|,8in6{zut1vn<6n:182>5<7s-82=7<68:J1<0=h9j=1<7*=9082g2=53;294~"5180=n6F=849j57d=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?l4V000>7}#=on1=6*:fb82?x{zu2c:>n4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826f=Q9;91>v*:fe82?!3ak3;0qpsr;n3`3?6=,;3:662281!3al3;0(8hl:09~yx{53;294~"5180=n6F=849j57d=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?l4V000>7}#=on1=6*:fb82?x{zu2c:>n4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826f=Q9;91>v*:fe82?!3ak3;0qpsr;n3`3?6=,;3:662281!3al3;0(8hl:09~yx{53;294~"5180=n6F=849j57d=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?l4V000>7}#=on1o6*:fb8`?x{zu2c:>n4?:%0:5?75i2B95=5Y28195~J51<0:w[j<:3y'5fc=9;i0Z<<<:3y'1cb=k2.>jn4l;|~y>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4>;%7eg?78g83>6<729q/>4?56c9K6=3l5Y28195~J51<0:w[j<:3y'5fc=9;i0Z<<<:3y'1cb=k2.>jn4l;|~y>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4>;%7eg?79183>6<729q/>4?56c9K6=3l5G2828R7?428qG>4;51zTg7?4|,8in6<{zut1d=n950;&1=4<6k>1]>4=51zN1=0<6s_n86?u+1bg95f1<^8886?u+5gf95>"2nj0:7psr}:a5<7=83>1<7>t$3;2>3d<@;2>7d?=b;29 7?6288j7E<60:T1=6<6sE8297?tVe196~"6kl0:>o5Y13196~"2nm0=7);ic;48yx{z3`;9o7>5$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6:j1]=?=52z&6ba:00b?S4>;3;p@?7::0yU`6<5s-;hi7?<7:T266<5s-?mh7m4$4d`>f=zutw0c4e03_;9?74=#=oi1=6sr}|9~f4?5290?6=4?{%0:5?0e3A8396g>2c83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:k1]=?=52z&6ba:00b?M4>82\95>4>{M0:1?7|^m91>v*>cd826f=Q9;91>v*:fe8`?!3ak3i0qpsr;h303?6=,;3:6<62281!3al3i0(8hl:b9~yx{5N50<1b=?l50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957d<^8886?u+5gf95>"2nj0:7psr}:k26f<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:>n5Y13196~"2nm0:7);ic;38yx{z3`;8;7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;303>P6::09w);id;38 0`d281vqps4i061>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb28>97[?=3;0x 0`c281/9km51:~yx=n9=?1<7*=90826d=Q:091=vB=9482Sb42;q/=nk51578R4442;q/9kj51:&6bf<63twvq6g>4783>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:00b?S4>;3;p@?7::0yU`6<5s-;hi7?;7:T266<5s-?mh7?4$4d`>4=zutw0c4e03_;9?74=#=oi1=6sr}|9~f4g429096=4?{%0:5?df3A8396g>2`83>!4>93;9m65`1b594?"5180:o:5Y28195~J51<0:w[j<:3y'5fc=9j=0Z<<<:3y'1cb=92.>jn4>;|~y>{e9hl1<7850;2x 7?628837E<75:&20g5$3;2>44f3_82?7?tL3;6>4}Q9k:1>v*>cd826d=Q9;91>v*:fe82?!3ak3;0qpsr;ha`>5<#:0;1on5Y28195~J51<0:w[?m0;0x 4eb2ji0Z<<<:3y'1cb=92.>jn4>;|~y>odl3:1(?7>:bf8R7?42;qG>4;51zT2f5<5s-;hi7mk;W317?4|,5+5ga97>{zuE8287:tV3:1>6}Ql809w);ib;ag?!5ek3?mh6sYd381!3aj3;9m6*6}Ql809w);ib;ag?!5ek3?mh6sYd381!3aj3;9m6*6}Ql809w);ib;ag?!5ek3?mh6sYd381!3aj3ih7)=mc;7e`>{#>>=1>6sY1bf96~Pc938p(8hm:bf8 6dd25$3;2>7>63_82?74}Q9k:1>v*>cd81<4=Q9;91>v*:fe8g?!3ak3n0qpsC28695~P50h09w[j>:3y'1cd=:1;0(>ll:4dg?x"1?>0o7psr;h046?6=,;3:6?9=;W0:7?4|D;3>61=vX=8`81Sb62;q/9kl52608 6dd25$3;2>4e032wi=l:50;194?6|,;3:6?6j;I0;1>o6:k0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2c9U575=:r.>ji4>;%7eg?74={%3`a?75k2\:>>4={%7e`?7<,4?51b58R7?428qG>4;51zTg7?4|,8in6{zut1vn5<7s-82=7<68:J1<0=h9j=1<7*=9082g2=53;294~"5180=n6F=849j57d=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?l4V000>7}#=on1=6*:fb82?x{zu2c:>n4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826f=Q9;91>v*:fe82?!3ak3;0qpsr;n3`3?6=,;3:662281!3al3;0(8hl:09~yx{53;294~"5180=n6F=849j57d=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?l4V000>7}#=on1=6*:fb82?x{zu2c:>n4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826f=Q9;91>v*:fe82?!3ak3;0qpsr;n3`3?6=,;3:662281!3al3;0(8hl:09~yx{54;294~"5180=n6F=849j57d=83.95<4>2`9K6<6<^;386l5Y28195~J51<0:w[j<:3y'5fc=9;i0Z<<<:3y'1cb=k2.>jn4l;|~y>o6;>0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>369U575=:r.>ji4l;%7eg?e4={%3`a?7d?2\:>>4={%7e`?7<,50z&1=4<1j2B9485f13`94?"5180:>l5Y28195~J51<0:w[j<:3y'5fc=9;h0Z<<<:3y'1cb=k2.>jn4l;|~y>o6:j0;6)<61;31e>N5191]>4=51zN1=0<6s_n86?u+1bg957e<^8886?u+5gf9g>"2nj0h7psr}:k272<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:?:5Y13196~"2nm0h7);ic;a8yx{z3f;h;7>5$3;2>4e03_82?7?tL3;6>4}Ql:09w)?le;3`3>P6::09w);id;38 0`d281vqps4}c3bf?6==3:1N50<1b=?l50;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb288i7[?=3;0x 0`c2j1/9km5c:~yx=n9;i1<7*=90826d=O:0:0Z?7<:0yO6<3=9r\o?744d3_;9?7f=#=oi1o6sr}|9j561=83.95<4>2`9K6<6<^;386l5G2828R7?428qG>4;51zTg7?4|,8in6<:=;W317?4|,{zut1d=n950;&1=4<6k>1]>4=51zN1=0<6s_n86?u+1bg95f1<^8886?u+5gf95>"2nj0:7psr}:a5de=8321<7>t$3;2>3d<@;2>7d?=b;29 7?6288j7[<63;3xH7?228q]h>4={%3`a?75j2\:>>4={%7e`?0<,4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;31g>P6::09w);id;48 0`d2?1vqps4i014>5<#:0;1=?o4H3;3?S4>;3;p@?7::0yU`6<5s-;hi7?<7:T266<5s-?mh784$4d`>3=zutw0e<:=:18'6<7=9;k0D?7?;W0:7?7|D;3>66X>2281!3al3i0(8hl:b9~yx{>6=4+283957g<@;3;7[<63;3xH7?228q]h>4={%3`a?73=2\:>>4={%7e`?e<,4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;372>P6::09w);id;a8 0`d2j1vqps4i064>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb28><7[?=3;0x 0`c2j1/9km5c:~yx=h9j=1<7*=9082g2=Q:091=vB=9482Sb42;q/=nk51b58R4442;q/9kj51:&6bf<63twvq6sm1`f94?>=83:p(?7>:7`8L7>23`;9n7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;31f>P6::09w);id;48 0`d2?1vqps4i00`>5<#:0;1=?o4H3;3?S4>;3;p@?7::0yU`6<5s-;hi7?=c:T266<5s-?mh784$4d`>3=zutw0e<=8:18'6<7=9;k0D?7?;W0:7?7|D;3>62281!3al3i0(8hl:b9~yx{96=4+283957g<^;386l5G2828R7?428qG>4;51zTg7?4|,8in6<::;W317?4|,{zut1b=9850;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb28>=7[?=3;0x 0`c2j1/9km5c:~yx=n9==1<7*=90826d=Q:091=vB=9482Sb42;q/=nk51558R4442;q/9kj5c:&6bfc683>!4>93;h;6X=9282I4>=3;pZi=52z&2g`<6k>1]=?=52z&6ba<63-?mo7?4}|~?xd6i?0;654?:1y'6<7=9j<0D?6:;h31f?6=,;3:6<62281!3al3;0(8hl:09~yx{l5Y28195~J51<0:w[j<:3y'5fc=9:=0Z<<<:3y'1cb=92.>jn4>;|~y>o6<;0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>439U575=:r.>ji4>;%7eg?74={%3`a?73=2\:>>4={%7e`?7<,4?513c8R7?428qG>4;51zTg7?4|,8in6<:9;W317?4|,{zut1b=9950;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg9511<^8886?u+5gf95>"2nj0:7psr}:m2g2<72-82=7?l7:T1=6<6sE8297?tVe196~"6kl0:o:5Y13196~"2nm0:7);ic;38yx{z3th9544?:383>5}#:0;1nl5G2978m44f290/>4?513c8?j7d?3:1(?7>:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qo<6e;292?6=8r.95<4>299K6=3<,8>i6k5f13c94?"5180:>l5Y28195~J51<0:w[?m0;0x 4eb288j7[?=3;0x 0`c281/9km51:~yx=nkj0;6)<61;a`?S4>;3;p@?7::0yU5g6=:r.:oh4lc:T266<5s-?mh7?4$4d`>4=zutw0enj50;&1=44={M0:1?7|^8h;6?u+1bg9ga=Q9;91>v*:fe80?!3ak390qpsC28690~P50;08w[j>:3y'1cd=km1/?om55gf8ySb52;q/9kl513c8 6dd2:3y'1cd=km1/?om55gf8ySb52;q/9kl513c8 6dd2:3y'1cd=km1/?om55gf8ySb52;q/9kl5cb9'7ge==on0q)887;08yS7dl38pZi?52z&6bg<3;pZ?6n:3yU`4<5s-?mn7<71:&0ff<2nm1v(;98:e9~yx=n:>81<7*=908137=Q:091>vB=9482S7e838p(f2;q]h<4={%7ef?40:2.8nn4:fe9~ 3102m1vqp5`1b594?"5180:o:54}c0:e?6=;3:144e3_;9?74=#=oi1=6sr}|9j57e=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?m4V000>7}#=on1=6*:fb82?x{zu2e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`1=g<7280;6=u+28396<><@;2>7b?l7;29 7?628i<76sm28a94?5=83:p(?7>:7`8L7>23`;9n7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;31f>P6::09w);id;48 0`d2?1vqps4i00`>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb288h7[?=3;0x 0`c2?1/9km56:~yx=h9j=1<7*=9082g2=Q:091=vB=9482Sb42;q/=nk51b58R4442;q/9kj51:&6bf<63twvq6sm3`294?4=83:p(?7>:cc8L7>23`;9m7>5$3;2>44f32e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`0e<<72:0;6=u+28396=c<@;2>7d?=b;29 7?6288j7[<63;3xH7?228q]h>4={%3`a?75j2\:>>4={%7e`?7<,4?513c8R7?428qG>4;51zTg7?4|,8in6<{zut1d=n950;&1=4<6k>1]>4=51zN1=0<6s_n86?u+1bg95f1<^8886?u+5gf95>"2nj0:7psr}:a7d>=83<1<7>t$3;2>44?3A8396*>4c8e?l75i3:1(?7>:00b?S4>;3;p@?7::0yU5g6=:r.:oh4>2`9U575=:r.>ji4>;%7eg?74=51zN1=0<6s_;i<7fe<^8886?u+5gf95>"2nj0:7psr}:k``?6=,;3:6nj4V3;0>7}K:0?1=vX>b181!7dm3io7[?=3;0x 0`c2:1/9km53:~yI4><3>pZ?6=:2yU`4<5s-?mn7mk;%1ag?3al2w]h?4={%7ef?75i2.8nn4:fe9~ 310201vZ?6=:2yU`4<5s-?mn7mk;%1ag?3al2w]h?4={%7ef?75i2.8nn4:fb9~ 310201vZ?6=:2yU`4<5s-?mn7mk;%1ag?3al2w]h?4={%7ef?ed3-9io7;id:'221=:2w]=nj52zTg5?4|,0`c3t.=;:4j;|~?l4?93:1(?7>:3:2?S4>;38p@?7::0yU5g6=:r.:oh4=809U575=:r.>ji4k;%7eg?b4:51zT152z&2g`<5?;1]=?=52z&6ba7}#=oh1>:<4$2``>0`c3t.=;:4k;|~?j7d?3:1(?7>:0a4?>{e;h;1<7=50;2x 7?62;2n7E<75:k26g<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:>o5Y13196~"2nm0:7);ic;38yx{z3`;9o7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;31g>P6::09w);id;38 0`d281vqps4o0a4>5<#:0;1=n94V3;0>4}K:0?1=vXk3;0x 4eb28i<7[?=3;0x 0`c281/9km51:~yx=zj:k96=4>:183!4>938246F=849l5f1=83.95<4>c698yg5f<3:187>50z&1=4<1j2B9485f13`94?"5180:>l5Y28195~J51<0:w[j<:3y'5fc=9;h0Z<<<:3y'1cb=>2.>jn49;|~y>o6:j0;6)<61;31e>N5191]>4=51zN1=0<6s_n86?u+1bg957e<^8886?u+5gf9g>"2nj0h7psr}:k272<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:?:5Y13196~"2nm0h7);ic;a8yx{z3f;h;7>5$3;2>4e03_82?7?tL3;6>4}Ql:09w)?le;3`3>P6::09w);id;38 0`d281vqps4}c1b1?6=>3:1N50<1b=?l50;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb288i7[?=3;0x 0`c2?1/9km56:~yx=n9;i1<7*=90826d=Q:091=vB=9482Sb42;q/=nk513a8R4442;q/9kj5c:&6bf3683>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6;>1]=?=52z&6ba:00b?S4>;3;p@?7::0yU`6<5s-;hi7?;2:T266<5s-?mh7m4$4d`>f=zutw0e<:::18'6<7=9;k0Z?7<:0yO6<3=9r\o?74223_;9?7f=#=oi1o6sr}|9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on1=6*:fb82?x{zu2wi?l850;494?6|,;3:6;l4H3:6?l75j3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=b:T266<5s-?mh7m4$4d`>f=zutw0e<44d3_;9?7f=#=oi1o6sr}|9j561=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=>94V000>7}#=on1o6*:fb8`?x{zu2c:8?4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8207=Q9;91>v*:fe8`?!3ak3i0qpsr;h371?6=,;3:6<62281!3al3i0(8hl:b9~yx{5<3290;w)<61;3`2>N50<1b=?l50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957d<^8886?u+5gf95>"2nj0:7psr}:k26f<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:>n5Y13196~"2nm0:7);ic;38yx{z3`;8;7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;303>P6::09w);id;38 0`d281vqps4o0a4>5<#:0;1=n94V3;0>4}K:0?1=vXk3;0x 4eb28i<7[?=3;0x 0`c281/9km51:~yx=zj:kj6=4=:183!4>93hj7E<75:k26d<72-82=7?=a:9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on1=6*:fb82?x{zu2wi?lh50;494?6|,;3:6<<7;I0;1>"652z&2g`<6:h1]=?=52z&6ba<63-?mo7?4}|~?led290/>4?5cb9U6<5=9rF9584>{W3a4?4|,8in6nm4V000>7}#=on1=6*:fb82?x{zu2chh7>5$3;2>fb<^;386?uC28795~P6j909w)?le;ag?S75;38p(8hk:29'1ce=;2wvqA<64;6xR7>52:q]h<4={%7ef?ec3-9io7;id:U`7<5s-?mn7?=a:&0ff<2nm1v(;98:89~R7>52:q]h<4={%7ef?ec3-9io7;id:U`7<5s-?mn7?=a:&0ff<2nj1v(;98:89~R7>52:q]h<4={%7ef?ec3-9io7;id:U`7<5s-?mn7ml;%1ag?3al2w/::952:U5fb=:r\o=7fb<,:hh68hk;|&53252z&2g`<5081]=?=52z&6ba7}#=oh1>5?4$2``>0`c3t.=;:4k;|~?l40:3:1(?7>:351?S4>;38p@?7::0yU5g6=:r.:oh4=739U575=:r.>ji4k;%7eg?b4:51zT1:3:f?M4?=2c:>o4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826g=Q9;91>v*:fe82?!3ak3;0qpsr;h31g?6=,;3:6<62281!3al3;0(8hl:09~yx{5<6290;w)<61;0:<>N50<1d=n950;&1=4<6k>10qo=nd;297?6=8r.95<49b:J1<0=n9;h1<7*=90826d=Q:091=vB=9482Sb42;q/=nk513`8R4442;q/9kj56:&6bf<13twvq6g>2b83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:j1]=?=52z&6ba<13-?mo784}|~?j7d?3:1(?7>:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qo=lf;296?6=8r.95<4ma:J1<0=n9;k1<7*=90826d=5<5290;w)<61;`b?M4?=2c:>l4?:%0:5?75i21d=n950;&1=4<6k>1]>4=51zN1=0<6s_n86?u+1bg95f1<^8886?u+5gf95>"2nj0:7psr}:a7ad=8391<7>t$3;2>7>b3A8396g>2c83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:k1]=?=52z&6ba<63-?mo7?4}|~?l75k3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=c:T266<5s-?mh7o4$4d`>d=zutw0c4e03_;9?74=#=oi1=6sr}|9~f6bf290=6=4?{%0:5?7502B9485+15`9b>o6:h0;6)<61;31e>P51:0:wA<65;3xR4d72;q/=nk513c8R4442;q/9kj51:&6bf<63twvq6glc;29 7?62ji0Z?7<:0yO6<3=9r\:n=4={%3`a?ed3_;9?74=#=oi1=6sr}|9jga<72-82=7mk;W0:7?4|D;3>62281!3al390(8hl:29~yxJ51=0?w[<72;1xRa7=:r.>jo4ld:&0ff<2nm1vZi<52z&6bg<6:h1/?om55gf8y!00?330q[<72;1xRa7=:r.>jo4ld:&0ff<2nm1vZi<52z&6bg<6:h1/?om55ga8y!00?330q[<72;1xRa7=:r.>jo4ld:&0ff<2nm1vZi<52z&6bgo5080;6)<61;0;5>P51:09wA<65;3xR4d72;q/=nk52938R4442;q/9kj5d:&6bf7>63-9io7;id:'221=l2wvq6g=7383>!4>938<>6X=9281I4>=3;pZ80Z<<<:3y'1cb=l2.>jn4k;|~H7?328q]>5o52zTg5?4|,i6k>0;6)<61;3`3>=zj:n;6=4<:183!4>9383i6F=849j57d=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?l4V000>7}#=on1=6*:fb82?x{zu2c:>n4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826f=Q9;91>v*:fe82?!3ak3;0qpsr;n3`3?6=,;3:662281!3al3;0(8hl:09~yx{51;294~"51809555G2978k4e0290/>4?51b58?xd4l:0;684?:1y'6<7=>k1C>5;4i00a>5<#:0;1=?o4H3;3?S4>;3;p@?7::0yU`6<5s-;hi7?=b:T266<5s-?mh7m4$4d`>f=zutw0e<44d3_;9?7f=#=oi1o6sr}|9j561=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=>94V000>7}#=on1o6*:fb8`?x{zu2c:8?4?:%0:5?75i2B95=5Y28195~J51<0:w[j<:3y'5fc=9=80Z<<<:3y'1cb=k2.>jn4l;|~y>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4>;%7eg?72<729q/>4?56c9K6=34={%3`a?75j2\:>>4={%7e`?e<,4?513c8R7?428qG>4;51zTg7?4|,8in6<{zut1b=>950;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb289<7[?=3;0x 0`c2j1/9km5c:~yx=n9=81<7*=90826d=O:0:0Z?7<:0yO6<3=9r\o?74253_;9?7f=#=oi1o6sr}|9j513=83.95<4>2`9K6<6<^;386l5Y28195~J51<0:w[j<:3y'5fc=9=<0Z<<<:3y'1cb=k2.>jn4l;|~y>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4>;%7eg?72<729q/>4?56c9K6=34={%3`a?75j2\:>>4={%7e`?e<,4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;31g>P6::09w);id;a8 0`d2j1vqps4i014>5<#:0;1=?o4H3;3?S4>;3;p@?7::0yU`6<5s-;hi7?<7:T266<5s-?mh7m4$4d`>f=zutw0e<:=:18'6<7=9;k0D?7?;W0:7?7|D;3>66X>2281!3al3i0(8hl:b9~yx{>6=4+283957g<@;3;7[<63;3xH7?228q]h>4={%3`a?73=2\:>>4={%7e`?e<,4?513c8R7?428qG>4;51zTg7?4|,8in6<:9;W317?4|,{zut1d=n950;&1=4<6k>1]>4=51zN1=0<6s_n86?u+1bg95f1<^8886?u+5gf95>"2nj0:7psr}:a7a0=83=1<7>t$3;2>3d<@;2>7d?=b;29 7?6288j7E<60:T1=6<6sE8297?tVe196~"6kl0:>o5Y13196~"2nm0h7);ic;a8yx{z3`;9o7>5$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6:j1]=?=52z&6ba:00b?M4>82\95>4>{M0:1?7|^m91>v*>cd8272=Q9;91>v*:fe8`?!3ak3i0qpsr;h376?6=,;3:6<P51:0:wA<65;3xRa5=:r.:oh4>439U575=:r.>ji4l;%7eg?e5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;372>P6::09w);id;a8 0`d2j1vqps4o0a4>5<#:0;1=n94V3;0>4}K:0?1=vXk3;0x 4eb28i<7[?=3;0x 0`c281/9km51:~yx=zj:n96=4::183!4>93;h:6F=849j57d=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?l4V000>7}#=on1=6*:fb82?x{zu2c:>n4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826f=Q9;91>v*:fe82?!3ak3;0qpsr;h303?6=,;3:6<62281!3al3;0(8hl:09~yx{96=4+283957g<^;386jn4>;|~y>{e;m21<7;50;2x 7?62?h0D?6:;h31f?6=,;3:6<P51:0:wA<65;3xRa5=:r.:oh4>2c9U575=:r.>ji4k;%7eg?b4={%3`a?75k2\:>>4={%7e`?b<,4?513c8R7?428qG>4;51zTg7?4|,8in6<=8;W317?4|,{zut1b=9<50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg9514<^8886?u+5gf9`>"2nj0o7psr}:m2g2<72-82=7?l7:T1=6<6sE8297?tVe196~"6kl0:o:5Y13196~"2nm0:7);ic;38yx{z3th?<84?:383>5}#:0;1nl5G2978m44f290/>4?513c8?j7d?3:1(?7>:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qo:?a;292?6=8r.95<4>299K6=3<,8>i6k5f13c94?"5180:>l5Y28195~J51<0:w[?m0;0x 4eb288j7[?=3;0x 0`c281/9km51:~yx=nkj0;6)<61;a`?S4>;3;p@?7::0yU5g6=:r.:oh4lc:T266<5s-?mh7?4$4d`>4=zutw0enj50;&1=44={M0:1?7|^8h;6?u+1bg9ga=Q9;91>v*:fe80?!3ak390qpsC28690~P50;08w[j>:3y'1cd=km1/?om55gf8ySb52;q/9kl513c8 6dd2:3y'1cd=km1/?om55gf8ySb52;q/9kl513c8 6dd2:3y'1cd=km1/?om55gf8ySb52;q/9kl5cb9'7ge==on0q)887;08yS7dl38pZi?52z&6bg<3;pZ?6n:3yU`4<5s-?mn7<71:&0ff<2nm1v(;98:e9~yx=n:>81<7*=908137=Q:091>vB=9482S7e838p(f2;q]h<4={%7ef?40:2.8nn4:fe9~ 3102m1vqp5`1b594?"5180:o:54}c632?6=;3:144e3_;9?74=#=oi1=6sr}|9j57e=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?m4V000>7}#=on1=6*:fb82?x{zu2e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`742<7280;6=u+28396<><@;2>7b?l7;29 7?628i<76sm41:94?5=83:p(?7>:7`8L7>23`;9n7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;31f>P6::09w);id;48 0`d2?1vqps4i00`>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb288h7[?=3;0x 0`c2?1/9km56:~yx=h9j=1<7*=9082g2=Q:091=vB=9482Sb42;q/=nk51b58R4442;q/9kj51:&6bf<63twvq6sm40394?4=83:p(?7>:cc8L7>23`;9m7>5$3;2>44f32e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`75g<72?0;6=u+283957><@;2>7)?;b;d8m44f290/>4?513c8R7?428qG>4;51zT2f5<5s-;hi7?=a:T266<5s-?mh7?4$4d`>4=zutw0enm50;&1=44>{M0:1?7|^8h;6?u+1bg9gf=Q9;91>v*:fe82?!3ak3;0qpsr;hag>5<#:0;1oi5Y28196~J51<0:w[?m0;0x 4eb2jn0Z<<<:3y'1cb=;2.>jn4<;|~H7?32=q]>5<53zTg5?4|,0`c3t\o>744f3-9io7;id:'221=12w]>5<53zTg5?4|,0`c3t\o>744f3-9io7;ic:'221=12w]>5<53zTg5?4|,0`c3t\o>7fe<,:hh68hk;|&532<53t\:oi4={Wf2>7}#=oh1oi5+3ca91cb6290/>4?52938R7?42;qG>4;51zT2f5<5s-;hi7<71:T266<5s-?mh7j4$4d`>a=zutF9594>{W0;e?4|^m;1>v*:fc81<4=#;ki19kj4}%443?b80Z?7<:3yO6<3=9r\:n=4={%3`a?40:2\:>>4={%7e`?b<,4?51b58?xd39;0;6>4?:1y'6<7=:1o0D?6:;h31f?6=,;3:6<62281!3al3;0(8hl:09~yx{jn4>;|~y>{e<891<7?50;2x 7?62;337E<75:m2g2<72-82=7?l7:9~f17229086=4?{%0:5?0e3A8396g>2c83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:k1]=?=52z&6ba:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=c:T266<5s-?mh7m4$4d`>f=zutw0c4e03_;9?74=#=oi1=6sr}|9~f17129086=4?{%0:5?0e3A8396g>2c83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:k1]=?=52z&6ba:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=c:T266<5s-?mh7m4$4d`>f=zutw0c4e03_;9?74=#=oi1=6sr}|9~f17029086=4?{%0:5?0e3A8396g>2c83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:k1]=?=52z&6ba:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=c:T266<5s-?mh7m4$4d`>f=zutw0c4e03_;9?74=#=oi1=6sr}|9~f17?290?6=4?{%0:5?0e3A8396g>2c83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:k1]=?=52z&6ba:00b?M4>82\95>4>{M0:1?7|^m91>v*>cd826f=Q9;91>v*:fe8`?!3ak3i0qpsr;h303?6=,;3:6<P51:0:wA<65;3xRa5=:r.:oh4>369U575=:r.>ji4l;%7eg?e4={%3`a?7d?2\:>>4={%7e`?7<,50z&1=4<1j2B9485f13`94?"5180:>l5G2828R7?428qG>4;51zTg7?4|,8in6<{zut1b=?m50;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb288h7[?=3;0x 0`c2j1/9km5c:~yx=n9:=1<7*=90826d=O:0:0Z?7<:0yO6<3=9r\o?74503_;9?7f=#=oi1o6sr}|9j514=83.95<4>2`9K6<6<^;386l5G2828R7?428qG>4;51zTg7?4|,8in6<::;W317?4|,{zut1b=9850;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb28>=7[?=3;0x 0`c2j1/9km5c:~yx=n9==1<7*=90826d=Q:091=vB=9482Sb42;q/=nk51558R4442;q/9kj5c:&6bfc683>!4>93;h;6X=9282I4>=3;pZi=52z&2g`<6k>1]=?=52z&6ba<63-?mo7?4}|~?xd39=0;6;4?:1y'6<7=9j<0D?6:;h31f?6=,;3:6<62281!3al3;0(8hl:09~yx{l5Y28195~J51<0:w[j<:3y'5fc=9:=0Z<<<:3y'1cb=92.>jn4>;|~y>o6<;0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>439U575=:r.>ji4>;%7eg?74={%3`a?73=2\:>>4={%7e`?7<,4?51b58R7?428qG>4;51zTg7?4|,8in6{zut1vn9;?:181>5<7s-82=7ln;I0;1>o6:h0;6)<61;31e>=h9j=1<7*=9082g2=Q:091=vB=9482Sb42;q/=nk51b58R4442;q/9kj51:&6bf<63twvq6sm44794?0=83:p(?7>:00;?M4?=2.:8o4i;h31e?6=,;3:6<6l5Y13196~"2nm0:7);ic;38yx{z3`ih6=4+2839gf=Q:091=vB=9482S7e838p(6=#=oi1?6sr}M0:0?2|^;296>uYd081!3aj3io7)=mc;7e`>{Ql;09w);ib;31e>"4jj0>ji5r$754><=z^;296>uYd081!3aj3io7)=mc;7e`>{Ql;09w);ib;31e>"4jj0>jn5r$754><=z^;296>uYd081!3aj3io7)=mc;7e`>{Ql;09w);ib;a`?!5ek3?mh6s+66596>{Q9jn1>vXk1;0x 0`e2jn0(>ll:4dg?x"1?>0n7psr;h0;5?6=,;3:6?6>;W0:7?4|D;3>61=vX=8`81Sb62;q/9kl52938 6dd27>5$3;2>7153_82?74}Q9k:1>v*>cd8137=Q9;91>v*:fe8g?!3ak3n0qpsC28695~P50h09w[j>:3y'1cd=:>80(>ll:4dg?x"1?>0o7psr;n3`3?6=,;3:6t$3;2>7>b3A8396g>2c83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:k1]=?=52z&6ba<63-?mo7?4}|~?l75k3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=c:T266<5s-?mh7?4$4d`>4=zutw0c4e03_;9?74=#=oi1=6sr}|9~f135290:6=4?{%0:5?4>02B9485`1b594?"5180:o:54}c667?6=;3:1N50<1b=?l50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957d<^8886?u+5gf9g>"2nj0h7psr}:k26f<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:>n5Y13196~"2nm0h7);ic;a8yx{z3f;h;7>5$3;2>4e03_82?7?tL3;6>4}Ql:09w)?le;3`3>P6::09w);id;38 0`d281vqps4}c662?6=:3:1N50<1b=?o50;&1=4<6:h10c4e03_;9?74=#=oi1=6sr}|9~f13e290=6=4?{%0:5?7502B9485+15`9b>o6:h0;6)<61;31e>P51:0:wA<65;3xR4d72;q/=nk513c8R4442;q/9kj51:&6bf<63twvq6glc;29 7?62ji0Z?7<:0yO6<3=9r\:n=4={%3`a?ed3_;9?74=#=oi1=6sr}|9jga<72-82=7mk;W0:7?4|D;3>62281!3al390(8hl:29~yxJ51=0?w[<72;1xRa7=:r.>jo4ld:&0ff<2nm1vZi<52z&6bg<6:h1/?om55gf8y!00?330q[<72;1xRa7=:r.>jo4ld:&0ff<2nm1vZi<52z&6bg<6:h1/?om55ga8y!00?330q[<72;1xRa7=:r.>jo4ld:&0ff<2nm1vZi<52z&6bgo5080;6)<61;0;5>P51:09wA<65;3xR4d72;q/=nk52938R4442;q/9kj5d:&6bf7>63-9io7;id:'221=l2wvq6g=7383>!4>938<>6X=9281I4>=3;pZ80Z<<<:3y'1cb=l2.>jn4k;|~H7?328q]>5o52zTg5?4|,i6k>0;6)<61;3`3>=zj=?<6=4<:183!4>9383i6F=849j57d=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?l4V000>7}#=on1=6*:fb82?x{zu2c:>n4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826f=Q9;91>v*:fe82?!3ak3;0qpsr;n3`3?6=,;3:662281!3al3;0(8hl:09~yx{>47>51;294~"51809555G2978k4e0290/>4?51b58?xd3=00;6>4?:1y'6<7=>k1C>5;4i00a>5<#:0;1=?o4H3;3?S4>;3;p@?7::0yU`6<5s-;hi7?=b:T266<5s-?mh784$4d`>3=zutw0e<62281!3al3<0(8hl:79~yx{:cc8L7>23`;9m7>5$3;2>44f32e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`5=?6=>3:12`9U6<5=9rF9584>{W3a4?4|,8in6<{zut1bon4?:%0:5?ed3_82?7?tL3;6>4}Q9k:1>v*>cd8`g>P6::09w);id;38 0`d281vqps4ibf94?"5180hh6X=9281I4>=3;pZ7}#=oh1oi5+3ca91cb3t\94?4<{Wf2>7}#=oh1oi5+3ca91cb3t\94?4<{Wf2>7}#=oh1oi5+3ca91cb{W3a4?4|,8in6?6>;W317?4|,{zuE8287?tV3:b>7}Ql809w);ib;0;5>"4jj0>ji5r$754>a=zut1b>:<50;&1=4<5?;1]>4=52zN1=0<6s_;i<77153_;9?7a=#=oi1h6sr}M0:0?7|^;2j6?uYd081!3aj38<>6*c698yg0229086=4?{%0:5?4?m2B9485f13`94?"5180:>l5Y28195~J51<0:w[j<:3y'5fc=9;h0Z<<<:3y'1cb=92.>jn4>;|~y>o6:j0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2b9U575=:r.>ji4>;%7eg?74={%3`a?7d?2\:>>4={%7e`?7<,02B9485`1b594?"5180:o:54}c44>5<4290;w)<61;4a?M4?=2c:>o4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826g=Q9;91>v*:fe8`?!3ak3i0qpsr;h31g?6=,;3:6<62281!3al3i0(8hl:b9~yx{5<5290;w)<61;`b?M4?=2c:>l4?:%0:5?75i21d=n950;&1=4<6k>1]>4=51zN1=0<6s_n86?u+1bg95f1<^8886?u+5gf95>"2nj0:7psr}:a657=83<1<7>t$3;2>44?3A8396*>4c8e?l75i3:1(?7>:00b?S4>;3;p@?7::0yU5g6=:r.:oh4>2`9U575=:r.>ji4>;%7eg?74=51zN1=0<6s_;i<7fe<^8886?u+5gf95>"2nj0:7psr}:k``?6=,;3:6nj4V3;0>7}K:0?1=vX>b181!7dm3io7[?=3;0x 0`c2:1/9km53:~yI4><3>pZ?6=:2yU`4<5s-?mn7mk;%1ag?3al2w]h?4={%7ef?75i2.8nn4:fe9~ 310201vZ?6=:2yU`4<5s-?mn7mk;%1ag?3al2w]h?4={%7ef?75i2.8nn4:fb9~ 310201vZ?6=:2yU`4<5s-?mn7mk;%1ag?3al2w]h?4={%7ef?ed3-9io7;id:'221=:2w]=nj52zTg5?4|,0`c3t.=;:4j;|~?l4?93:1(?7>:3:2?S4>;38p@?7::0yU5g6=:r.:oh4=809U575=:r.>ji4k;%7eg?b4:51zT152z&2g`<5?;1]=?=52z&6ba7}#=oh1>:<4$2``>0`c3t.=;:4k;|~?j7d?3:1(?7>:0a4?>{e9o=1<7=50;2x 7?62;2n7E<75:k26g<72-82=7?=a:J1=5=Q:091=vB=9482Sb42;q/=nk513`8R4442;q/9kj51:&6bf<63twvq6g>2b83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:j1]=?=52z&6ba<63-?mo7?4}|~?j7d?3:1(?7>:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qo?i8;295?6=8r.95<4=999K6=35}#:0;1:o5G2978m44e290/>4?513c8R7?428qG>4;51zTg7?4|,8in6<{zut1b=?m50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957e<^8886?u+5gf95>"2nj0:7psr}:m2g2<72-82=7?l7:T1=6<6sE8297?tVe196~"6kl0:o:5Y13196~"2nm0:7);ic;38yx{z3th:jo4?:783>5}#:0;1:o5G2978m44e290/>4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;31f>P6::09w);id;a8 0`d2j1vqps4i00`>5<#:0;1=?o4H3;3?S4>;3;p@?7::0yU`6<5s-;hi7?=c:T266<5s-?mh7m4$4d`>f=zutw0e<=8:18'6<7=9;k0D?7?;W0:7?7|D;3>62281!3al3i0(8hl:b9~yx{96=4+283957g<@;3;7[<63;3xH7?228q]h>4={%3`a?73:2\:>>4={%7e`?e<,4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;371>P6::09w);id;a8 0`d2j1vqps4o0a4>5<#:0;1=n94V3;0>4}K:0?1=vXk3;0x 4eb28i<7[?=3;0x 0`c281/9km51:~yx=zj8lh6=48:183!4>93o5Y13196~"2nm0=7);ic;48yx{z3`;9o7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;31g>P6::09w);id;a8 0`d2j1vqps4i014>5<#:0;1=?o4H3;3?S4>;3;p@?7::0yU`6<5s-;hi7?<7:T266<5s-?mh7m4$4d`>f=zutw0e<:=:18'6<7=9;k0D?7?;W0:7?7|D;3>66X>2281!3al3i0(8hl:b9~yx{>6=4+283957g<@;3;7[<63;3xH7?228q]h>4={%3`a?73=2\:>>4={%7e`?e<,4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;372>P6::09w);id;a8 0`d2j1vqps4o0a4>5<#:0;1=n94V3;0>4}K:0?1=vXk3;0x 4eb28i<7[?=3;0x 0`c281/9km51:~yx=zj8lo6=48:183!4>93o5Y13196~"2nm0=7);ic;48yx{z3`;9o7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;31g>P6::09w);id;a8 0`d2j1vqps4i014>5<#:0;1=?o4H3;3?S4>;3;p@?7::0yU`6<5s-;hi7?<7:T266<5s-?mh7m4$4d`>f=zutw0e<:=:18'6<7=9;k0D?7?;W0:7?7|D;3>66X>2281!3al3i0(8hl:b9~yx{>6=4+283957g<@;3;7[<63;3xH7?228q]h>4={%3`a?73=2\:>>4={%7e`?e<,4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;372>P6::09w);id;a8 0`d2j1vqps4o0a4>5<#:0;1=n94V3;0>4}K:0?1=vXk3;0x 4eb28i<7[?=3;0x 0`c281/9km51:~yx=zj8ln6=48:183!4>93o5Y13196~"2nm0h7);ic;a8yx{z3`;9o7>5$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6:j1]=?=52z&6ba:00b?M4>82\95>4>{M0:1?7|^m91>v*>cd8272=Q9;91>v*:fe8`?!3ak3i0qpsr;h376?6=,;3:6<P51:0:wA<65;3xRa5=:r.:oh4>439U575=:r.>ji4l;%7eg?e5$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qo?if;293?6=8r.95<49b:J1<0=n9;h1<7*=90826d=Q:091=vB=9482Sb42;q/=nk513`8R4442;q/9kj5c:&6bf2b83>!4>93;9m6F=919U6<5=9rF9584>{Wf0>7}#9jo1=?m4V000>7}#=on1o6*:fb8`?x{zu2c:?:4?:%0:5?75i2B95=5Y28195~J51<0:w[j<:3y'5fc=9:=0Z<<<:3y'1cb=k2.>jn4l;|~y>o6<;0;6)<61;31e>N5191]>4=51zN1=0<6s_n86?u+1bg9514<^8886?u+5gf9g>"2nj0h7psr}:k200<72-82=7?=a:J1=5=Q:091=vB=9482Sb42;q/=nk51578R4442;q/9kj5c:&6bf4783>!4>93;9m6F=919U6<5=9rF9584>{Wf0>7}#9jo1=984V000>7}#=on1o6*:fb8`?x{zu2e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`2b<<72>0;6=u+28395f0<@;2>7d?=b;29 7?6288j7[<63;3xH7?228q]h>4={%3`a?75j2\:>>4={%7e`?7<,4?513c8R7?428qG>4;51zTg7?4|,8in6<{zut1b=>950;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg9561<^8886?u+5gf95>"2nj0:7psr}:k207<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:8?5Y13196~"2nm0:7);ic;38yx{z3`;?97>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;371>P6::09w);id;38 0`d281vqps4i065>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb28>=7[?=3;0x 0`c281/9km51:~yx=h9j=1<7*=9082g2=Q:091=vB=9482Sb42;q/=nk51b58R4442;q/9kj51:&6bf<63twvq6sm28d94?4=83:p(?7>:cc8L7>23`;9m7>5$3;2>44f32e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`1e1<72?0;6=u+283957><@;2>7)?;b;d8m44f290/>4?513c8R7?428qG>4;51zT2f5<5s-;hi7?=a:T266<5s-?mh7?4$4d`>4=zutw0enm50;&1=44>{M0:1?7|^8h;6?u+1bg9gf=Q9;91>v*:fe82?!3ak3;0qpsr;hag>5<#:0;1oi5Y28196~J51<0:w[?m0;0x 4eb2jn0Z<<<:3y'1cb=;2.>jn4<;|~H7?32=q]>5<53zTg5?4|,0`c3t\o>744f3-9io7;id:'221=12w]>5<53zTg5?4|,0`c3t\o>744f3-9io7;ic:'221=12w]>5<53zTg5?4|,0`c3t\o>7fe<,:hh68hk;|&532<53t\:oi4={Wf2>7}#=oh1oi5+3ca91cb6290/>4?52938R7?42;qG>4;51zT2f5<5s-;hi7<71:T266<5s-?mh7j4$4d`>a=zutF9594>{W0;e?4|^m;1>v*:fc81<4=#;ki19kj4}%443?b80Z?7<:3yO6<3=9r\:n=4={%3`a?40:2\:>>4={%7e`?b<,4?51b58?xd5i90;6>4?:1y'6<7=:1o0D?6:;h31f?6=,;3:6<62281!3al3;0(8hl:09~yx{jn4>;|~y>{e:h;1<7?50;2x 7?62;337E<75:m2g2<72-82=7?l7:9~f7g529086=4?{%0:5?0e3A8396g>2c83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:k1]=?=52z&6ba:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=c:T266<5s-?mh7m4$4d`>f=zutw0c4e03_;9?74=#=oi1=6sr}|9~f6dc29096=4?{%0:5?df3A8396g>2`83>!4>93;9m65`1b594?"5180:o:5Y28195~J51<0:w[j<:3y'5fc=9j=0Z<<<:3y'1cb=92.>jn4>;|~y>{e;j;1<7<50;2x 7?62kk0D?6:;h31e?6=,;3:6<5}#:0;1=?64H3:6?!73j3l0e<>4={%7e`?7<,7}#9jo1on5Y13196~"2nm0:7);ic;38yx{z3`io6=4+2839ga=Q:091>vB=9482S7e838p(fb<,:hh68hk;|Tg6?4|,fb<,:hh68hk;|Tg6?4|,fb<,:hh68hk;|Tg6?4|,0`c3t.=;:4=;|T2ga<5s_n:6?u+5g`9ga=#;ki19kj4}%443?c:18'6<7=:1;0Z?7<:3yO6<3=9r\:n=4={%3`a?4?92\:>>4={%7e`?b<,4?52608R7?42;qG>4;51zT2f5<5s-;hi7<82:T266<5s-?mh7j4$4d`>a=zutF9594>{W0;e?4|^m;1>v*:fc8137=#;ki19kj4}%443?b6<729q/>4?529g8L7>23`;9n7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;31f>P6::09w);id;38 0`d281vqps4i00`>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb288h7[?=3;0x 0`c281/9km51:~yx=h9j=1<7*=9082g2=Q:091=vB=9482Sb42;q/=nk51b58R4442;q/9kj51:&6bf<63twvq6sm3cd94?7=83:p(?7>:3;;?M4?=2e:o:4?:%0:5?7d?21vn>m?:180>5<7s-82=78m;I0;1>o6:k0;6)<61;31e>N5191]>4=51zN1=0<6s_n86?u+1bg957d<^8886?u+5gf92>"2nj0=7psr}:k26f<72-82=7?=a:J1=5=Q:091=vB=9482Sb42;q/=nk513a8R4442;q/9kj56:&6bf<13twvq6a>c683>!4>93;h;6X=9282I4>=3;pZi=52z&2g`<6k>1]=?=52z&6ba<63-?mo7?4}|~?xd4k;0;6??50;2x 7?62?h0D?6:;h31f?6=,;3:6<P51:0:wA<65;3xRa5=:r.:oh4>2c9U575=:r.>ji48;%7eg?1n5Y13196~"2nm0<7);ic;58yx{z3`;8;7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;303>P6::09w);id;58 0`d2>1vqps4i061>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb28>97[?=3;0x 0`c2>1/9km57:~yx=n9=?1<7*=90826d=Q:091=vB=9482Sb42;q/=nk51578R4442;q/9kj57:&6bf<03twvq6g>4783>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:00b?S4>;3;p@?7::0yU`6<5s-;hi7?;7:T266<5s-?mh794$4d`>2=zutw0e<:7:18'6<7=9;k0Z?7<:0yO6<3=9r\o?742?3_;9?72=#=oi1;6sr}|9j51?=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=974V000>7}#=on1;6*:fb84?x{zu2c:8l4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd820d=Q9;91>v*:fe84?!3ak3=0qpsr;h31`?6=,;3:6<62281!3al3=0(8hl:69~yx{l5Y28195~J51<0:w[j<:3y'5fc=9;l0Z<<<:3y'1cb=?2.>jn48;|~y>o6;90;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>319U575=:r.>ji48;%7eg?17>5$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6;;1]=?=52z&6ba<03-?mo794}|~?l74;3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?<3:T266<5s-?mh794$4d`>2=zutw0e<=;:18'6<7=9;k0Z?7<:0yO6<3=9r\o?74533_;9?74=#=oi1=6sr}|9j563=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=>;4V000>7}#=on1=6*:fb82?x{zu2c:?;4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8273=Q9;91>v*:fe82?!3ak3;0qpsr;h3062281!3al3;0(8hl:09~yx{l5Y28195~J51<0:w[j<:3y'5fc=9:k0Z<<<:3y'1cb=92.>jn4>;|~y>o6;k0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>3c9U575=:r.>ji4>;%7eg?74={%3`a?74k2\:>>4={%7e`?7<,4?513c8R7?428qG>4;51zTg7?4|,8in6<=k;W317?4|,{zut1b=>k50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg956c<^8886?u+5gf95>"2nj0:7psr}:k27c<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:?k5Y13196~"2nm0:7);ic;38yx{z3`;?<7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;374>P6::09w);id;38 0`d281vqps4i062>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb28>:7[?=3;0x 0`c281/9km51:~yx=n9=91<7*=90826d=Q:091=vB=9482Sb42;q/=nk51518R4442;q/9kj51:&6bf<63twvq6g>4583>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6<=1]=?=52z&6ba<63-?mo7?4}|~?j7d?3:1(?7>:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qo=l3;2964<729q/>4?56c9K6=34={%3`a?75j2\:>>4={%7e`?1<,4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;31g>P6::09w);id;58 0`d2>1vqps4i014>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb289<7[?=3;0x 0`c2>1/9km57:~yx=n9=81<7*=90826d=Q:091=vB=9482Sb42;q/=nk51508R4442;q/9kj57:&6bf<03twvq6g>4483>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6<<1]=?=52z&6ba<03-?mo794}|~?l73>3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?;6:T266<5s-?mh794$4d`>2=zutw0e<:8:18'6<7=9;k0Z?7<:0yO6<3=9r\o?74203_;9?72=#=oi1;6sr}|9j51>=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=964V000>7}#=on1;6*:fb84?x{zu2c:844?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd820<=Q9;91>v*:fe84?!3ak3=0qpsr;h37e?6=,;3:6<62281!3al3=0(8hl:69~yx{l5Y28195~J51<0:w[j<:3y'5fc=9;o0Z<<<:3y'1cb=?2.>jn48;|~y>o6:o0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2g9U575=:r.>ji48;%7eg?14={%3`a?7482\:>>4={%7e`?1<,4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;305>P6::09w);id;58 0`d2>1vqps4i011>5<#:0;1=?o4H3;3?S4>;3;p@?7::0yU`6<5s-;hi7?<2:T266<5s-?mh794$4d`>2=zutw0e<=<:18'6<7=9;k0Z?7<:0yO6<3=9r\o?74543_;9?72=#=oi1;6sr}|9j562=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=>:4V000>7}#=on1=6*:fb82?x{zu2c:?84?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8270=Q9;91>v*:fe82?!3ak3;0qpsr;h302?6=,;3:6<62281!3al3;0(8hl:09~yx{l5Y28195~J51<0:w[j<:3y'5fc=9:30Z<<<:3y'1cb=92.>jn4>;|~y>o6;h0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>3`9U575=:r.>ji4>;%7eg?74={%3`a?74j2\:>>4={%7e`?7<,4?513c8R7?428qG>4;51zTg7?4|,8in6<=l;W317?4|,{zut1b=>j50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg956b<^8886?u+5gf95>"2nj0:7psr}:k27`<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:?h5Y13196~"2nm0:7);ic;38yx{z3`;8j7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;30b>P6::09w);id;38 0`d281vqps4i063>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb28>;7[?=3;0x 0`c281/9km51:~yx=n9=;1<7*=90826d=Q:091=vB=9482Sb42;q/=nk51538R4442;q/9kj51:&6bf<63twvq6g>4283>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6<:1]=?=52z&6ba<63-?mo7?4}|~?l73<3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?;4:T266<5s-?mh7?4$4d`>4=zutw0c4e03_;9?74=#=oi1=6sr}|9~f6e32909=7>50z&1=4<1j2B9485f13`94?"5180:>l5G2828R7?428qG>4;51zTg7?4|,8in6<{zut1b=?m50;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb288h7[?=3;0x 0`c2>1/9km57:~yx=n9:=1<7*=90826d=Q:091=vB=9482Sb42;q/=nk51258R4442;q/9kj57:&6bf<03twvq6g>4383>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6<;1]=?=52z&6ba<03-?mo794}|~?l73=3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?;5:T266<5s-?mh794$4d`>2=zutw0e<:9:18'6<7=9;k0Z?7<:0yO6<3=9r\o?74213_;9?72=#=oi1;6sr}|9j511=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=994V000>7}#=on1;6*:fb84?x{zu2c:854?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd820==Q9;91>v*:fe84?!3ak3=0qpsr;h37=?6=,;3:6<62281!3al3=0(8hl:69~yx{j6=4+283957g<^;386l5G2828R7?428qG>4;51zTg7?4|,8in6<{zut1b=?k50;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb288n7[?=3;0x 0`c2>1/9km57:~yx=n9;l1<7*=90826d=O:0:0Z?7<:0yO6<3=9r\o?744a3_;9?72=#=oi1;6sr}|9j566=83.95<4>2`9K6<6<^;386l5G2828R7?428qG>4;51zTg7?4|,8in6<=>;W317?4|,{zut1b=><50;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb28997[?=3;0x 0`c2>1/9km57:~yx=n9:91<7*=90826d=Q:091=vB=9482Sb42;q/=nk51218R4442;q/9kj57:&6bf<03twvq6g>3583>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6;=1]=?=52z&6ba<63-?mo7?4}|~?l74=3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?<5:T266<5s-?mh7?4$4d`>4=zutw0e<=9:18'6<7=9;k0Z?7<:0yO6<3=9r\o?74513_;9?74=#=oi1=6sr}|9j56>=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=>64V000>7}#=on1=6*:fb82?x{zu2c:?44?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd827<=Q9;91>v*:fe82?!3ak3;0qpsr;h30e?6=,;3:6<62281!3al3;0(8hl:09~yx{l5Y28195~J51<0:w[j<:3y'5fc=9:i0Z<<<:3y'1cb=92.>jn4>;|~y>o6;m0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>3e9U575=:r.>ji4>;%7eg?74={%3`a?74m2\:>>4={%7e`?7<,4?513c8R7?428qG>4;51zTg7?4|,8in6<=i;W317?4|,{zut1b=9>50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg9516<^8886?u+5gf95>"2nj0:7psr}:k204<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:8<5Y13196~"2nm0:7);ic;38yx{z3`;??7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;377>P6::09w);id;38 0`d281vqps4i067>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb28>?7[?=3;0x 0`c281/9km51:~yx=h9j=1<7*=9082g2=Q:091=vB=9482Sb42;q/=nk51b58R4442;q/9kj51:&6bf<63twvq6sm3b794?46290;w)<61;4a?M4?=2c:>o4?:%0:5?75i2B95=5Y28195~J51<0:w[j<:3y'5fc=9;h0Z<<<:3y'1cb=?2.>jn48;|~y>o6:j0;6)<61;31e>N5191]>4=51zN1=0<6s_n86?u+1bg957e<^8886?u+5gf93>"2nj0<7psr}:k272<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:?:5Y13196~"2nm0<7);ic;58yx{z3`;?>7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;376>P6::09w);id;58 0`d2>1vqps4i066>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb28>>7[?=3;0x 0`c2>1/9km57:~yx=n9=<1<7*=90826d=Q:091=vB=9482Sb42;q/=nk51548R4442;q/9kj57:&6bf<03twvq6g>4683>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6<>1]=?=52z&6ba<03-?mo794}|~?l7303:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?;8:T266<5s-?mh794$4d`>2=zutw0e<:6:18'6<7=9;k0Z?7<:0yO6<3=9r\o?742>3_;9?72=#=oi1;6sr}|9j51g=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=9o4V000>7}#=on1;6*:fb84?x{zu2c:>i4?:%0:5?75i2B95=5Y28195~J51<0:w[j<:3y'5fc=9;n0Z<<<:3y'1cb=?2.>jn48;|~y>o6:l0;6)<61;31e>N5191]>4=51zN1=0<6s_n86?u+1bg957c<^8886?u+5gf93>"2nj0<7psr}:k26c<72-82=7?=a:J1=5=Q:091=vB=9482Sb42;q/=nk513d8R4442;q/9kj57:&6bf<03twvq6g>3183>!4>93;9m6F=919U6<5=9rF9584>{Wf0>7}#9jo1=>>4V000>7}#=on1;6*:fb84?x{zu2c:?<4?:%0:5?75i2B95=5Y28195~J51<0:w[j<:3y'5fc=9:;0Z<<<:3y'1cb=?2.>jn48;|~y>o6;;0;6)<61;31e>N5191]>4=51zN1=0<6s_n86?u+1bg9564<^8886?u+5gf93>"2nj0<7psr}:k276<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:?>5Y13196~"2nm0<7);ic;58yx{z3`;887>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;300>P6::09w);id;38 0`d281vqps4i016>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb289>7[?=3;0x 0`c281/9km51:~yx=n9:<1<7*=90826d=Q:091=vB=9482Sb42;q/=nk51248R4442;q/9kj51:&6bf<63twvq6g>3983>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6;11]=?=52z&6ba<63-?mo7?4}|~?l7413:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?<9:T266<5s-?mh7?4$4d`>4=zutw0e<=n:18'6<7=9;k0Z?7<:0yO6<3=9r\o?745f3_;9?74=#=oi1=6sr}|9j56d=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=>l4V000>7}#=on1=6*:fb82?x{zu2c:?n4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd827f=Q9;91>v*:fe82?!3ak3;0qpsr;h30`?6=,;3:6<62281!3al3;0(8hl:09~yx{l5Y28195~J51<0:w[j<:3y'5fc=9:l0Z<<<:3y'1cb=92.>jn4>;|~y>o6<90;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>419U575=:r.>ji4>;%7eg?74={%3`a?7392\:>>4={%7e`?7<,4?513c8R7?428qG>4;51zTg7?4|,8in6<:<;W317?4|,{zut1b=9:50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg9512<^8886?u+5gf95>"2nj0:7psr}:m2g2<72-82=7?l7:T1=6<6sE8297?tVe196~"6kl0:o:5Y13196~"2nm0:7);ic;38yx{z3th8o;4?:483>5}#:0;1=n84H3:6?l75j3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=b:T266<5s-?mh7?4$4d`>4=zutw0e<44d3_;9?74=#=oi1=6sr}|9j561=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=>94V000>7}#=on1=6*:fb82?x{zu2c:8?4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8207=Q9;91>v*:fe82?!3ak3;0qpsr;n3`3?6=,;3:662281!3al3;0(8hl:09~yx{>o7>52;294~"5180im6F=849j57g=83.95<4>2`98k4e0290/>4?51b58R7?428qG>4;51zTg7?4|,8in6{zut1vn98>:185>5<7s-82=7?=8:J1<0=#9=h1j6g>2`83>!4>93;9m6X=9282I4>=3;pZjn4>;|~y>odk3:1(?7>:ba8R7?428qG>4;51zT2f5<5s-;hi7ml;W317?4|,{zut1boi4?:%0:5?ec3_82?74}Q9k:1>v*>cd8``>P6::09w);id;18 0`d2:1vqpB=9587S4?:39pZi?52z&6bgjo4>2`9'7ge==on0q)887;;8yS4?:39pZi?52z&6bgjo4>2`9'7ge==oi0q)887;;8yS4?:39pZi?52z&6bgjo4lc:&0ff<2nm1v(;98:39~R4ec2;q]h<4={%7ef?ec3-9io7;id:'221=m2wvq6g=8083>!4>9383=6X=9281I4>=3;pZjn4k;|~H7?328q]>5o52zTg5?4|,;%1ag?3al2w/::95d:~y>o5?;0;6)<61;046>P51:09wA<65;3xR4d72;q/=nk52608R4442;q/9kj5d:&6bf7153-9io7;id:'221=l2wvq6a>c683>!4>93;h;65rb57g>5<4290;w)<61;0;a>N50<1b=?l50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957d<^8886?u+5gf95>"2nj0:7psr}:k26f<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:>n5Y13196~"2nm0:7);ic;38yx{z3f;h;7>5$3;2>4e03_82?7?tL3;6>4}Ql:09w)?le;3`3>P6::09w);id;38 0`d281vqps4}c66a?6=93:16<729q/>4?56c9K6=34={%3`a?75j2\:>>4={%7e`?e<,4?513c8R7?428qG>4;51zTg7?4|,8in6<{zut1d=n950;&1=4<6k>1]>4=51zN1=0<6s_n86?u+1bg95f1<^8886?u+5gf95>"2nj0:7psr}:a03>=8381<7>t$3;2>gg<@;2>7d?=a;29 7?6288j76a>c683>!4>93;h;6X=9282I4>=3;pZi=52z&2g`<6k>1]=?=52z&6ba<63-?mo7?4}|~?xd3>m0;6;4?:1y'6<7=9;20D?6:;%37f?`P6::09w);id;38 0`d281vqps4iba94?"5180ho6X=9282I4>=3;pZ4?5ce9U6<5=:rF9584>{W3a4?4|,8in6nj4V000>7}#=on1?6*:fb80?x{zD;3?69uY29097~Pc938p(8hm:bf8 6dd2{#>>=156sY29097~Pc938p(8hm:bf8 6dd2{#>>=156sY29097~Pc938p(8hm:bf8 6dd2ll:4dg?x"1?>097pX>ce81Sb62;q/9kl5ce9'7ge==on0q)887;g8yx{P6::09w);id;f8 0`d2m1vqpB=9582S4?i38pZi?52z&6bg<5081/?om55gf8y!00?3n0qps4i351>5<#:0;1>:<4V3;0>7}K:0?1=vX>b181!7dm38<>6X>2281!3al3n0(8hl:e9~yxJ51=0:w[<7a;0xRa7=:r.>jo4=739'7ge==on0q)887;f8yx{5}#:0;1>5k4H3:6?l75j3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=b:T266<5s-?mh7?4$4d`>4=zutw0e<44d3_;9?74=#=oi1=6sr}|9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on1=6*:fb82?x{zu2wi8;o50;394?6|,;3:6?77;I0;1>i6k>0;6)<61;3`3>=zj=93o5Y13196~"2nm0h7);ic;a8yx{z3`;9o7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;31g>P6::09w);id;a8 0`d2j1vqps4o0a4>5<#:0;1=n94V3;0>4}K:0?1=vXk3;0x 4eb28i<7[?=3;0x 0`c281/9km51:~yx=zj<3o6=4=:183!4>93hj7E<75:k26d<72-82=7?=a:9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on1=6*:fb82?x{zu2wi9l?50;094?6|,;3:6oo4H3:6?l75i3:1(?7>:00b?>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4>;%7eg?73<729q/>4?513:8L7>23-;?n7h4i00b>5<#:0;1=?o4V3;0>4}K:0?1=vX>b181!7dm3;9m6X>2281!3al3;0(8hl:09~yx{P51:0:wA<65;3xR4d72;q/=nk5cb9U575=:r.>ji4>;%7eg?74=52zN1=0<6s_;i<7fb<^8886?u+5gf97>"2nj087psrL3;7>1}Q:181?vXk1;0x 0`e2jn0(>ll:4dg?xPc:38p(8hm:00b?!5ek3?mh6s+6659=>{Q:181?vXk1;0x 0`e2jn0(>ll:4dg?xPc:38p(8hm:00b?!5ek3?mo6s+6659=>{Q:181?vXk1;0x 0`e2jn0(>ll:4dg?xPc:38p(8hm:ba8 6dd2:3y'1cd=km1/?om55gf8y!00?3o0qps4i3:2>5<#:0;1>5?4V3;0>7}K:0?1=vX>b181!7dm383=6X>2281!3al3n0(8hl:e9~yxJ51=0:w[<7a;0xRa7=:r.>jo4=809'7ge==on0q)887;f8yx{P6::09w);id;f8 0`d2m1vqpB=9582S4?i38pZi?52z&6bg<5?;1/?om55gf8y!00?3n0qps4o0a4>5<#:0;1=n94;|`6=`<72:0;6=u+28396=c<@;2>7d?=b;29 7?6288j7[<63;3xH7?228q]h>4={%3`a?75j2\:>>4={%7e`?7<,4?513c8R7?428qG>4;51zTg7?4|,8in6<{zut1d=n950;&1=4<6k>1]>4=51zN1=0<6s_n86?u+1bg95f1<^8886?u+5gf95>"2nj0:7psr}:a1<`=83;1<7>t$3;2>7??3A8396a>c683>!4>93;h;65rb4c3>5<4290;w)<61;4a?M4?=2c:>o4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826g=Q9;91>v*:fe8`?!3ak3i0qpsr;h31g?6=,;3:6<P51:0:wA<65;3xRa5=:r.:oh4>2b9U575=:r.>ji4l;%7eg?e4={%3`a?7d?2\:>>4={%7e`?7<,50z&1=4<1j2B9485f13`94?"5180:>l5Y28195~J51<0:w[j<:3y'5fc=9;h0Z<<<:3y'1cb=?2.>jn48;|~y>o6:j0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2b9U575=:r.>ji48;%7eg?17>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;376>P6::09w);id;f8 0`d2m1vqps4i066>5<#:0;1=?o4H3;3?S4>;3;p@?7::0yU`6<5s-;hi7?;5:T266<5s-?mh7j4$4d`>a=zutw0e<:9:18'6<7=9;k0D?7?;W0:7?7|D;3>62281!3al3n0(8hl:e9~yx{<6=4+283957g<^;386jn4>;|~y>{e=h91<7650;2x 7?62?h0D?6:;h31f?6=,;3:6<62281!3al3=0(8hl:69~yx{l5G2828R7?428qG>4;51zTg7?4|,8in6<=8;W317?4|,{zut1b=9<50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg9514<^8886?u+5gf9`>"2nj0o7psr}:k200<72-82=7?=a:J1=5=Q:091=vB=9482Sb42;q/=nk51578R4442;q/9kj5d:&6bf4783>!4>93;9m6F=919U6<5=9rF9584>{Wf0>7}#9jo1=984V000>7}#=on1h6*:fb8g?x{zu2c:8:4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8202=Q9;91>v*:fe8g?!3ak3n0qpsr;n3`3?6=,;3:662281!3al3;0(8hl:09~yx{58;294~"5180=n6F=849j57d=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?l4V000>7}#=on1;6*:fb84?x{zu2c:>n4?:%0:5?75i2B95=5Y28195~J51<0:w[j<:3y'5fc=9;i0Z<<<:3y'1cb=?2.>jn48;|~y>o6;>0;6)<61;31e>N5191]>4=51zN1=0<6s_n86?u+1bg9561<^8886?u+5gf9`>"2nj0o7psr}:k207<72-82=7?=a:J1=5=Q:091=vB=9482Sb42;q/=nk51508R4442;q/9kj5d:&6bf4483>!4>93;9m6F=919U6<5=9rF9584>{Wf0>7}#9jo1=9;4V000>7}#=on1h6*:fb8g?x{zu2c:8;4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8203=Q9;91>v*:fe8g?!3ak3n0qpsr;h373?6=,;3:6<P51:0:wA<65;3xRa5=:r.:oh4>469U575=:r.>ji4k;%7eg?b4={%3`a?7d?2\:>>4={%7e`?7<,50z&1=4<6k?1C>5;4i00a>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb288i7[?=3;0x 0`c281/9km51:~yx=n9;i1<7*=90826d=Q:091=vB=9482Sb42;q/=nk513a8R4442;q/9kj51:&6bf<63twvq6g>3683>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6;>1]=?=52z&6ba<63-?mo7?4}|~?j7d?3:1(?7>:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qo8>9;296?6=8r.95<4ma:J1<0=n9;k1<7*=90826d=5<1290;w)<61;31<>N50<1/=9l5f:k26d<72-82=7?=a:T1=6<6sE8297?tV0`3>7}#9jo1=?o4V000>7}#=on1=6*:fb82?x{zu2cho7>5$3;2>fe<^;386!4>93io7[<63;0xH7?228q]=o>52z&2g`>4={%7e`?5<,5r}|N1=1<3s_83>7=tVe396~"2nk0hh6*v*:fc826d=#;ki19kj4}%443??7=tVe396~"2nk0hh6*v*:fc826d=#;ki19km4}%443??7=tVe396~"2nk0hh6*v*:fc8`g>"4jj0>ji5r$754>7=z^8io6?uYd081!3aj3io7)=mc;7e`>{#>>=1i6sr}:k1<4<72-82=7<71:T1=6<5sE8297?tV0`3>7}#9jo1>5?4V000>7}#=on1h6*:fb8g?x{zD;3?6{zu2c9;?4?:%0:5?40:2\95>4={M0:1?7|^8h;6?u+1bg9624<^8886?u+5gf9`>"2nj0o7psrL3;7>4}Q:1k1>vXk1;0x 0`e2;=97)=mc;7e`>{#>>=1h6sr}:m2g2<72-82=7?l7:9~f37f29086=4?{%0:5?4?m2B9485f13`94?"5180:>l5Y28195~J51<0:w[j<:3y'5fc=9;h0Z<<<:3y'1cb=92.>jn4>;|~y>o6:j0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2b9U575=:r.>ji4>;%7eg?74={%3`a?7d?2\:>>4={%7e`?7<,50z&1=4<5111C>5;4o0a4>5<#:0;1=n94;|`55f<72:0;6=u+28392g=O:1?0e<44e3_;9?7f=#=oi1o6sr}|9j57e=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?m4V000>7}#=on1o6*:fb8`?x{zu2e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`55c<72;0;6=u+2839fd=O:1?0e<4={%3`a?7d?2\:>>4={%7e`?7<,3:1>7>50z&1=4l54o0a4>5<#:0;1=n94V3;0>4}K:0?1=vXk3;0x 4eb28i<7[?=3;0x 0`c281/9km51:~yx=zj?8h6=49:183!4>93;946F=849'51d=n2c:>l4?:%0:5?75i2\95>4>{M0:1?7|^8h;6?u+1bg957g<^8886?u+5gf95>"2nj0:7psr}:k`g?6=,;3:6nm4V3;0>4}K:0?1=vX>b181!7dm3ih7[?=3;0x 0`c281/9km51:~yx=nkm0;6)<61;ag?S4>;38p@?7::0yU5g6=:r.:oh4ld:T266<5s-?mh7=4$4d`>6=zutF9594;{W0;6?5|^m;1>v*:fc8``>"4jj0>ji5rVe096~"2nk0:>l5+3ca91cbv*:fc8``>"4jj0>ji5rVe096~"2nk0:>l5+3ca91cev*:fc8``>"4jj0>ji5rVe096~"2nk0ho6*7}Ql809w);ib;ag?!5ek3?mh6s+6659a>{zu2c94<4?:%0:5?4?92\95>4={M0:1?7|^8h;6?u+1bg96=7<^8886?u+5gf9`>"2nj0o7psrL3;7>4}Q:1k1>vXk1;0x 0`e2;2:7)=mc;7e`>{#>>=1h6sr}:k137<72-82=7<82:T1=6<5sE8297?tV0`3>7}#9jo1>:<4V000>7}#=on1h6*:fb8g?x{zD;3?6{zu2e:o:4?:%0:5?7d?21vn;5<7s-82=7<7e:J1<0=n9;h1<7*=90826d=Q:091=vB=9482Sb42;q/=nk513`8R4442;q/9kj51:&6bf<63twvq6g>2b83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:j1]=?=52z&6ba<63-?mo7?4}|~?j7d?3:1(?7>:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qo8=1;295?6=8r.95<4=999K6=3>4?:283>5}#:0;1:o5G2978m44e290/>4?513c8R7?428qG>4;51zTg7?4|,8in6<{zut1b=?m50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957e<^8886?u+5gf9g>"2nj0h7psr}:m2g2<72-82=7?l7:T1=6<6sE8297?tVe196~"6kl0:o:5Y13196~"2nm0:7);ic;38yx{z3th=>94?:283>5}#:0;1:o5G2978m44e290/>4?513c8R7?428qG>4;51zTg7?4|,8in6<{zut1b=?m50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957e<^8886?u+5gf95>"2nj0:7psr}:m2g2<72-82=7?l7:T1=6<6sE8297?tVe196~"6kl0:o:5Y13196~"2nm0:7);ic;38yx{z3th=>84?:583>5}#:0;1:o5G2978m44e290/>4?513c8R7?428qG>4;51zTg7?4|,8in6<{zut1b=?m50;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb288h7[?=3;0x 0`c2j1/9km5c:~yx=n9:=1<7*=90826d=Q:091=vB=9482Sb42;q/=nk51258R4442;q/9kj5c:&6bfc683>!4>93;h;6X=9282I4>=3;pZi=52z&2g`<6k>1]=?=52z&6ba<63-?mo7?4}|~?xd1:;0;694?:1y'6<7=9j<0D?6:;h31f?6=,;3:6<62281!3al3;0(8hl:09~yx{l5Y28195~J51<0:w[j<:3y'5fc=9:=0Z<<<:3y'1cb=92.>jn4>;|~y>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4>;%7eg?70<729q/>4?56c9K6=3l5G2828R7?428qG>4;51zTg7?4|,8in6<{zut1b=>950;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb289<7[?=3;0x 0`c2m1/9km5d:~yx=n9=81<7*=90826d=O:0:0Z?7<:0yO6<3=9r\o?74253_;9?7a=#=oi1h6sr}|9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on1=6*:fb82?x{zu2wi:?650;494?6|,;3:6;l4H3:6?l75j3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=b:T266<5s-?mh794$4d`>2=zutw0e<62281!3al3n0(8hl:e9~yx{l5G2828R7?428qG>4;51zTg7?4|,8in6<:=;W317?4|,{zut1b=9;50;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb28>>7[?=3;0x 0`c2m1/9km5d:~yx=h9j=1<7*=9082g2=Q:091=vB=9482Sb42;q/=nk51b58R4442;q/9kj51:&6bf<63twvq6sm63;94?>=83:p(?7>:7`8L7>23`;9n7>5$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6:k1]=?=52z&6ba<03-?mo794}|~?l75k3:1(?7>:00b?M4>82\95>4>{M0:1?7|^m91>v*>cd826f=Q9;91>v*:fe84?!3ak3=0qpsr;h303?6=,;3:6<P51:0:wA<65;3xRa5=:r.:oh4>369U575=:r.>ji48;%7eg?14={%3`a?73:2\:>>4={%7e`?b<,4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;371>P6::09w);id;f8 0`d2m1vqps4i065>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb28>=7[?=3;0x 0`c2m1/9km5d:~yx=n9==1<7*=90826d=O:0:0Z?7<:0yO6<3=9r\o?74203_;9?7a=#=oi1h6sr}|9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on1=6*:fb82?x{zu2wi:?o50;694?6|,;3:6o6:k0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2c9U575=:r.>ji4>;%7eg?74={%3`a?75k2\:>>4={%7e`?7<,4?513c8R7?428qG>4;51zTg7?4|,8in6<=8;W317?4|,{zut1d=n950;&1=4<6k>1]>4=51zN1=0<6s_n86?u+1bg95f1<^8886?u+5gf95>"2nj0:7psr}:a654=8381<7>t$3;2>gg<@;2>7d?=a;29 7?6288j76a>c683>!4>93;h;6X=9282I4>=3;pZi=52z&2g`<6k>1]=?=52z&6ba<63-?mo7?4}|~?xd5810;6?4?:1y'6<7=jh1C>5;4i00b>5<#:0;1=?o4;n3`3?6=,;3:662281!3al3?0(8hl:49~yx{53;294~"518094h5G2978m44e290/>4?513c8R7?428qG>4;51zTg7?4|,8in6<{zut1b=?m50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957e<^8886?u+5gf95>"2nj0:7psr}:m2g2<72-82=7?l7:T1=6<6sE8297?tVe196~"6kl0:o:5Y13196~"2nm0:7);ic;38yx{z3th9<94?:083>5}#:0;1>464H3:6?j7d?3:1(?7>:0a4?>{e:9<1<7=50;2x 7?62?h0D?6:;h31f?6=,;3:6<62281!3al3<0(8hl:79~yx{4={%3`a?75k2\:>>4={%7e`?e<,4?51b58R7?428qG>4;51zTg7?4|,8in6{zut1vn?>8:180>5<7s-82=78m;I0;1>o6:k0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2c9U575=:r.>ji4l;%7eg?e4={%3`a?75k2\:>>4={%7e`?0<,4?51b58R7?428qG>4;51zTg7?4|,8in6{zut1vn?>::180>5<7s-82=7?l6:J1<0=n9;h1<7*=90826d=Q:091=vB=9482Sb42;q/=nk513`8R4442;q/9kj51:&6bf<63twvq6g>2b83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:j1]=?=52z&6ba<63-?mo7?4}|~?j7d?3:1(?7>:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qo5<5290;w)<61;`b?M4?=2c:>l4?:%0:5?75i21d=n950;&1=4<6k>1]>4=51zN1=0<6s_n86?u+1bg95f1<^8886?u+5gf91>"2nj0>7psr}:a65g=8391<7>t$3;2>7>b3A8396g>2c83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:k1]=?=52z&6ba<63-?mo7?4}|~?l75k3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=c:T266<5s-?mh7?4$4d`>4=zutw0c4e03_;9?74=#=oi1=6sr}|9~f76e290:6=4?{%0:5?4>02B9485`1b594?"5180:o:54}c03`?6=;3:1N50<1b=?l50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957d<^8886?u+5gf92>"2nj0=7psr}:k26f<72-82=7?=a:J1=5=Q:091=vB=9482Sb42;q/=nk513a8R4442;q/9kj5c:&6bfc683>!4>93;h;6X=9282I4>=3;pZi=52z&2g`<6k>1]=?=52z&6ba<63-?mo7?4}|~?xd58l0;6>4?:1y'6<7=>k1C>5;4i00a>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb288i7[?=3;0x 0`c2j1/9km5c:~yx=n9;i1<7*=90826d=Q:091=vB=9482Sb42;q/=nk513a8R4442;q/9kj56:&6bf<13twvq6a>c683>!4>93;h;6X=9282I4>=3;pZi=52z&2g`<6k>1]=?=52z&6ba<63-?mo7?4}|~?xd58j0;6>4?:1y'6<7=9j<0D?6:;h31f?6=,;3:6<62281!3al3;0(8hl:09~yx{jn4>;|~y>{e:;=1<7<50;2x 7?62kk0D?6:;h31e?6=,;3:6<i4?:383>5}#:0;1nl5G2978m44f290/>4?513c8?j7d?3:1(?7>:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7;4$4d`>0=zutw0qo<=8;297?6=8r.95<4=8d9K6=3l5Y28195~J51<0:w[j<:3y'5fc=9;i0Z<<<:3y'1cb=92.>jn4>;|~y>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4>;%7eg?74<729q/>4?528:8L7>23f;h;7>5$3;2>4e032wi>?l50;194?6|,;3:6;l4H3:6?l75j3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=b:T266<5s-?mh784$4d`>3=zutw0e<62281!3al3i0(8hl:b9~yx{5<4290;w)<61;4a?M4?=2c:>o4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826g=Q9;91>v*:fe8`?!3ak3i0qpsr;h31g?6=,;3:6<62281!3al3<0(8hl:79~yx{5<4290;w)<61;3`2>N50<1b=?l50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957d<^8886?u+5gf95>"2nj0:7psr}:k26f<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:>n5Y13196~"2nm0:7);ic;38yx{z3f;h;7>5$3;2>4e03_82?7?tL3;6>4}Ql:09w)?le;3`3>P6::09w);id;38 0`d281vqps4}c01a?6=:3:1N50<1b=?o50;&1=4<6:h10c4e03_;9?74=#=oi1=6sr}|9~f75329096=4?{%0:5?df3A8396g>2`83>!4>93;9m65`1b594?"5180:o:5Y28195~J51<0:w[j<:3y'5fc=9j=0Z<<<:3y'1cb=92.>jn4>;|~y>{e::21<7<50;2x 7?62kk0D?6:;h31e?6=,;3:6<7);ic;78yx{z3th9>k4?:283>5}#:0;1>5k4H3:6?l75j3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=b:T266<5s-?mh7?4$4d`>4=zutw0e<44d3_;9?74=#=oi1=6sr}|9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on1=6*:fb82?x{zu2wi>>>50;394?6|,;3:6?77;I0;1>i6k>0;6)<61;3`3>=zj;996=4<:183!4>93o5Y13196~"2nm0=7);ic;48yx{z3`;9o7>5$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6:j1]=?=52z&6ba:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qo<<3;297?6=8r.95<49b:J1<0=n9;h1<7*=90826d=Q:091=vB=9482Sb42;q/=nk513`8R4442;q/9kj5c:&6bf2b83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:j1]=?=52z&6ba<13-?mo784}|~?j7d?3:1(?7>:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qo<<1;297?6=8r.95<4>c79K6=3l5Y28195~J51<0:w[j<:3y'5fc=9;i0Z<<<:3y'1cb=92.>jn4>;|~y>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4>;%7eg?76<729q/>4?56c9K6=3l5G2828R7?428qG>4;51zTg7?4|,8in6<{zut1d=n950;&1=4<6k>1]>4=51zN1=0<6s_n86?u+1bg95f1<^8886?u+5gf95>"2nj0:7psr}:a660=8391<7>t$3;2>3d<@;2>7d?=b;29 7?6288j7[<63;3xH7?228q]h>4={%3`a?75j2\:>>4={%7e`?b<,4?513c8R7?428qG>4;51zTg7?4|,8in6<{zut1d=n950;&1=4<6k>1]>4=51zN1=0<6s_n86?u+1bg95f1<^8886?u+5gf95>"2nj0:7psr}:a661=8391<7>t$3;2>4e13A8396g>2c83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:k1]=?=52z&6ba<63-?mo7?4}|~?l75k3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=c:T266<5s-?mh7?4$4d`>4=zutw0c4e03_;9?74=#=oi1=6sr}|9~f75>29096=4?{%0:5?df3A8396g>2`83>!4>93;9m65`1b594?"5180:o:5Y28195~J51<0:w[j<:3y'5fc=9j=0Z<<<:3y'1cb=92.>jn4>;|~y>{e::n1<7<50;2x 7?62kk0D?6:;h31e?6=,;3:6<5}#:0;1nl5G2978m44f290/>4?513c8?j7d?3:1(?7>:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7;4$4d`>0=zutw0qo<l5Y28195~J51<0:w[j<:3y'5fc=9;i0Z<<<:3y'1cb=92.>jn4>;|~y>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4>;%7eg?74<729q/>4?528:8L7>23f;h;7>5$3;2>4e032wi>>m50;194?6|,;3:6;l4H3:6?l75j3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=b:T266<5s-?mh7?4$4d`>4=zutw0e<44d3_;9?74=#=oi1=6sr}|9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on1=6*:fb82?x{zu2wi>>k50;494?6|,;3:6;l4H3:6?l75j3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=b:T266<5s-?mh794$4d`>2=zutw0e<62281!3al3n0(8hl:e9~yx{l5G2828R7?428qG>4;51zTg7?4|,8in6<:=;W317?4|,{zut1b=9;50;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb28>>7[?=3;0x 0`c2m1/9km5d:~yx=h9j=1<7*=9082g2=Q:091=vB=9482Sb42;q/=nk51b58R4442;q/9kj51:&6bf<63twvq6sm22d94?>=83:p(?7>:7`8L7>23`;9n7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;31f>P6::09w);id;58 0`d2>1vqps4i00`>5<#:0;1=?o4H3;3?S4>;3;p@?7::0yU`6<5s-;hi7?=c:T266<5s-?mh794$4d`>2=zutw0e<=8:18'6<7=9;k0Z?7<:0yO6<3=9r\o?74503_;9?7a=#=oi1h6sr}|9j514=83.95<4>2`9K6<6<^;386l5G2828R7?428qG>4;51zTg7?4|,8in6<::;W317?4|,{zut1b=9850;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb28>=7[?=3;0x 0`c2m1/9km5d:~yx=n9==1<7*=90826d=O:0:0Z?7<:0yO6<3=9r\o?74203_;9?7a=#=oi1h6sr}|9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on1=6*:fb82?x{zu2wi>9>50;:94?6|,;3:6;l4H3:6?l75j3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=b:T266<5s-?mh794$4d`>2=zutw0e<44d3_;9?7a=#=oi1h6sr}|9j561=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=>94V000>7}#=on1h6*:fb8g?x{zu2c:8?4?:%0:5?75i2B95=5Y28195~J51<0:w[j<:3y'5fc=9=80Z<<<:3y'1cb=l2.>jn4k;|~y>o6<<0;6)<61;31e>N5191]>4=51zN1=0<6s_n86?u+1bg9513<^8886?u+5gf9`>"2nj0o7psr}:k203<72-82=7?=a:J1=5=Q:091=vB=9482Sb42;q/=nk51548R4442;q/9kj5d:&6bf4683>!4>93;9m6F=919U6<5=9rF9584>{Wf0>7}#9jo1=994V000>7}#=on1h6*:fb8g?x{zu2e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`104<7210;6=u+28392g=O:1?0e<44e3_;9?72=#=oi1;6sr}|9j57e=83.95<4>2`9K6<6<^;386l5Y28195~J51<0:w[j<:3y'5fc=9:=0Z<<<:3y'1cb=l2.>jn4k;|~y>o6<;0;6)<61;31e>N5191]>4=51zN1=0<6s_n86?u+1bg9514<^8886?u+5gf9`>"2nj0o7psr}:k200<72-82=7?=a:J1=5=Q:091=vB=9482Sb42;q/=nk51578R4442;q/9kj5d:&6bf4783>!4>93;9m6F=919U6<5=9rF9584>{Wf0>7}#9jo1=984V000>7}#=on1h6*:fb8g?x{zu2c:8:4?:%0:5?75i2B95=5Y28195~J51<0:w[j<:3y'5fc=9==0Z<<<:3y'1cb=l2.>jn4k;|~y>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4>;%7eg?7=<729q/>4?56c9K6=3l5Y28195~J51<0:w[j<:3y'5fc=9;i0Z<<<:3y'1cb=l2.>jn4k;|~y>o6;>0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>369U575=:r.>ji4k;%7eg?b5$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6<<1]=?=52z&6ba3:1(?7>:00b?M4>82\95>4>{M0:1?7|^m91>v*>cd8203=Q9;91>v*:fe8g?!3ak3n0qpsr;h373?6=,;3:6<P51:0:wA<65;3xRa5=:r.:oh4>469U575=:r.>ji4k;%7eg?b4={%3`a?7d?2\:>>4={%7e`?7<,50z&1=4<6k?1C>5;4i00a>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb288i7[?=3;0x 0`c281/9km51:~yx=n9;i1<7*=90826d=Q:091=vB=9482Sb42;q/=nk513a8R4442;q/9kj51:&6bf<63twvq6g>3683>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6;>1]=?=52z&6ba<63-?mo7?4}|~?l73:3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?;2:T266<5s-?mh7?4$4d`>4=zutw0e<:::18'6<7=9;k0Z?7<:0yO6<3=9r\o?74223_;9?74=#=oi1=6sr}|9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on1=6*:fb82?x{zu2wi>9;50;094?6|,;3:6oo4H3:6?l75i3:1(?7>:00b?>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4>;%7eg?77<729q/>4?5b`9K6=35$3;2>4e03_82?7?tL3;6>4}Ql:09w)?le;3`3>P6::09w);id;38 0`d281vqps4}c064?6=:3:1N50<1b=?o50;&1=4<6:h10c4e03_;9?70=#=oi196sr}|9~f72129086=4?{%0:5?4?m2B9485f13`94?"5180:>l5Y28195~J51<0:w[j<:3y'5fc=9;h0Z<<<:3y'1cb=92.>jn4>;|~y>o6:j0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2b9U575=:r.>ji4>;%7eg?74={%3`a?7d?2\:>>4={%7e`?7<,50z&1=4<5111C>5;4o0a4>5<#:0;1=n94;|`10=<72:0;6=u+28392g=O:1?0e<44e3_;9?74=#=oi1=6sr}|9j57e=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?m4V000>7}#=on1=6*:fb82?x{zu2e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`10d<7210;6=u+28392g=O:1?0e<44e3_;9?72=#=oi1;6sr}|9j57e=83.95<4>2`9K6<6<^;386l5Y28195~J51<0:w[j<:3y'5fc=9:=0Z<<<:3y'1cb=l2.>jn4k;|~y>o6<;0;6)<61;31e>N5191]>4=51zN1=0<6s_n86?u+1bg9514<^8886?u+5gf9`>"2nj0o7psr}:k200<72-82=7?=a:J1=5=Q:091=vB=9482Sb42;q/=nk51578R4442;q/9kj5d:&6bf4783>!4>93;9m6F=919U6<5=9rF9584>{Wf0>7}#9jo1=984V000>7}#=on1h6*:fb8g?x{zu2c:8:4?:%0:5?75i2B95=5Y28195~J51<0:w[j<:3y'5fc=9==0Z<<<:3y'1cb=l2.>jn4k;|~y>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4>;%7eg?747=83:p(?7>:7`8L7>23`;9n7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;31f>P6::09w);id;58 0`d2>1vqps4i00`>5<#:0;1=?o4H3;3?S4>;3;p@?7::0yU`6<5s-;hi7?=c:T266<5s-?mh794$4d`>2=zutw0e<=8:18'6<7=9;k0Z?7<:0yO6<3=9r\o?74503_;9?7a=#=oi1h6sr}|9j514=83.95<4>2`9K6<6<^;386l5G2828R7?428qG>4;51zTg7?4|,8in6<::;W317?4|,{zut1b=9850;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb28>=7[?=3;0x 0`c2m1/9km5d:~yx=n9==1<7*=90826d=O:0:0Z?7<:0yO6<3=9r\o?74203_;9?7a=#=oi1h6sr}|9j51>=83.95<4>2`9K6<6<^;386l5G2828R7?428qG>4;51zTg7?4|,8in6<:6;W317?4|,{zut1b=9o50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg951g<^8886?u+5gf95>"2nj0:7psr}:k26a<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:>i5Y13196~"2nm0:7);ic;38yx{z3`;9i7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;31a>P6::09w);id;38 0`d281vqps4i00e>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb288m7[?=3;0x 0`c281/9km51:~yx=n9::1<7*=90826d=Q:091=vB=9482Sb42;q/=nk51228R4442;q/9kj51:&6bf<63twvq6g>3083>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6;81]=?=52z&6ba<63-?mo7?4}|~?l74:3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?<2:T266<5s-?mh7?4$4d`>4=zutw0c4e03_;9?74=#=oi1=6sr}|9~f72d290:=7>50z&1=4<1j2B9485f13`94?"5180:>l5Y28195~J51<0:w[j<:3y'5fc=9;h0Z<<<:3y'1cb=?2.>jn48;|~y>o6:j0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2b9U575=:r.>ji4k;%7eg?b4={%3`a?74?2\:>>4={%7e`?b<,4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;376>P6::09w);id;f8 0`d2m1vqps4i066>5<#:0;1=?o4H3;3?S4>;3;p@?7::0yU`6<5s-;hi7?;5:T266<5s-?mh7j4$4d`>a=zutw0e<:9:18'6<7=9;k0D?7?;W0:7?7|D;3>62281!3al3n0(8hl:e9~yx{<6=4+283957g<@;3;7[<63;3xH7?228q]h>4={%3`a?73?2\:>>4={%7e`?b<,4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;37<>P6::09w);id;f8 0`d2m1vqps4i06:>5<#:0;1=?o4H3;3?S4>;3;p@?7::0yU`6<5s-;hi7?;9:T266<5s-?mh7j4$4d`>a=zutw0e<:n:18'6<7=9;k0Z?7<:0yO6<3=9r\o?742f3_;9?74=#=oi1=6sr}|9j57b=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?j4V000>7}#=on1=6*:fb82?x{zu2c:>h4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826`=Q9;91>v*:fe82?!3ak3;0qpsr;h31b?6=,;3:6<62281!3al3;0(8hl:09~yx{l5Y28195~J51<0:w[j<:3y'5fc=9:;0Z<<<:3y'1cb=92.>jn4>;|~y>o6;;0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>339U575=:r.>ji4>;%7eg?74={%3`a?7d?2\:>>4={%7e`?7<,k1C>5;4i00a>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb288i7[?=3;0x 0`c2>1/9km57:~yx=n9;i1<7*=90826d=O:0:0Z?7<:0yO6<3=9r\o?744d3_;9?72=#=oi1;6sr}|9j561=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=>94V000>7}#=on1h6*:fb8g?x{zu2c:8?4?:%0:5?75i2B95=5Y28195~J51<0:w[j<:3y'5fc=9=80Z<<<:3y'1cb=l2.>jn4k;|~y>o6<<0;6)<61;31e>N5191]>4=51zN1=0<6s_n86?u+1bg9513<^8886?u+5gf9`>"2nj0o7psr}:k203<72-82=7?=a:J1=5=Q:091=vB=9482Sb42;q/=nk51548R4442;q/9kj5d:&6bf4683>!4>93;9m6F=919U6<5=9rF9584>{Wf0>7}#9jo1=994V000>7}#=on1h6*:fb8g?x{zu2c:854?:%0:5?75i2B95=5Y28195~J51<0:w[j<:3y'5fc=9=20Z<<<:3y'1cb=l2.>jn4k;|~y>o6<00;6)<61;31e>N5191]>4=51zN1=0<6s_n86?u+1bg951?<^8886?u+5gf9`>"2nj0o7psr}:k20d<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:8l5Y13196~"2nm0:7);ic;38yx{z3`;9h7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;31`>P6::09w);id;38 0`d281vqps4i00f>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb288n7[?=3;0x 0`c281/9km51:~yx=n9;l1<7*=90826d=Q:091=vB=9482Sb42;q/=nk513d8R4442;q/9kj51:&6bf<63twvq6g>3183>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6;91]=?=52z&6ba<63-?mo7?4}|~?l7493:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?<1:T266<5s-?mh7?4$4d`>4=zutw0e<==:18'6<7=9;k0Z?7<:0yO6<3=9r\o?74553_;9?74=#=oi1=6sr}|9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on1=6*:fb82?x{zu2wi>9k50;32>5<7s-82=78m;I0;1>o6:k0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2c9U575=:r.>ji48;%7eg?14={%3`a?75k2\:>>4={%7e`?b<,4?513c8R7?428qG>4;51zTg7?4|,8in6<=8;W317?4|,{zut1b=9<50;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb28>97[?=3;0x 0`c2m1/9km5d:~yx=n9=?1<7*=90826d=O:0:0Z?7<:0yO6<3=9r\o?74223_;9?7a=#=oi1h6sr}|9j510=83.95<4>2`9K6<6<^;386l5G2828R7?428qG>4;51zTg7?4|,8in6<:8;W317?4|,{zut1b=9650;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb28>37[?=3;0x 0`c2m1/9km5d:~yx=n9=31<7*=90826d=O:0:0Z?7<:0yO6<3=9r\o?742>3_;9?7a=#=oi1h6sr}|9j51g=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=9o4V000>7}#=on1=6*:fb82?x{zu2c:>i4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826a=Q9;91>v*:fe82?!3ak3;0qpsr;h31a?6=,;3:6<62281!3al3;0(8hl:09~yx{l5Y28195~J51<0:w[j<:3y'5fc=9::0Z<<<:3y'1cb=92.>jn4>;|~y>o6;80;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>309U575=:r.>ji4>;%7eg?74={%3`a?74:2\:>>4={%7e`?7<,4?51b58R7?428qG>4;51zTg7?4|,8in6{zut1vn?:i:185>5<7s-82=7?l6:J1<0=n9;h1<7*=90826d=Q:091=vB=9482Sb42;q/=nk513`8R4442;q/9kj51:&6bf<63twvq6g>2b83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:j1]=?=52z&6ba<63-?mo7?4}|~?l74?3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?<7:T266<5s-?mh7?4$4d`>4=zutw0e<:=:18'6<7=9;k0Z?7<:0yO6<3=9r\o?74253_;9?74=#=oi1=6sr}|9j513=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=9;4V000>7}#=on1=6*:fb82?x{zu2e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`114<72;0;6=u+2839fd=O:1?0e<4={%3`a?7d?2\:>>4={%7e`?7<,7>50z&1=4l54o0a4>5<#:0;1=n94V3;0>4}K:0?1=vXk3;0x 4eb28i<7[?=3;0x 0`c281/9km51:~yx=zj;?h6=4=:183!4>93hj7E<75:k26d<72-82=7?=a:9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on196*:fb86?x{zu2wi>8<50;194?6|,;3:6?6j;I0;1>o6:k0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2c9U575=:r.>ji4>;%7eg?74={%3`a?75k2\:>>4={%7e`?7<,4?51b58R7?428qG>4;51zTg7?4|,8in6{zut1vn?;<:182>5<7s-82=7<68:J1<0=h9j=1<7*=9082g2=87>53;294~"5180=n6F=849j57d=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?l4V000>7}#=on1=6*:fb82?x{zu2c:>n4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826f=Q9;91>v*:fe82?!3ak3;0qpsr;n3`3?6=,;3:662281!3al3;0(8hl:09~yx{:7>53;294~"5180=n6F=849j57d=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?l4V000>7}#=on1;6*:fb84?x{zu2c:>n4?:%0:5?75i2B95=5Y28195~J51<0:w[j<:3y'5fc=9;i0Z<<<:3y'1cb=l2.>jn4k;|~y>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4>;%7eg?76<729q/>4?56c9K6=34={%3`a?75j2\:>>4={%7e`?b<,4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;31g>P6::09w);id;f8 0`d2m1vqps4o0a4>5<#:0;1=n94V3;0>4}K:0?1=vXk3;0x 4eb28i<7[?=3;0x 0`c281/9km51:~yx=zj;?36=4<:183!4>932b83>!4>93;9m6F=919U6<5=9rF9584>{Wf0>7}#9jo1=?m4V000>7}#=on1h6*:fb8g?x{zu2e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`11<<728;1<7>t$3;2>3d<@;2>7d?=b;29 7?6288j7E<60:T1=6<6sE8297?tVe196~"6kl0:>o5Y13196~"2nm0<7);ic;58yx{z3`;9o7>5$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6:j1]=?=52z&6ba<03-?mo794}|~?l74?3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?<7:T266<5s-?mh794$4d`>2=zutw0e<:=:18'6<7=9;k0Z?7<:0yO6<3=9r\o?74253_;9?72=#=oi1;6sr}|9j513=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=9;4V000>7}#=on1;6*:fb84?x{zu2c:8;4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8203=Q9;91>v*:fe84?!3ak3=0qpsr;h373?6=,;3:6<62281!3al3=0(8hl:69~yx{36=4+283957g<^;386l5Y28195~J51<0:w[j<:3y'5fc=9=30Z<<<:3y'1cb=?2.>jn48;|~y>o6N5191]>4=51zN1=0<6s_n86?u+1bg951g<^8886?u+5gf93>"2nj0<7psr}:k26a<72-82=7?=a:J1=5=Q:091=vB=9482Sb42;q/=nk513f8R4442;q/9kj5d:&6bf2d83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:l1]=?=52z&6ba<63-?mo7?4}|~?l75n3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=f:T266<5s-?mh7?4$4d`>4=zutw0e<=?:18'6<7=9;k0Z?7<:0yO6<3=9r\o?74573_;9?74=#=oi1=6sr}|9j567=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=>?4V000>7}#=on1=6*:fb82?x{zu2c:??4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8277=Q9;91>v*:fe82?!3ak3;0qpsr;n3`3?6=,;3:662281!3al3;0(8hl:09~yx{m7>51083>5}#:0;1:o5G2978m44e290/>4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;31f>P6::09w);id;58 0`d2>1vqps4i00`>5<#:0;1=?o4H3;3?S4>;3;p@?7::0yU`6<5s-;hi7?=c:T266<5s-?mh794$4d`>2=zutw0e<=8:18'6<7=9;k0Z?7<:0yO6<3=9r\o?74503_;9?72=#=oi1;6sr}|9j514=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=9<4V000>7}#=on1;6*:fb84?x{zu2c:884?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8200=Q9;91>v*:fe84?!3ak3=0qpsr;h372?6=,;3:6<62281!3al3=0(8hl:69~yx{<6=4+283957g<^;386l5Y28195~J51<0:w[j<:3y'5fc=9=20Z<<<:3y'1cb=?2.>jn48;|~y>o6<00;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>489U575=:r.>ji48;%7eg?15$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6:m1]=?=52z&6ba:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=e:T266<5s-?mh7?4$4d`>4=zutw0e<44a3_;9?74=#=oi1=6sr}|9j566=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=>>4V000>7}#=on1=6*:fb82?x{zu2c:?<4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8274=Q9;91>v*:fe82?!3ak3;0qpsr;h306?6=,;3:6<66X>2281!3al3;0(8hl:09~yx{5<1290;w)<61;3`2>N50<1b=?l50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957d<^8886?u+5gf95>"2nj0:7psr}:k26f<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:>n5Y13196~"2nm0:7);ic;38yx{z3`;8;7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;303>P6::09w);id;38 0`d281vqps4i061>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb28>97[?=3;0x 0`c281/9km51:~yx=n9=?1<7*=90826d=Q:091=vB=9482Sb42;q/=nk51578R4442;q/9kj51:&6bf<63twvq6a>c683>!4>93;h;6X=9282I4>=3;pZi=52z&2g`<6k>1]=?=52z&6ba<63-?mo7?4}|~?xd5=m0;6?4?:1y'6<7=jh1C>5;4i00b>5<#:0;1=?o4;n3`3?6=,;3:662281!3al3;0(8hl:09~yx{52;294~"5180im6F=849j57g=83.95<4>2`98k4e0290/>4?51b58R7?428qG>4;51zTg7?4|,8in6{zut1vn?;j:180>5<7s-82=7<7e:J1<0=n9;h1<7*=90826d=Q:091=vB=9482Sb42;q/=nk513`8R4442;q/9kj51:&6bf<63twvq6g>2b83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:j1]=?=52z&6ba<63-?mo7?4}|~?j7d?3:1(?7>:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qo<:f;295?6=8r.95<4=999K6=35}#:0;1:o5G2978m44e290/>4?513c8R7?428qG>4;51zTg7?4|,8in6<{zut1b=?m50;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb288h7[?=3;0x 0`c2j1/9km5c:~yx=h9j=1<7*=9082g2=Q:091=vB=9482Sb42;q/=nk51b58R4442;q/9kj51:&6bf<63twvq6sm27094?5=83:p(?7>:7`8L7>23`;9n7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;31f>P6::09w);id;a8 0`d2j1vqps4i00`>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb288h7[?=3;0x 0`c2?1/9km56:~yx=h9j=1<7*=9082g2=Q:091=vB=9482Sb42;q/=nk51b58R4442;q/9kj51:&6bf<63twvq6sm27294?5=83:p(?7>:0a5?M4?=2c:>o4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826g=Q9;91>v*:fe82?!3ak3;0qpsr;h31g?6=,;3:6<62281!3al3;0(8hl:09~yx{5<5290;w)<61;`b?M4?=2c:>l4?:%0:5?75i21d=n950;&1=4<6k>1]>4=51zN1=0<6s_n86?u+1bg95f1<^8886?u+5gf95>"2nj0:7psr}:a63g=8381<7>t$3;2>gg<@;2>7d?=a;29 7?6288j76a>c683>!4>93;h;6X=9282I4>=3;pZi=52z&2g`<6k>1]=?=52z&6ba<23-?mo7;4}|~?xd5><0;6>4?:1y'6<7=:1o0D?6:;h31f?6=,;3:6<62281!3al3;0(8hl:09~yx{jn4>;|~y>{e:?<1<7?50;2x 7?62;337E<75:m2g2<72-82=7?l7:9~f70?29086=4?{%0:5?0e3A8396g>2c83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:k1]=?=52z&6ba<13-?mo784}|~?l75k3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=c:T266<5s-?mh7m4$4d`>f=zutw0c4e03_;9?74=#=oi1=6sr}|9~f70>29086=4?{%0:5?0e3A8396g>2c83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:k1]=?=52z&6ba<13-?mo784}|~?l75k3:1(?7>:00b?M4>82\95>4>{M0:1?7|^m91>v*>cd826f=Q9;91>v*:fe8`?!3ak3i0qpsr;n3`3?6=,;3:662281!3al3;0(8hl:09~yx{53;294~"5180:o;5G2978m44e290/>4?513c8R7?428qG>4;51zTg7?4|,8in6<{zut1b=?m50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957e<^8886?u+5gf95>"2nj0:7psr}:m2g2<72-82=7?l7:T1=6<6sE8297?tVe196~"6kl0:o:5Y13196~"2nm0:7);ic;38yx{z3th9:o4?:383>5}#:0;1nl5G2978m44f290/>4?513c8?j7d?3:1(?7>:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qo<81;296?6=8r.95<4ma:J1<0=n9;k1<7*=90826d=5<4290;w)<61;0;a>N50<1b=?l50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957d<^8886?u+5gf95>"2nj0:7psr}:k26f<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:>n5Y13196~"2nm0:7);ic;38yx{z3f;h;7>5$3;2>4e03_82?7?tL3;6>4}Ql:09w)?le;3`3>P6::09w);id;38 0`d281vqps4}c05`?6=93:16<729q/>4?56c9K6=32wvqp5f13a94?"5180:>l5G2828R7?428qG>4;51zTg7?4|,8in6<{zut1d=n950;&1=4<6k>1]>4=51zN1=0<6s_n86?u+1bg95f1<^8886?u+5gf95>"2nj0:7psr}:a626=8391<7>t$3;2>3d<@;2>7d?=b;29 7?6288j7[<63;3xH7?228q]h>4={%3`a?75j2\:>>4={%7e`?0<,4?513c8R7?428qG>4;51zTg7?4|,8in6<{zut1d=n950;&1=4<6k>1]>4=51zN1=0<6s_n86?u+1bg95f1<^8886?u+5gf95>"2nj0:7psr}:a63c=8391<7>t$3;2>4e13A8396g>2c83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:k1]=?=52z&6ba<63-?mo7?4}|~?l75k3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=c:T266<5s-?mh7?4$4d`>4=zutw0c4e03_;9?74=#=oi1=6sr}|9~f0d?29096=4?{%0:5?df3A8396g>2`83>!4>93;9m65`1b594?"5180:o:5Y28195~J51<0:w[j<:3y'5fc=9j=0Z<<<:3y'1cb=92.>jn4>;|~y>{e=ki1<7<50;2x 7?62kk0D?6:;h31e?6=,;3:6<o?4?:383>5}#:0;1nl5G2978m44f290/>4?513c8?j7d?3:1(?7>:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7;4$4d`>0=zutw0qo;m9;297?6=8r.95<4=8d9K6=34={%3`a?75j2\:>>4={%7e`?7<,4?513c8R7?428qG>4;51zTg7?4|,8in6<{zut1d=n950;&1=4<6k>1]>4=51zN1=0<6s_n86?u+1bg95f1<^8886?u+5gf95>"2nj0:7psr}:a1gg=83;1<7>t$3;2>7??3A8396a>c683>!4>93;h;65rb4`a>5<4290;w)<61;4a?M4?=2c:>o4?:%0:5?75i2B95=5Y28195~J51<0:w[j<:3y'5fc=9;h0Z<<<:3y'1cb=>2.>jn49;|~y>o6:j0;6)<61;31e>N5191]>4=51zN1=0<6s_n86?u+1bg957e<^8886?u+5gf92>"2nj0=7psr}:m2g2<72-82=7?l7:T1=6<6sE8297?tVe196~"6kl0:o:5Y13196~"2nm0:7);ic;38yx{z3th>ni4?:3394?6|,;3:6;l4H3:6?l75j3:1(?7>:00b?M4>82\95>4>{M0:1?7|^m91>v*>cd826g=Q9;91>v*:fe84?!3ak3=0qpsr;h31g?6=,;3:6<P51:0:wA<65;3xRa5=:r.:oh4>2b9U575=:r.>ji48;%7eg?14={%3`a?74?2\:>>4={%7e`?1<,4?513c8R7?428qG>4;51zTg7?4|,8in6<:=;W317?4|,{zut1b=9;50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg9513<^8886?u+5gf93>"2nj0<7psr}:k203<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:8;5Y13196~"2nm0<7);ic;58yx{z3`;?;7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;373>P6::09w);id;58 0`d2>1vqps4i06;>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb28>37[?=3;0x 0`c2>1/9km57:~yx=n9=31<7*=90826d=Q:091=vB=9482Sb42;q/=nk515;8R4442;q/9kj57:&6bf<03twvq6g>4`83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=d:T266<5s-?mh794$4d`>2=zutw0e<44b3_;9?72=#=oi1;6sr}|9j57`=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?h4V000>7}#=on1;6*:fb84?x{zu2c:?=4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8275=Q9;91>v*:fe84?!3ak3=0qpsr;h305?6=,;3:6<P51:0:wA<65;3xRa5=:r.:oh4>309U575=:r.>ji48;%7eg?14={%3`a?74:2\:>>4={%7e`?b<,4?513c8R7?428qG>4;51zTg7?4|,8in6<=<;W317?4|,{zut1b=>:50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg9562<^8886?u+5gf95>"2nj0:7psr}:k270<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:?85Y13196~"2nm0:7);ic;38yx{z3`;8:7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;302>P6::09w);id;38 0`d281vqps4i01;>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb28937[?=3;0x 0`c281/9km51:~yx=n9:31<7*=90826d=Q:091=vB=9482Sb42;q/=nk512;8R4442;q/9kj51:&6bf<63twvq6g>3`83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6;h1]=?=52z&6ba<63-?mo7?4}|~?l74j3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?4=zutw0e<=l:18'6<7=9;k0Z?7<:0yO6<3=9r\o?745d3_;9?74=#=oi1=6sr}|9j56b=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=>j4V000>7}#=on1=6*:fb82?x{zu2c:?h4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd827`=Q9;91>v*:fe82?!3ak3;0qpsr;h30b?6=,;3:6<62281!3al3;0(8hl:09~yx{;6=4+283957g<^;386l5Y28195~J51<0:w[j<:3y'5fc=9=;0Z<<<:3y'1cb=92.>jn4>;|~y>o6<:0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>429U575=:r.>ji4>;%7eg?74={%3`a?73<2\:>>4={%7e`?7<,4?51b58R7?428qG>4;51zTg7?4|,8in6{zut1vn8lj:1815?6=8r.95<49b:J1<0=n9;h1<7*=90826d=O:0:0Z?7<:0yO6<3=9r\o?744e3_;9?72=#=oi1;6sr}|9j57e=83.95<4>2`9K6<6<^;386l5Y28195~J51<0:w[j<:3y'5fc=9:=0Z<<<:3y'1cb=?2.>jn48;|~y>o6<;0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>439U575=:r.>ji48;%7eg?14={%3`a?73=2\:>>4={%7e`?1<,4?513c8R7?428qG>4;51zTg7?4|,8in6<:9;W317?4|,{zut1b=9950;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg9511<^8886?u+5gf93>"2nj0<7psr}:k20=<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:855Y13196~"2nm0<7);ic;58yx{z3`;?57>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;37=>P6::09w);id;58 0`d2>1vqps4i06b>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb28>j7[?=3;0x 0`c2>1/9km57:~yx=n9;n1<7*=90826d=Q:091=vB=9482Sb42;q/=nk513f8R4442;q/9kj57:&6bf<03twvq6g>2d83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:l1]=?=52z&6ba<03-?mo794}|~?l75n3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=f:T266<5s-?mh794$4d`>2=zutw0e<=?:18'6<7=9;k0Z?7<:0yO6<3=9r\o?74573_;9?72=#=oi1;6sr}|9j567=83.95<4>2`9K6<6<^;386l5Y28195~J51<0:w[j<:3y'5fc=9:80Z<<<:3y'1cb=l2.>jn4k;|~y>o6;:0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>329U575=:r.>ji48;%7eg?14={%3`a?74<2\:>>4={%7e`?7<,4?513c8R7?428qG>4;51zTg7?4|,8in6<=:;W317?4|,{zut1b=>850;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg9560<^8886?u+5gf95>"2nj0:7psr}:k27=<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:?55Y13196~"2nm0:7);ic;38yx{z3`;857>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;30=>P6::09w);id;38 0`d281vqps4i01b>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb289j7[?=3;0x 0`c281/9km51:~yx=n9:h1<7*=90826d=Q:091=vB=9482Sb42;q/=nk512`8R4442;q/9kj51:&6bf<63twvq6g>3b83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6;j1]=?=52z&6ba<63-?mo7?4}|~?l74l3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?4=zutw0e<=j:18'6<7=9;k0Z?7<:0yO6<3=9r\o?745b3_;9?74=#=oi1=6sr}|9j56`=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=>h4V000>7}#=on1=6*:fb82?x{zu2c:8=4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8205=Q9;91>v*:fe82?!3ak3;0qpsr;h375?6=,;3:6<62281!3al3;0(8hl:09~yx{86=4+283957g<^;386l5Y28195~J51<0:w[j<:3y'5fc=9=>0Z<<<:3y'1cb=92.>jn4>;|~y>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4>;%7eg?777=83:p(?7>:7`8L7>23`;9n7>5$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6:k1]=?=52z&6ba<03-?mo794}|~?l75k3:1(?7>:00b?M4>82\95>4>{M0:1?7|^m91>v*>cd826f=Q9;91>v*:fe84?!3ak3=0qpsr;h303?6=,;3:6<62281!3al3=0(8hl:69~yx{96=4+283957g<^;386l5Y28195~J51<0:w[j<:3y'5fc=9=?0Z<<<:3y'1cb=?2.>jn48;|~y>o6P51:0:wA<65;3xRa5=:r.:oh4>479U575=:r.>ji48;%7eg?14={%3`a?73?2\:>>4={%7e`?1<,4?513c8R7?428qG>4;51zTg7?4|,8in6<:7;W317?4|,{zut1b=9750;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg951?<^8886?u+5gf93>"2nj0<7psr}:k20d<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:8l5Y13196~"2nm0<7);ic;58yx{z3`;9h7>5$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6:m1]=?=52z&6ba<03-?mo794}|~?l75m3:1(?7>:00b?M4>82\95>4>{M0:1?7|^m91>v*>cd826`=Q9;91>v*:fe84?!3ak3=0qpsr;h31b?6=,;3:6<P51:0:wA<65;3xRa5=:r.:oh4>2g9U575=:r.>ji48;%7eg?15$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6;81]=?=52z&6ba<03-?mo794}|~?l74:3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?<2:T266<5s-?mh7j4$4d`>a=zutw0e<=<:18'6<7=9;k0Z?7<:0yO6<3=9r\o?74543_;9?72=#=oi1;6sr}|9j562=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=>:4V000>7}#=on1=6*:fb82?x{zu2c:?84?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8270=Q9;91>v*:fe82?!3ak3;0qpsr;h302?6=,;3:6<62281!3al3;0(8hl:09~yx{l5Y28195~J51<0:w[j<:3y'5fc=9:30Z<<<:3y'1cb=92.>jn4>;|~y>o6;h0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>3`9U575=:r.>ji4>;%7eg?74={%3`a?74j2\:>>4={%7e`?7<,4?513c8R7?428qG>4;51zTg7?4|,8in6<=l;W317?4|,{zut1b=>j50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg956b<^8886?u+5gf95>"2nj0:7psr}:k27`<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:?h5Y13196~"2nm0:7);ic;38yx{z3`;8j7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;30b>P6::09w);id;38 0`d281vqps4i063>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb28>;7[?=3;0x 0`c281/9km51:~yx=n9=;1<7*=90826d=Q:091=vB=9482Sb42;q/=nk51538R4442;q/9kj51:&6bf<63twvq6g>4283>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6<:1]=?=52z&6ba<63-?mo7?4}|~?l73<3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?;4:T266<5s-?mh7?4$4d`>4=zutw0c4e03_;9?74=#=oi1=6sr}|9~f0e72909=7>50z&1=4<1j2B9485f13`94?"5180:>l5G2828R7?428qG>4;51zTg7?4|,8in6<{zut1b=?m50;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb288h7[?=3;0x 0`c2>1/9km57:~yx=n9:=1<7*=90826d=Q:091=vB=9482Sb42;q/=nk51258R4442;q/9kj57:&6bf<03twvq6g>4383>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6<;1]=?=52z&6ba<03-?mo794}|~?l73=3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?;5:T266<5s-?mh794$4d`>2=zutw0e<:9:18'6<7=9;k0Z?7<:0yO6<3=9r\o?74213_;9?72=#=oi1;6sr}|9j511=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=994V000>7}#=on1;6*:fb84?x{zu2c:854?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd820==Q9;91>v*:fe84?!3ak3=0qpsr;h37=?6=,;3:6<62281!3al3=0(8hl:69~yx{j6=4+283957g<^;386l5G2828R7?428qG>4;51zTg7?4|,8in6<{zut1b=?k50;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb288n7[?=3;0x 0`c2>1/9km57:~yx=n9;l1<7*=90826d=O:0:0Z?7<:0yO6<3=9r\o?744a3_;9?72=#=oi1;6sr}|9j566=83.95<4>2`9K6<6<^;386l5G2828R7?428qG>4;51zTg7?4|,8in6<=>;W317?4|,{zut1b=><50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg9564<^8886?u+5gf9`>"2nj0o7psr}:k276<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:?>5Y13196~"2nm0<7);ic;58yx{z3`;887>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;300>P6::09w);id;38 0`d281vqps4i016>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb289>7[?=3;0x 0`c281/9km51:~yx=n9:<1<7*=90826d=Q:091=vB=9482Sb42;q/=nk51248R4442;q/9kj51:&6bf<63twvq6g>3983>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6;11]=?=52z&6ba<63-?mo7?4}|~?l7413:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?<9:T266<5s-?mh7?4$4d`>4=zutw0e<=n:18'6<7=9;k0Z?7<:0yO6<3=9r\o?745f3_;9?74=#=oi1=6sr}|9j56d=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=>l4V000>7}#=on1=6*:fb82?x{zu2c:?n4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd827f=Q9;91>v*:fe82?!3ak3;0qpsr;h30`?6=,;3:6<62281!3al3;0(8hl:09~yx{l5Y28195~J51<0:w[j<:3y'5fc=9:l0Z<<<:3y'1cb=92.>jn4>;|~y>o6<90;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>419U575=:r.>ji4>;%7eg?74={%3`a?7392\:>>4={%7e`?7<,4?513c8R7?428qG>4;51zTg7?4|,8in6<:<;W317?4|,{zut1b=9:50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg9512<^8886?u+5gf95>"2nj0:7psr}:m2g2<72-82=7?l7:T1=6<6sE8297?tVe196~"6kl0:o:5Y13196~"2nm0:7);ic;38yx{z3th>o<4?:483>5}#:0;1=n84H3:6?l75j3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=b:T266<5s-?mh7?4$4d`>4=zutw0e<44d3_;9?74=#=oi1=6sr}|9j561=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=>94V000>7}#=on1=6*:fb82?x{zu2c:8?4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8207=Q9;91>v*:fe82?!3ak3;0qpsr;n3`3?6=,;3:662281!3al3;0(8hl:09~yx{io7>52;294~"5180im6F=849j57g=83.95<4>2`98k4e0290/>4?51b58R7?428qG>4;51zTg7?4|,8in6{zut1vn9m?:181>5<7s-82=7ln;I0;1>o6:h0;6)<61;31e>=h9j=1<7*=9082g2=Q:091=vB=9482Sb42;q/=nk51b58R4442;q/9kj55:&6bf<23twvq6sm4cf94?5=83:p(?7>:3:f?M4?=2c:>o4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826g=Q9;91>v*:fe82?!3ak3;0qpsr;h31g?6=,;3:6<62281!3al3;0(8hl:09~yx{5<6290;w)<61;0:<>N50<1d=n950;&1=4<6k>10qo:mf;297?6=8r.95<49b:J1<0=n9;h1<7*=90826d=O:0:0Z?7<:0yO6<3=9r\o?744e3_;9?7f=#=oi1o6sr}|9j57e=83.95<4>2`9K6<6<^;386jn4>;|~y>{e=9<1<7<50;2x 7?62kk0D?6:;h31e?6=,;3:6<=?4?:783>5}#:0;1=?64H3:6?!73j3l0e<>4={%7e`?7<,7}#9jo1on5Y13196~"2nm0:7);ic;38yx{z3`io6=4+2839ga=Q:091>vB=9482S7e838p(fb<,:hh68hk;|Tg6?4|,fb<,:hh68hk;|Tg6?4|,fb<,:hh68hk;|Tg6?4|,0`c3t.=;:4=;|T2ga<5s_n:6?u+5g`9ga=#;ki19kj4}%443?c:18'6<7=:1;0Z?7<:3yO6<3=9r\:n=4={%3`a?4?92\:>>4={%7e`?b<,4?52608R7?42;qG>4;51zT2f5<5s-;hi7<82:T266<5s-?mh7j4$4d`>a=zutF9594>{W0;e?4|^m;1>v*:fc8137=#;ki19kj4}%443?b6<729q/>4?529g8L7>23`;9n7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;31f>P6::09w);id;38 0`d281vqps4i00`>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb288h7[?=3;0x 0`c281/9km51:~yx=h9j=1<7*=9082g2=Q:091=vB=9482Sb42;q/=nk51b58R4442;q/9kj51:&6bf<63twvq6sm51:94?7=83:p(?7>:3;;?M4?=2e:o:4?:%0:5?7d?21vn8>n:180>5<7s-82=78m;I0;1>o6:k0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2c9U575=:r.>ji4l;%7eg?e4={%3`a?75k2\:>>4={%7e`?e<,4?51b58R7?428qG>4;51zTg7?4|,8in6{zut1vn8>m:180>5<7s-82=78m;I0;1>o6:k0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2c9U575=:r.>ji4l;%7eg?e4={%3`a?75k2\:>>4={%7e`?e<,4?51b58R7?428qG>4;51zTg7?4|,8in6{zut1vn8>l:180>5<7s-82=78m;I0;1>o6:k0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2c9U575=:r.>ji4l;%7eg?e4={%3`a?75k2\:>>4={%7e`?e<,4?51b58R7?428qG>4;51zTg7?4|,8in6{zut1vn8>k:180>5<7s-82=78m;I0;1>o6:k0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2c9U575=:r.>ji4>;%7eg?74={%3`a?75k2\:>>4={%7e`?7<,4?51b58R7?428qG>4;51zTg7?4|,8in6{zut1vn8>j:180>5<7s-82=78m;I0;1>o6:k0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2c9U575=:r.>ji4>;%7eg?74={%3`a?75k2\:>>4={%7e`?7<,4?51b58R7?428qG>4;51zTg7?4|,8in6{zut1vn8>i:180>5<7s-82=78m;I0;1>o6:k0;6)<61;31e>N5191]>4=51zN1=0<6s_n86?u+1bg957d<^8886?u+5gf9g>"2nj0h7psr}:k26f<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:>n5Y13196~"2nm0h7);ic;a8yx{z3f;h;7>5$3;2>4e03_82?7?tL3;6>4}Ql:09w)?le;3`3>P6::09w);id;38 0`d281vqps4}c724?6=;3:1N50<1b=?l50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957d<^8886?u+5gf9g>"2nj0h7psr}:k26f<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:>n5Y13196~"2nm0h7);ic;a8yx{z3f;h;7>5$3;2>4e03_82?7?tL3;6>4}Ql:09w)?le;3`3>P6::09w);id;38 0`d281vqps4}c73=?6=03:144e3_;9?74=#=oi1=6sr}|9j57e=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?m4V000>7}#=on1=6*:fb82?x{zu2c:?:4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8272=Q9;91>v*:fe82?!3ak3;0qpsr;h376?6=,;3:6<66X>2281!3al3;0(8hl:09~yx{>6=4+283957g<^;386l5Y28195~J51<0:w[j<:3y'5fc=9=<0Z<<<:3y'1cb=92.>jn4>;|~y>o6<>0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>469U575=:r.>ji4>;%7eg?74={%3`a?7d?2\:>>4={%7e`?7<,7>50z&1=4l54o0a4>5<#:0;1=n94V3;0>4}K:0?1=vXk3;0x 4eb28i<7[?=3;0x 0`c281/9km51:~yx=zj<8h6=4=:183!4>93hj7E<75:k26d<72-82=7?=a:9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on1=6*:fb82?x{zu2wi9><50;494?6|,;3:6<<7;I0;1>"652z&2g`<6:h1]=?=52z&6ba<63-?mo7?4}|~?led290/>4?5cb9U6<5=9rF9584>{W3a4?4|,8in6nm4V000>7}#=on1=6*:fb82?x{zu2chh7>5$3;2>fb<^;386?uC28795~P6j909w)?le;ag?S75;38p(8hk:29'1ce=;2wvqA<64;6xR7>52:q]h<4={%7ef?ec3-9io7;id:U`7<5s-?mn7?=a:&0ff<2nm1v(;98:89~R7>52:q]h<4={%7ef?ec3-9io7;id:U`7<5s-?mn7?=a:&0ff<2nj1v(;98:89~R7>52:q]h<4={%7ef?ec3-9io7;id:U`7<5s-?mn7ml;%1ag?3al2w/::952:U5fb=:r\o=7fb<,:hh68hk;|&53252z&2g`<5081]=?=52z&6ba7}#=oh1>5?4$2``>0`c3t.=;:4k;|~?l40:3:1(?7>:351?S4>;38p@?7::0yU5g6=:r.:oh4=739U575=:r.>ji4k;%7eg?b4:51zT1:3:f?M4?=2c:>o4?:%0:5?75i2B95=5Y28195~J51<0:w[j<:3y'5fc=9;h0Z<<<:3y'1cb=92.>jn4>;|~y>o6:j0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2b9U575=:r.>ji4>;%7eg?74={%3`a?7d?2\:>>4={%7e`?7<,50z&1=4<5111C>5;4o0a4>5<#:0;1=n94;|`66g<72:0;6=u+28392g=O:1?0e<62281!3al3i0(8hl:b9~yx{4={%3`a?75k2\:>>4={%7e`?e<,4?51b58R7?428qG>4;51zTg7?4|,8in6{zut1vn85<7s-82=78m;I0;1>o6:k0;6)<61;31e>N5191]>4=51zN1=0<6s_n86?u+1bg957d<^8886?u+5gf9`>"2nj0o7psr}:k26f<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:>n5Y13196~"2nm0o7);ic;f8yx{z3`;8;7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;303>P6::09w);id;f8 0`d2m1vqps4o0a4>5<#:0;1=n94V3;0>4}K:0?1=vXk3;0x 4eb28i<7[?=3;0x 0`c281/9km51:~yx=zj<8n6=4;:183!4>93o5Y13196~"2nm0o7);ic;f8yx{z3`;9o7>5$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6:j1]=?=52z&6ba:00b?M4>82\95>4>{M0:1?7|^m91>v*>cd8272=Q9;91>v*:fe8g?!3ak3n0qpsr;n3`3?6=,;3:662281!3al3;0(8hl:09~yx{56;294~"5180=n6F=849j57d=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?l4V000>7}#=on1h6*:fb8g?x{zu2c:>n4?:%0:5?75i2B95=5Y28195~J51<0:w[j<:3y'5fc=9;i0Z<<<:3y'1cb=l2.>jn4k;|~y>o6;>0;6)<61;31e>N5191]>4=51zN1=0<6s_n86?u+1bg9561<^8886?u+5gf9`>"2nj0o7psr}:k207<72-82=7?=a:J1=5=Q:091=vB=9482Sb42;q/=nk51508R4442;q/9kj5d:&6bf4483>!4>93;9m6F=919U6<5=9rF9584>{Wf0>7}#9jo1=9;4V000>7}#=on1h6*:fb8g?x{zu2e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`675<72=0;6=u+28395f0<@;2>7d?=b;29 7?6288j7[<63;3xH7?228q]h>4={%3`a?75j2\:>>4={%7e`?7<,4?513c8R7?428qG>4;51zTg7?4|,8in6<{zut1b=>950;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg9561<^8886?u+5gf95>"2nj0:7psr}:m2g2<72-82=7?l7:T1=6<6sE8297?tVe196~"6kl0:o:5Y13196~"2nm0:7);ic;38yx{z3th>:54?:383>5}#:0;1nl5G2978m44f290/>4?513c8?j7d?3:1(?7>:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qo;9c;296?6=8r.95<4ma:J1<0=n9;k1<7*=90826d=5<1290;w)<61;31<>N50<1/=9l5f:k26d<72-82=7?=a:T1=6<6sE8297?tV0`3>7}#9jo1=?o4V000>7}#=on1=6*:fb82?x{zu2cho7>5$3;2>fe<^;386!4>93io7[<63;0xH7?228q]=o>52z&2g`>4={%7e`?5<,5r}|N1=1<3s_83>7=tVe396~"2nk0hh6*v*:fc826d=#;ki19kj4}%443??7=tVe396~"2nk0hh6*v*:fc826d=#;ki19km4}%443??7=tVe396~"2nk0hh6*v*:fc8`g>"4jj0>ji5r$754>7=z^8io6?uYd081!3aj3io7)=mc;7e`>{#>>=1i6sr}:k1<4<72-82=7<71:T1=6<5sE8297?tV0`3>7}#9jo1>5?4V000>7}#=on1h6*:fb8g?x{zD;3?6{zu2c9;?4?:%0:5?40:2\95>4={M0:1?7|^8h;6?u+1bg9624<^8886?u+5gf9`>"2nj0o7psrL3;7>4}Q:1k1>vXk1;0x 0`e2;=97)=mc;7e`>{#>>=1h6sr}:m2g2<72-82=7?l7:9~f00>29086=4?{%0:5?4?m2B9485f13`94?"5180:>l5Y28195~J51<0:w[j<:3y'5fc=9;h0Z<<<:3y'1cb=92.>jn4>;|~y>o6:j0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2b9U575=:r.>ji4>;%7eg?74={%3`a?7d?2\:>>4={%7e`?7<,50z&1=4<5111C>5;4o0a4>5<#:0;1=n94;|`62g<72:0;6=u+28392g=O:1?0e<44e3_;9?74=#=oi1=6sr}|9j57e=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?m4V000>7}#=on1=6*:fb82?x{zu2e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`62a<72=0;6=u+28392g=O:1?0e<62281!3al3n0(8hl:e9~yx{l5Y28195~J51<0:w[j<:3y'5fc=9:=0Z<<<:3y'1cb=l2.>jn4k;|~y>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4>;%7eg?70<729q/>4?56c9K6=34={%3`a?75j2\:>>4={%7e`?1<,4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;31g>P6::09w);id;58 0`d2>1vqps4i014>5<#:0;1=?o4H3;3?S4>;3;p@?7::0yU`6<5s-;hi7?<7:T266<5s-?mh7j4$4d`>a=zutw0e<:=:18'6<7=9;k0Z?7<:0yO6<3=9r\o?74253_;9?7a=#=oi1h6sr}|9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on1=6*:fb82?x{zu2wi9;h50;794?6|,;3:6;l4H3:6?l75j3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=b:T266<5s-?mh794$4d`>2=zutw0e<62281!3al3n0(8hl:e9~yx{4={%3`a?74?2\:>>4={%7e`?b<,4?513c8R7?428qG>4;51zTg7?4|,8in6<:=;W317?4|,{zut1d=n950;&1=4<6k>1]>4=51zN1=0<6s_n86?u+1bg95f1<^8886?u+5gf95>"2nj0:7psr}:a126=83<1<7>t$3;2>3d<@;2>7d?=b;29 7?6288j7E<60:T1=6<6sE8297?tVe196~"6kl0:>o5Y13196~"2nm0<7);ic;58yx{z3`;9o7>5$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6:j1]=?=52z&6ba<03-?mo794}|~?l74?3:1(?7>:00b?M4>82\95>4>{M0:1?7|^m91>v*>cd8272=Q9;91>v*:fe84?!3ak3=0qpsr;h376?6=,;3:6<66X>2281!3al3n0(8hl:e9~yx{>6=4+283957g<^;386jn4>;|~y>{e=>;1<7850;2x 7?62?h0D?6:;h31f?6=,;3:6<P51:0:wA<65;3xRa5=:r.:oh4>2c9U575=:r.>ji48;%7eg?1n5Y13196~"2nm0<7);ic;58yx{z3`;8;7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;303>P6::09w);id;f8 0`d2m1vqps4i061>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb28>97[?=3;0x 0`c2m1/9km5d:~yx=n9=?1<7*=90826d=Q:091=vB=9482Sb42;q/=nk51578R4442;q/9kj5d:&6bfc683>!4>93;h;6X=9282I4>=3;pZi=52z&2g`<6k>1]=?=52z&6ba<63-?mo7?4}|~?xd2?;0;6;4?:1y'6<7=9j<0D?6:;h31f?6=,;3:6<62281!3al3;0(8hl:09~yx{l5Y28195~J51<0:w[j<:3y'5fc=9:=0Z<<<:3y'1cb=92.>jn4>;|~y>o6<;0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>439U575=:r.>ji4>;%7eg?74={%3`a?73=2\:>>4={%7e`?7<,4?51b58R7?428qG>4;51zTg7?4|,8in6{zut1vn5<7s-82=7ln;I0;1>o6:h0;6)<61;31e>=h9j=1<7*=9082g2=Q:091=vB=9482Sb42;q/=nk51b58R4442;q/9kj51:&6bf<63twvq6sm1c`94?4=83:p(?7>:cc8L7>23`;9m7>5$3;2>44f32e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`2f3<72;0;6=u+2839fd=O:1?0e<4={%3`a?7d?2\:>>4={%7e`?7<,7>50z&1=4l54o0a4>5<#:0;1=n94V3;0>4}K:0?1=vXk3;0x 4eb28i<7[?=3;0x 0`c281/9km51:~yx=zj8i:6=4=:183!4>93hj7E<75:k26d<72-82=7?=a:9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on196*:fb86?x{zu2wi=o650;194?6|,;3:6?6j;I0;1>o6:k0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2c9U575=:r.>ji4>;%7eg?74={%3`a?75k2\:>>4={%7e`?7<,4?51b58R7?428qG>4;51zTg7?4|,8in6{zut1vn5<7s-82=7<68:J1<0=h9j=1<7*=9082g2=51;294~"51809555G2978k4e0290/>4?51b58?xd6k;0;6>4?:1y'6<7=>k1C>5;4i00a>5<#:0;1=?o4H3;3?S4>;3;p@?7::0yU`6<5s-;hi7?=b:T266<5s-?mh7:4$4d`>1=zutw0e<62281!3al3>0(8hl:59~yx{5<3290;w)<61;4a?M4?=2c:>o4?:%0:5?75i2B95=5Y28195~J51<0:w[j<:3y'5fc=9;h0Z<<<:3y'1cb=l2.>jn4k;|~y>o6:j0;6)<61;31e>N5191]>4=51zN1=0<6s_n86?u+1bg957e<^8886?u+5gf9`>"2nj0o7psr}:k272<72-82=7?=a:J1=5=Q:091=vB=9482Sb42;q/=nk51258R4442;q/9kj5d:&6bfc683>!4>93;h;6X=9282I4>=3;pZi=52z&2g`<6k>1]=?=52z&6ba<63-?mo7?4}|~?xd6jm0;684?:1y'6<7=>k1C>5;4i00a>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb288i7[?=3;0x 0`c2>1/9km57:~yx=n9;i1<7*=90826d=O:0:0Z?7<:0yO6<3=9r\o?744d3_;9?7a=#=oi1h6sr}|9j561=83.95<4>2`9K6<6<^;386l5Y28195~J51<0:w[j<:3y'5fc=9=80Z<<<:3y'1cb=l2.>jn4k;|~y>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4>;%7eg?7bd83>3<729q/>4?56c9K6=34={%3`a?75j2\:>>4={%7e`?1<,4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;31g>P6::09w);id;58 0`d2>1vqps4i014>5<#:0;1=?o4H3;3?S4>;3;p@?7::0yU`6<5s-;hi7?<7:T266<5s-?mh794$4d`>2=zutw0e<:=:18'6<7=9;k0D?7?;W0:7?7|D;3>66X>2281!3al3n0(8hl:e9~yx{>6=4+283957g<^;386jn4>;|~y>{e9kl1<7850;2x 7?62?h0D?6:;h31f?6=,;3:6<P51:0:wA<65;3xRa5=:r.:oh4>2c9U575=:r.>ji48;%7eg?1n5Y13196~"2nm0<7);ic;58yx{z3`;8;7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;303>P6::09w);id;58 0`d2>1vqps4i061>5<#:0;1=?o4H3;3?S4>;3;p@?7::0yU`6<5s-;hi7?;2:T266<5s-?mh7j4$4d`>a=zutw0e<:::18'6<7=9;k0Z?7<:0yO6<3=9r\o?74223_;9?7a=#=oi1h6sr}|9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on1=6*:fb82?x{zu2wi=n>50;794?6|,;3:6o6:k0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2c9U575=:r.>ji4>;%7eg?74={%3`a?75k2\:>>4={%7e`?7<,4?513c8R7?428qG>4;51zTg7?4|,8in6<=8;W317?4|,{zut1b=9<50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg9514<^8886?u+5gf95>"2nj0:7psr}:m2g2<72-82=7?l7:T1=6<6sE8297?tVe196~"6kl0:o:5Y13196~"2nm0:7);ic;38yx{z3th9==4?:383>5}#:0;1nl5G2978m44f290/>4?513c8?j7d?3:1(?7>:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qo<>6;296?6=8r.95<4ma:J1<0=n9;k1<7*=90826d=5<5290;w)<61;`b?M4?=2c:>l4?:%0:5?75i21d=n950;&1=4<6k>1]>4=51zN1=0<6s_n86?u+1bg95f1<^8886?u+5gf91>"2nj0>7psr}:a647=8391<7>t$3;2>7>b3A8396g>2c83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:k1]=?=52z&6ba<63-?mo7?4}|~?l75k3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=c:T266<5s-?mh7?4$4d`>4=zutw0c4e03_;9?74=#=oi1=6sr}|9~f775290:6=4?{%0:5?4>02B9485`1b594?"5180:o:54}c020?6=;3:1N50<1b=?l50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957d<^8886?u+5gf92>"2nj0=7psr}:k26f<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:>n5Y13196~"2nm0h7);ic;a8yx{z3f;h;7>5$3;2>4e03_82?7?tL3;6>4}Ql:09w)?le;3`3>P6::09w);id;38 0`d281vqps4}c021?6=;3:1N50<1b=?l50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957d<^8886?u+5gf92>"2nj0=7psr}:k26f<72-82=7?=a:J1=5=Q:091=vB=9482Sb42;q/=nk513a8R4442;q/9kj5c:&6bfc683>!4>93;h;6X=9282I4>=3;pZi=52z&2g`<6k>1]=?=52z&6ba<63-?mo7?4}|~?xd59:0;6>4?:1y'6<7=9j<0D?6:;h31f?6=,;3:6<62281!3al3;0(8hl:09~yx{jn4>;|~y>{e:8=1<7:50;2x 7?62?h0D?6:;h31f?6=,;3:6<P51:0:wA<65;3xRa5=:r.:oh4>2c9U575=:r.>ji4k;%7eg?bn5Y13196~"2nm0o7);ic;f8yx{z3`;8;7>5$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6;>1]=?=52z&6ba:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qo<>8;291?6=8r.95<49b:J1<0=n9;h1<7*=90826d=O:0:0Z?7<:0yO6<3=9r\o?744e3_;9?72=#=oi1;6sr}|9j57e=83.95<4>2`9K6<6<^;386l5G2828R7?428qG>4;51zTg7?4|,8in6<=8;W317?4|,{zut1b=9<50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg9514<^8886?u+5gf9`>"2nj0o7psr}:m2g2<72-82=7?l7:T1=6<6sE8297?tVe196~"6kl0:o:5Y13196~"2nm0:7);ic;38yx{z3th9=44?:483>5}#:0;1:o5G2978m44e290/>4?513c8R7?428qG>4;51zTg7?4|,8in6<{zut1b=?m50;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb288h7[?=3;0x 0`c2m1/9km5d:~yx=n9:=1<7*=90826d=O:0:0Z?7<:0yO6<3=9r\o?74503_;9?7a=#=oi1h6sr}|9j514=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=9<4V000>7}#=on1h6*:fb8g?x{zu2e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`15d<72=0;6=u+28395f0<@;2>7d?=b;29 7?6288j7[<63;3xH7?228q]h>4={%3`a?75j2\:>>4={%7e`?7<,4?513c8R7?428qG>4;51zTg7?4|,8in6<{zut1b=>950;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg9561<^8886?u+5gf95>"2nj0:7psr}:m2g2<72-82=7?l7:T1=6<6sE8297?tVe196~"6kl0:o:5Y13196~"2nm0:7);ic;38yx{z3th?o>4?:383>5}#:0;1nl5G2978m44f290/>4?513c8?j7d?3:1(?7>:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qo8n:181>5<7s-82=7ln;I0;1>o6:h0;6)<61;31e>=h9j=1<7*=9082g2=Q:091=vB=9482Sb42;q/=nk51b58R4442;q/9kj51:&6bf<63twvq6sm4bc94?0=83:p(?7>:00;?M4?=2.:8o4>0:k26d<72-82=7?=a:T1=6<6sE8297?tV0`3>7}#9jo1=?o4V000>7}#=on1=6*:fb82?x{zu2cho7>5$3;2>fe<^;386!4>93io7[<63;0xH7?228q]=o>52z&2g`>4={%7e`?5<,5r}|N1=1<3s_83>7=tVe396~"2nk0hh6*v*:fc826d=#;ki19kj4}%443??7=tVe396~"2nk0hh6*v*:fc826d=#;ki19km4}%443??7=tVe396~"2nk0hh6*v*:fc8`g>"4jj0>ji5r$754>7=z^8io6?uYd081!3aj3io7)=mc;7e`>{#>>=1i6sr}:k1<4<72-82=7<71:T1=6<5sE8297?tV0`3>7}#9jo1>5?4V000>7}#=on1h6*:fb8g?x{zD;3?6{zu2c9;?4?:%0:5?40:2\95>4={M0:1?7|^8h;6?u+1bg9624<^8886?u+5gf9`>"2nj0o7psrL3;7>4}Q:1k1>vXk1;0x 0`e2;=97)=mc;7e`>{#>>=1h6sr}:m2g2<72-82=7?l7:9~f1e329086=4?{%0:5?4?m2B9485f13`94?"5180:>l5G2828R7?428qG>4;51zTg7?4|,8in6<{zut1b=?m50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957e<^8886?u+5gf95>"2nj0:7psr}:m2g2<72-82=7?l7:T1=6<6sE8297?tVe196~"6kl0:o:5Y13196~"2nm0:7);ic;38yx{z3th?o84?:083>5}#:0;1>464H3:6?j7d?3:1(?7>:0a4?>{e62281!3al3i0(8hl:b9~yx{jn4>;|~y>{eP51:0:wA<65;3xRa5=:r.:oh4>2c9U575=:r.>ji4l;%7eg?en5Y13196~"2nm0h7);ic;a8yx{z3f;h;7>5$3;2>4e03_82?7?tL3;6>4}Ql:09w)?le;3`3>P6::09w);id;38 0`d281vqps4}c6`2?6=;3:144e3_;9?74=#=oi1=6sr}|9j57e=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?m4V000>7}#=on1=6*:fb82?x{zu2e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`7`c<72;0;6=u+2839fd=O:1?0e<4={%3`a?7d?2\:>>4={%7e`?7<,2`83>!4>93;9m65`1b594?"5180:o:5Y28195~J51<0:w[j<:3y'5fc=9j=0Z<<<:3y'1cb=92.>jn4>;|~y>{e5}#:0;1=?64H3:6?!73j3;;7d?=a;29 7?6288j7[<63;3xH7?228q]=o>52z&2g`<6:h1]=?=52z&6ba<63-?mo7?4}|~?led290/>4?5cb9U6<5=9rF9584>{W3a4?4|,8in6nm4V000>7}#=on1=6*:fb82?x{zu2chh7>5$3;2>fb<^;386?uC28795~P6j909w)?le;ag?S75;38p(8hk:29'1ce=;2wvqA<64;6xR7>52:q]h<4={%7ef?ec3-9io7;id:U`7<5s-?mn7?=a:&0ff<2nm1v(;98:89~R7>52:q]h<4={%7ef?ec3-9io7;id:U`7<5s-?mn7?=a:&0ff<2nj1v(;98:89~R7>52:q]h<4={%7ef?ec3-9io7;id:U`7<5s-?mn7ml;%1ag?3al2w/::952:U5fb=:r\o=7fb<,:hh68hk;|&53252z&2g`<5081]=?=52z&6ba7}#=oh1>5?4$2``>0`c3t.=;:4k;|~?l40:3:1(?7>:351?S4>;38p@?7::0yU5g6=:r.:oh4=739U575=:r.>ji4k;%7eg?b4:51zT1:3:f?M4?=2c:>o4?:%0:5?75i2B95=5Y28195~J51<0:w[j<:3y'5fc=9;h0Z<<<:3y'1cb=92.>jn4>;|~y>o6:j0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2b9U575=:r.>ji4>;%7eg?74={%3`a?7d?2\:>>4={%7e`?7<,50z&1=4<5111C>5;4o0a4>5<#:0;1=n94;|`7a6<72=0;6=u+28392g=O:1?0e<62281!3al3i0(8hl:b9~yx{l5G2828R7?428qG>4;51zTg7?4|,8in6<=8;W317?4|,{zut1d=n950;&1=4<6k>1]>4=51zN1=0<6s_n86?u+1bg95f1<^8886?u+5gf95>"2nj0:7psr}:a0`2=83>1<7>t$3;2>3d<@;2>7d?=b;29 7?6288j7E<60:T1=6<6sE8297?tVe196~"6kl0:>o5Y13196~"2nm0h7);ic;a8yx{z3`;9o7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;31g>P6::09w);id;a8 0`d2j1vqps4i014>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb289<7[?=3;0x 0`c2j1/9km5c:~yx=h9j=1<7*=9082g2=Q:091=vB=9482Sb42;q/=nk51b58R4442;q/9kj51:&6bf<63twvq6sm4d094?5=83:p(?7>:0a5?M4?=2c:>o4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826g=Q9;91>v*:fe82?!3ak3;0qpsr;h31g?6=,;3:6<62281!3al3;0(8hl:09~yx{5<0290;w)<61;4a?M4?=2c:>o4?:%0:5?75i2B95=5Y28195~J51<0:w[j<:3y'5fc=9;h0Z<<<:3y'1cb=?2.>jn48;|~y>o6:j0;6)<61;31e>N5191]>4=51zN1=0<6s_n86?u+1bg957e<^8886?u+5gf93>"2nj0<7psr}:k272<72-82=7?=a:J1=5=Q:091=vB=9482Sb42;q/=nk51258R4442;q/9kj57:&6bf<03twvq6g>4383>!4>93;9m6F=919U6<5=9rF9584>{Wf0>7}#9jo1=9<4V000>7}#=on1;6*:fb84?x{zu2c:884?:%0:5?75i2B95=5Y28195~J51<0:w[j<:3y'5fc=9=?0Z<<<:3y'1cb=l2.>jn4k;|~y>o6P51:0:wA<65;3xRa5=:r.:oh4>479U575=:r.>ji4k;%7eg?b4={%3`a?7d?2\:>>4={%7e`?7<,7>50z&1=4l54o0a4>5<#:0;1=n94V3;0>4}K:0?1=vXk3;0x 4eb28i<7[?=3;0x 0`c281/9km51:~yx=zj<9h6=4=:183!4>93hj7E<75:k26d<72-82=7?=a:9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on1=6*:fb82?x{zu2wi99>50;094?6|,;3:6oo4H3:6?l75i3:1(?7>:00b?>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4:;%7eg?36<729q/>4?529g8L7>23`;9n7>5$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6:k1]=?=52z&6ba<63-?mo7?4}|~?l75k3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=c:T266<5s-?mh7?4$4d`>4=zutw0c4e03_;9?74=#=oi1=6sr}|9~f050290:6=4?{%0:5?4>02B9485`1b594?"5180:o:54}c70=?6==3:1N50<1b=?l50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957d<^8886?u+5gf92>"2nj0=7psr}:k26f<72-82=7?=a:J1=5=Q:091=vB=9482Sb42;q/=nk513a8R4442;q/9kj56:&6bf<13twvq6g>3683>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6;>1]=?=52z&6ba<13-?mo784}|~?l73:3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?;2:T266<5s-?mh7m4$4d`>f=zutw0c4e03_;9?74=#=oi1=6sr}|9~f05f290=6=4?{%0:5?0e3A8396g>2c83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:k1]=?=52z&6ba<13-?mo784}|~?l75k3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=c:T266<5s-?mh784$4d`>3=zutw0e<=8:18'6<7=9;k0D?7?;W0:7?7|D;3>62281!3al3<0(8hl:79~yx{96=4+283957g<^;3862wvqp5f15794?"5180:>l5Y28195~J51<0:w[j<:3y'5fc=9=?0Z<<<:3y'1cb=k2.>jn4l;|~y>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4>;%7eg?73<729q/>4?56c9K6=32wvqp5f13a94?"5180:>l5Y28195~J51<0:w[j<:3y'5fc=9;i0Z<<<:3y'1cb=>2.>jn49;|~y>o6;>0;6)<61;31e>N5191]>4=51zN1=0<6s_n86?u+1bg9561<^8886?u+5gf92>"2nj0=7psr}:k207<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:8?5Y13196~"2nm0=7);ic;48yx{z3`;?97>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;371>P6::09w);id;a8 0`d2j1vqps4o0a4>5<#:0;1=n94V3;0>4}K:0?1=vXk3;0x 4eb28i<7[?=3;0x 0`c281/9km51:~yx=zj<936=4;:183!4>93;h:6F=849j57d=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?l4V000>7}#=on1=6*:fb82?x{zu2c:>n4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826f=Q9;91>v*:fe82?!3ak3;0qpsr;h303?6=,;3:6<62281!3al3;0(8hl:09~yx{5<4290;w)<61;4a?M4?=2c:>o4?:%0:5?75i2B95=5Y28195~J51<0:w[j<:3y'5fc=9;h0Z<<<:3y'1cb=l2.>jn4k;|~y>o6:j0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2b9U575=:r.>ji4k;%7eg?b4={%3`a?7d?2\:>>4={%7e`?7<,50z&1=4<1j2B9485f13`94?"5180:>l5G2828R7?428qG>4;51zTg7?4|,8in6<{zut1b=?m50;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb288h7[?=3;0x 0`c2m1/9km5d:~yx=n9:=1<7*=90826d=O:0:0Z?7<:0yO6<3=9r\o?74503_;9?7a=#=oi1h6sr}|9j514=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=9<4V000>7}#=on1h6*:fb8g?x{zu2e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`67c<72:0;6=u+28395f0<@;2>7d?=b;29 7?6288j7[<63;3xH7?228q]h>4={%3`a?75j2\:>>4={%7e`?7<,4?513c8R7?428qG>4;51zTg7?4|,8in6<{zut1d=n950;&1=4<6k>1]>4=51zN1=0<6s_n86?u+1bg95f1<^8886?u+5gf95>"2nj0:7psr}:a115=8381<7>t$3;2>gg<@;2>7d?=a;29 7?6288j76a>c683>!4>93;h;6X=9282I4>=3;pZi=52z&2g`<6k>1]=?=52z&6ba<63-?mo7?4}|~?xd2<>0;6?4?:1y'6<7=jh1C>5;4i00b>5<#:0;1=?o4;n3`3?6=,;3:662281!3al3;0(8hl:09~yx{52;294~"5180im6F=849j57g=83.95<4>2`98k4e0290/>4?51b58R7?428qG>4;51zTg7?4|,8in6{zut1vn8:;:180>5<7s-82=7<7e:J1<0=n9;h1<7*=90826d=O:0:0Z?7<:0yO6<3=9r\o?744e3_;9?74=#=oi1=6sr}|9j57e=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?m4V000>7}#=on1=6*:fb82?x{zu2e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`600<7280;6=u+28396<><@;2>7b?l7;29 7?628i<76sm55494?5=83:p(?7>:7`8L7>23`;9n7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;31f>P6::09w);id;38 0`d281vqps4i00`>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb288h7[?=3;0x 0`c281/9km51:~yx=h9j=1<7*=9082g2=Q:091=vB=9482Sb42;q/=nk51b58R4442;q/9kj51:&6bf<63twvq6sm55:94?0=83:p(?7>:7`8L7>23`;9n7>5$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6:k1]=?=52z&6ba<03-?mo794}|~?l75k3:1(?7>:00b?M4>82\95>4>{M0:1?7|^m91>v*>cd826f=Q9;91>v*:fe84?!3ak3=0qpsr;h303?6=,;3:6<P51:0:wA<65;3xRa5=:r.:oh4>369U575=:r.>ji48;%7eg?15$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;371>P6::09w);id;f8 0`d2m1vqps4o0a4>5<#:0;1=n94V3;0>4}K:0?1=vXk3;0x 4eb28i<7[?=3;0x 0`c281/9km51:~yx=zj<>26=49:183!4>932b83>!4>93;9m6F=919U6<5=9rF9584>{Wf0>7}#9jo1=?m4V000>7}#=on1;6*:fb84?x{zu2c:?:4?:%0:5?75i2B95=5Y28195~J51<0:w[j<:3y'5fc=9:=0Z<<<:3y'1cb=?2.>jn48;|~y>o6<;0;6)<61;31e>N5191]>4=51zN1=0<6s_n86?u+1bg9514<^8886?u+5gf9`>"2nj0o7psr}:k200<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:885Y13196~"2nm0o7);ic;f8yx{z3f;h;7>5$3;2>4e03_82?7?tL3;6>4}Ql:09w)?le;3`3>P6::09w);id;38 0`d281vqps4}c77e?6=>3:1N50<1b=?l50;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb288i7[?=3;0x 0`c2>1/9km57:~yx=n9;i1<7*=90826d=O:0:0Z?7<:0yO6<3=9r\o?744d3_;9?72=#=oi1;6sr}|9j561=83.95<4>2`9K6<6<^;386l5G2828R7?428qG>4;51zTg7?4|,8in6<:=;W317?4|,{zut1b=9;50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg9513<^8886?u+5gf9`>"2nj0o7psr}:m2g2<72-82=7?l7:T1=6<6sE8297?tVe196~"6kl0:o:5Y13196~"2nm0:7);ic;38yx{z3th>8o4?:783>5}#:0;1:o5G2978m44e290/>4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;31f>P6::09w);id;58 0`d2>1vqps4i00`>5<#:0;1=?o4H3;3?S4>;3;p@?7::0yU`6<5s-;hi7?=c:T266<5s-?mh794$4d`>2=zutw0e<=8:18'6<7=9;k0D?7?;W0:7?7|D;3>62281!3al3=0(8hl:69~yx{96=4+283957g<@;3;7[<63;3xH7?228q]h>4={%3`a?73:2\:>>4={%7e`?b<,4?513c8R7?428qG>4;51zTg7?4|,8in6<::;W317?4|,{zut1d=n950;&1=4<6k>1]>4=51zN1=0<6s_n86?u+1bg95f1<^8886?u+5gf95>"2nj0:7psr}:a11e=83=1<7>t$3;2>3d<@;2>7d?=b;29 7?6288j7E<60:T1=6<6sE8297?tVe196~"6kl0:>o5Y13196~"2nm0<7);ic;58yx{z3`;9o7>5$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6:j1]=?=52z&6ba<03-?mo794}|~?l74?3:1(?7>:00b?M4>82\95>4>{M0:1?7|^m91>v*>cd8272=Q9;91>v*:fe84?!3ak3=0qpsr;h376?6=,;3:6<P51:0:wA<65;3xRa5=:r.:oh4>439U575=:r.>ji4k;%7eg?b4={%3`a?73=2\:>>4={%7e`?b<,4?513c8R7?428qG>4;51zTg7?4|,8in6<:9;W317?4|,{zut1d=n950;&1=4<6k>1]>4=51zN1=0<6s_n86?u+1bg95f1<^8886?u+5gf95>"2nj0:7psr}:a11b=83<1<7>t$3;2>4e13A8396g>2c83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:k1]=?=52z&6ba<63-?mo7?4}|~?l75k3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=c:T266<5s-?mh7?4$4d`>4=zutw0e<=8:18'6<7=9;k0Z?7<:0yO6<3=9r\o?74503_;9?74=#=oi1=6sr}|9j514=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=9<4V000>7}#=on1=6*:fb82?x{zu2c:884?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8200=Q9;91>v*:fe82?!3ak3;0qpsr;n3`3?6=,;3:662281!3al3;0(8hl:09~yx{52;294~"5180im6F=849j57g=83.95<4>2`98k4e0290/>4?51b58R7?428qG>4;51zTg7?4|,8in6{zut1vn89m:181>5<7s-82=7ln;I0;1>o6:h0;6)<61;31e>=h9j=1<7*=9082g2=Q:091=vB=9482Sb42;q/=nk51b58R4442;q/9kj51:&6bf<63twvq6sm59294?4=83:p(?7>:cc8L7>23`;9m7>5$3;2>44f32e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe86?!3ak3?0qpsr;|`63=<72:0;6=u+28396=c<@;2>7d?=b;29 7?6288j7E<60:T1=6<6sE8297?tVe196~"6kl0:>o5Y13196~"2nm0:7);ic;38yx{z3`;9o7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;31g>P6::09w);id;38 0`d281vqps4o0a4>5<#:0;1=n94V3;0>4}K:0?1=vXk3;0x 4eb28i<7[?=3;0x 0`c281/9km51:~yx=zj<=26=4>:183!4>938246F=849l5f1=83.95<4>c698yg30i3:187>50z&1=4<1j2B9485f13`94?"5180:>l5G2828R7?428qG>4;51zTg7?4|,8in6<{zut1b=?m50;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb288h7[?=3;0x 0`c2?1/9km56:~yx=n9:=1<7*=90826d=Q:091=vB=9482Sb42;q/=nk51258R4442;q/9kj5c:&6bfc683>!4>93;h;6X=9282I4>=3;pZi=52z&2g`<6k>1]=?=52z&6ba<63-?mo7?4}|~?xd2?j0;6>4?:1y'6<7=>k1C>5;4i00a>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb288i7[?=3;0x 0`c2m1/9km5d:~yx=n9;i1<7*=90826d=Q:091=vB=9482Sb42;q/=nk513a8R4442;q/9kj5d:&6bfc683>!4>93;h;6X=9282I4>=3;pZi=52z&2g`<6k>1]=?=52z&6ba<63-?mo7?4}|~?xd2?m0;6>4?:1y'6<7=>k1C>5;4i00a>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb288i7[?=3;0x 0`c2m1/9km5d:~yx=n9;i1<7*=90826d=Q:091=vB=9482Sb42;q/=nk513a8R4442;q/9kj5d:&6bfc683>!4>93;h;6X=9282I4>=3;pZi=52z&2g`<6k>1]=?=52z&6ba<63-?mo7?4}|~?xd2?l0;6;4?:1y'6<7=>k1C>5;4i00a>5<#:0;1=?o4H3;3?S4>;3;p@?7::0yU`6<5s-;hi7?=b:T266<5s-?mh794$4d`>2=zutw0e<62281!3al3=0(8hl:69~yx{4={%3`a?74?2\:>>4={%7e`?1<,4?513c8R7?428qG>4;51zTg7?4|,8in6<:=;W317?4|,{zut1b=9;50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg9513<^8886?u+5gf9`>"2nj0o7psr}:m2g2<72-82=7?l7:T1=6<6sE8297?tVe196~"6kl0:o:5Y13196~"2nm0:7);ic;38yx{z3th>;k4?:583>5}#:0;1=n84H3:6?l75j3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=b:T266<5s-?mh7?4$4d`>4=zutw0e<44d3_;9?74=#=oi1=6sr}|9j561=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=>94V000>7}#=on1=6*:fb82?x{zu2e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`6<6<72;0;6=u+2839fd=O:1?0e<4={%3`a?7d?2\:>>4={%7e`?7<,7>50z&1=4l54o0a4>5<#:0;1=n94V3;0>4}K:0?1=vXk3;0x 4eb28i<7[?=3;0x 0`c281/9km51:~yx=zj<2h6=4=:183!4>93hj7E<75:k26d<72-82=7?=a:9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on196*:fb86?x{zu2wi95:50;194?6|,;3:6?6j;I0;1>o6:k0;6)<61;31e>N5191]>4=51zN1=0<6s_n86?u+1bg957d<^8886?u+5gf95>"2nj0:7psr}:k26f<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:>n5Y13196~"2nm0:7);ic;38yx{z3f;h;7>5$3;2>4e03_82?7?tL3;6>4}Ql:09w)?le;3`3>P6::09w);id;38 0`d281vqps4}c7;1?6=93:11<729q/>4?56c9K6=34={%3`a?75j2\:>>4={%7e`?0<,4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;31g>P6::09w);id;48 0`d2?1vqps4i014>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb289<7[?=3;0x 0`c2j1/9km5c:~yx=h9j=1<7*=9082g2=Q:091=vB=9482Sb42;q/=nk51b58R4442;q/9kj51:&6bf<63twvq6sm59:94?76290;w)<61;4a?M4?=2c:>o4?:%0:5?75i2B95=5Y28195~J51<0:w[j<:3y'5fc=9;h0Z<<<:3y'1cb=?2.>jn48;|~y>o6:j0;6)<61;31e>N5191]>4=51zN1=0<6s_n86?u+1bg957e<^8886?u+5gf93>"2nj0<7psr}:k272<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:?:5Y13196~"2nm0<7);ic;58yx{z3`;?>7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;376>P6::09w);id;58 0`d2>1vqps4i066>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb28>>7[?=3;0x 0`c2>1/9km57:~yx=n9=<1<7*=90826d=Q:091=vB=9482Sb42;q/=nk51548R4442;q/9kj57:&6bf<03twvq6g>4683>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6<>1]=?=52z&6ba<03-?mo794}|~?l7303:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?;8:T266<5s-?mh794$4d`>2=zutw0e<:6:18'6<7=9;k0Z?7<:0yO6<3=9r\o?742>3_;9?72=#=oi1;6sr}|9j51g=83.95<4>2`9K6<6<^;386l5G2828R7?428qG>4;51zTg7?4|,8in6<{zut1b=?k50;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb288n7[?=3;0x 0`c2m1/9km5d:~yx=n9;l1<7*=90826d=Q:091=vB=9482Sb42;q/=nk513d8R4442;q/9kj5d:&6bf3183>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6;91]=?=52z&6ba:00b?S4>;3;p@?7::0yU`6<5s-;hi7?<1:T266<5s-?mh7?4$4d`>4=zutw0e<==:18'6<7=9;k0Z?7<:0yO6<3=9r\o?74553_;9?74=#=oi1=6sr}|9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on1=6*:fb82?x{zu2wi95750;32>5<7s-82=78m;I0;1>o6:k0;6)<61;31e>N5191]>4=51zN1=0<6s_n86?u+1bg957d<^8886?u+5gf93>"2nj0<7psr}:k26f<72-82=7?=a:J1=5=Q:091=vB=9482Sb42;q/=nk513a8R4442;q/9kj57:&6bf<03twvq6g>3683>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6;>1]=?=52z&6ba<03-?mo794}|~?l73:3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?;2:T266<5s-?mh794$4d`>2=zutw0e<:::18'6<7=9;k0Z?7<:0yO6<3=9r\o?74223_;9?72=#=oi1;6sr}|9j510=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=984V000>7}#=on1;6*:fb84?x{zu2c:8:4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8202=Q9;91>v*:fe84?!3ak3=0qpsr;h3762281!3al3=0(8hl:69~yx{26=4+283957g<^;386l5G2828R7?428qG>4;51zTg7?4|,8in6<:n;W317?4|,{zut1b=?j50;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb288o7[?=3;0x 0`c2m1/9km5d:~yx=n9;o1<7*=90826d=Q:091=vB=9482Sb42;q/=nk513g8R4442;q/9kj5d:&6bf2g83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:o1]=?=52z&6ba:00b?S4>;3;p@?7::0yU`6<5s-;hi7?<0:T266<5s-?mh7j4$4d`>a=zutw0e<=>:18'6<7=9;k0Z?7<:0yO6<3=9r\o?74563_;9?74=#=oi1=6sr}|9j564=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=><4V000>7}#=on1=6*:fb82?x{zu2e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`6t$3;2>3d<@;2>7d?=b;29 7?6288j7E<60:T1=6<6sE8297?tVe196~"6kl0:>o5Y13196~"2nm0<7);ic;58yx{z3`;9o7>5$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6:j1]=?=52z&6ba<03-?mo794}|~?l74?3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?<7:T266<5s-?mh794$4d`>2=zutw0e<:=:18'6<7=9;k0Z?7<:0yO6<3=9r\o?74253_;9?72=#=oi1;6sr}|9j513=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=9;4V000>7}#=on1;6*:fb84?x{zu2c:8;4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8203=Q9;91>v*:fe84?!3ak3=0qpsr;h373?6=,;3:6<62281!3al3=0(8hl:69~yx{36=4+283957g<^;386l5Y28195~J51<0:w[j<:3y'5fc=9=30Z<<<:3y'1cb=?2.>jn48;|~y>o6N5191]>4=51zN1=0<6s_n86?u+1bg951g<^8886?u+5gf93>"2nj0<7psr}:k26a<72-82=7?=a:J1=5=Q:091=vB=9482Sb42;q/=nk513f8R4442;q/9kj5d:&6bf2d83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:l1]=?=52z&6ba:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=f:T266<5s-?mh7j4$4d`>a=zutw0e<=?:18'6<7=9;k0Z?7<:0yO6<3=9r\o?74573_;9?7a=#=oi1h6sr}|9j567=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=>?4V000>7}#=on1=6*:fb82?x{zu2c:??4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8277=Q9;91>v*:fe82?!3ak3;0qpsr;n3`3?6=,;3:662281!3al3;0(8hl:09~yx{54;294~"5180:o;5G2978m44e290/>4?513c8R7?428qG>4;51zTg7?4|,8in6<{zut1b=?m50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957e<^8886?u+5gf95>"2nj0:7psr}:k272<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:?:5Y13196~"2nm0:7);ic;38yx{z3f;h;7>5$3;2>4e03_82?7?tL3;6>4}Ql:09w)?le;3`3>P6::09w);id;38 0`d281vqps4}c7be?6=:3:1N50<1b=?o50;&1=4<6:h10c4e03_;9?74=#=oi1=6sr}|9~f0gb29096=4?{%0:5?df3A8396g>2`83>!4>93;9m65`1b594?"5180:o:5Y28195~J51<0:w[j<:3y'5fc=9j=0Z<<<:3y'1cb=92.>jn4>;|~y>{e=k?1<7<50;2x 7?62kk0D?6:;h31e?6=,;3:6<7);ic;78yx{z3th>mo4?:283>5}#:0;1>5k4H3:6?l75j3:1(?7>:00b?M4>82\95>4>{M0:1?7|^m91>v*>cd826g=Q9;91>v*:fe82?!3ak3;0qpsr;h31g?6=,;3:6<62281!3al3;0(8hl:09~yx{5<6290;w)<61;0:<>N50<1d=n950;&1=4<6k>10qo;nd;297?6=8r.95<49b:J1<0=n9;h1<7*=90826d=Q:091=vB=9482Sb42;q/=nk513`8R4442;q/9kj51:&6bf<63twvq6g>2b83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:j1]=?=52z&6ba<63-?mo7?4}|~?j7d?3:1(?7>:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qo;nf;292b83>!4>93;9m6F=919U6<5=9rF9584>{Wf0>7}#9jo1=?m4V000>7}#=on1;6*:fb84?x{zu2c:?:4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8272=Q9;91>v*:fe8g?!3ak3n0qpsr;h376?6=,;3:6<P51:0:wA<65;3xRa5=:r.:oh4>439U575=:r.>ji4k;%7eg?b5$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6:00b?M4>82\95>4>{M0:1?7|^m91>v*>cd8202=Q9;91>v*:fe8g?!3ak3n0qpsr;n3`3?6=,;3:662281!3al3;0(8hl:09~yx{58;294~"5180=n6F=849j57d=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?l4V000>7}#=on1;6*:fb84?x{zu2c:>n4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826f=Q9;91>v*:fe8g?!3ak3n0qpsr;h303?6=,;3:6<62281!3al3n0(8hl:e9~yx{96=4+283957g<@;3;7[<63;3xH7?228q]h>4={%3`a?73:2\:>>4={%7e`?b<,4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;371>P6::09w);id;f8 0`d2m1vqps4i065>5<#:0;1=?o4H3;3?S4>;3;p@?7::0yU`6<5s-;hi7?;6:T266<5s-?mh7j4$4d`>a=zutw0e<:8:18'6<7=9;k0D?7?;W0:7?7|D;3>62281!3al3n0(8hl:e9~yx{5o4?:%0:5?75i2B95=5Y28195~J51<0:w[j<:3y'5fc=9;h0Z<<<:3y'1cb=?2.>jn48;|~y>o6:j0;6)<61;31e>N5191]>4=51zN1=0<6s_n86?u+1bg957e<^8886?u+5gf93>"2nj0<7psr}:k272<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:?:5Y13196~"2nm0o7);ic;f8yx{z3`;?>7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;376>P6::09w);id;f8 0`d2m1vqps4i066>5<#:0;1=?o4H3;3?S4>;3;p@?7::0yU`6<5s-;hi7?;5:T266<5s-?mh7j4$4d`>a=zutw0e<:9:18'6<7=9;k0Z?7<:0yO6<3=9r\o?74213_;9?7a=#=oi1h6sr}|9j511=83.95<4>2`9K6<6<^;386jn4>;|~y>{e=k81<7650;2x 7?62?h0D?6:;h31f?6=,;3:6<62281!3al3=0(8hl:69~yx{4={%3`a?75k2\:>>4={%7e`?1<,4?513c8R7?428qG>4;51zTg7?4|,8in6<=8;W317?4|,{zut1b=9<50;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb28>97[?=3;0x 0`c2m1/9km5d:~yx=n9=?1<7*=90826d=O:0:0Z?7<:0yO6<3=9r\o?74223_;9?7a=#=oi1h6sr}|9j510=83.95<4>2`9K6<6<^;386l5G2828R7?428qG>4;51zTg7?4|,8in6<:8;W317?4|,{zut1d=n950;&1=4<6k>1]>4=51zN1=0<6s_n86?u+1bg95f1<^8886?u+5gf95>"2nj0:7psr}:a1g5=8321<7>t$3;2>3d<@;2>7d?=b;29 7?6288j7[<63;3xH7?228q]h>4={%3`a?75j2\:>>4={%7e`?1<,4?513c8R7?428qG>4;51zTg7?4|,8in6<{zut1b=>950;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg9561<^8886?u+5gf9`>"2nj0o7psr}:k207<72-82=7?=a:J1=5=Q:091=vB=9482Sb42;q/=nk51508R4442;q/9kj5d:&6bf4483>!4>93;9m6F=919U6<5=9rF9584>{Wf0>7}#9jo1=9;4V000>7}#=on1h6*:fb8g?x{zu2c:8;4?:%0:5?75i2B95=5Y28195~J51<0:w[j<:3y'5fc=9=<0Z<<<:3y'1cb=l2.>jn4k;|~y>o6<>0;6)<61;31e>N5191]>4=51zN1=0<6s_n86?u+1bg9511<^8886?u+5gf9`>"2nj0o7psr}:m2g2<72-82=7?l7:T1=6<6sE8297?tVe196~"6kl0:o:5Y13196~"2nm0:7);ic;38yx{z3th>n94?:783>5}#:0;1=n84H3:6?l75j3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=b:T266<5s-?mh7?4$4d`>4=zutw0e<44d3_;9?74=#=oi1=6sr}|9j561=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=>94V000>7}#=on1=6*:fb82?x{zu2c:8?4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8207=Q9;91>v*:fe82?!3ak3;0qpsr;h371?6=,;3:6<62281!3al3;0(8hl:09~yx{:cc8L7>23`;9m7>5$3;2>44f32e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|``2?6=:3:1N50<1b=?o50;&1=4<6:h10c4e03_;9?70=#=oi196sr}|9~ff5=8391<7>t$3;2>7>b3A8396g>2c83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:k1]=?=52z&6ba<63-?mo7?4}|~?l75k3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=c:T266<5s-?mh7?4$4d`>4=zutw0c4e03_;9?74=#=oi1=6sr}|9~ff2=83;1<7>t$3;2>7??3A8396a>c683>!4>93;h;65rbb794?7=83:p(?7>:0a6?M4?=2e:o:4?:%0:5?7d?21vni850;094?6|,;3:6oo4H3:6?l75i3:1(?7>:00b?>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4>;%7eg?72b83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:j1]=?=52z&6ba:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qoj7:187>5<7s-82=78m;I0;1>o6:k0;6)<61;31e>N5191]>4=51zN1=0<6s_n86?u+1bg957d<^8886?u+5gf9`>"2nj0o7psr}:k26f<72-82=7?=a:J1=5=Q:091=vB=9482Sb42;q/=nk513a8R4442;q/9kj5d:&6bf3683>!4>93;9m6F=919U6<5=9rF9584>{Wf0>7}#9jo1=>94V000>7}#=on1h6*:fb8g?x{zu2e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`g=?6==3:1N50<1b=?l50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957d<^8886?u+5gf93>"2nj0<7psr}:k26f<72-82=7?=a:J1=5=Q:091=vB=9482Sb42;q/=nk513a8R4442;q/9kj5d:&6bf3683>!4>93;9m6F=919U6<5=9rF9584>{Wf0>7}#9jo1=>94V000>7}#=on1h6*:fb8g?x{zu2c:8?4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8207=Q9;91>v*:fe8g?!3ak3n0qpsr;n3`3?6=,;3:662281!3al3;0(8hl:09~yx{932b83>!4>93;9m6F=919U6<5=9rF9584>{Wf0>7}#9jo1=?m4V000>7}#=on1;6*:fb84?x{zu2c:?:4?:%0:5?75i2B95=5Y28195~J51<0:w[j<:3y'5fc=9:=0Z<<<:3y'1cb=?2.>jn48;|~y>o6<;0;6)<61;31e>N5191]>4=51zN1=0<6s_n86?u+1bg9514<^8886?u+5gf9`>"2nj0o7psr}:k200<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:885Y13196~"2nm0o7);ic;f8yx{z3f;h;7>5$3;2>4e03_82?7?tL3;6>4}Ql:09w)?le;3`3>P6::09w);id;38 0`d281vqps4}cfa>5<1290;w)<61;4a?M4?=2c:>o4?:%0:5?75i2B95=5Y28195~J51<0:w[j<:3y'5fc=9;h0Z<<<:3y'1cb=?2.>jn48;|~y>o6:j0;6)<61;31e>N5191]>4=51zN1=0<6s_n86?u+1bg957e<^8886?u+5gf93>"2nj0<7psr}:k272<72-82=7?=a:J1=5=Q:091=vB=9482Sb42;q/=nk51258R4442;q/9kj57:&6bf<03twvq6g>4383>!4>93;9m6F=919U6<5=9rF9584>{Wf0>7}#9jo1=9<4V000>7}#=on1h6*:fb8g?x{zu2c:884?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8200=Q9;91>v*:fe8g?!3ak3n0qpsr;n3`3?6=,;3:662281!3al3;0(8hl:09~yx{932b83>!4>93;9m6F=919U6<5=9rF9584>{Wf0>7}#9jo1=?m4V000>7}#=on1;6*:fb84?x{zu2c:?:4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8272=Q9;91>v*:fe84?!3ak3=0qpsr;h376?6=,;3:6<P51:0:wA<65;3xRa5=:r.:oh4>439U575=:r.>ji4k;%7eg?b4={%3`a?73=2\:>>4={%7e`?b<,4?51b58R7?428qG>4;51zTg7?4|,8in6{zut1vnij50;594?6|,;3:6o6:k0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2c9U575=:r.>ji4>;%7eg?74={%3`a?75k2\:>>4={%7e`?7<,4?513c8R7?428qG>4;51zTg7?4|,8in6<=8;W317?4|,{zut1b=9<50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg9514<^8886?u+5gf95>"2nj0:7psr}:k200<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:885Y13196~"2nm0:7);ic;38yx{z3`;?:7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;372>P6::09w);id;38 0`d281vqps4o0a4>5<#:0;1=n94V3;0>4}K:0?1=vXk3;0x 4eb28i<7[?=3;0x 0`c281/9km51:~yx=zjmo1<7<50;2x 7?62kk0D?6:;h31e?6=,;3:6<53;294~"5180=n6F=849j57d=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?l4V000>7}#=on1n6*:fb8a?x{zu2c:>n4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826f=Q9;91>v*:fe8a?!3ak3h0qpsr;n3`3?6=,;3:662281!3al3;0(8hl:09~yx{932b83>!4>93;9m6F=919U6<5=9rF9584>{Wf0>7}#9jo1=?m4V000>7}#=on1;6*:fb84?x{zu2c:?:4?:%0:5?75i2B95=5Y28195~J51<0:w[j<:3y'5fc=9:=0Z<<<:3y'1cb=?2.>jn48;|~y>o6<;0;6)<61;31e>N5191]>4=51zN1=0<6s_n86?u+1bg9514<^8886?u+5gf9`>"2nj0o7psr}:k200<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:885Y13196~"2nm0o7);ic;f8yx{z3f;h;7>5$3;2>4e03_82?7?tL3;6>4}Ql:09w)?le;3`3>P6::09w);id;38 0`d281vqps4}cg2>5<1290;w)<61;4a?M4?=2c:>o4?:%0:5?75i2B95=5Y28195~J51<0:w[j<:3y'5fc=9;h0Z<<<:3y'1cb=?2.>jn48;|~y>o6:j0;6)<61;31e>N5191]>4=51zN1=0<6s_n86?u+1bg957e<^8886?u+5gf93>"2nj0<7psr}:k272<72-82=7?=a:J1=5=Q:091=vB=9482Sb42;q/=nk51258R4442;q/9kj57:&6bf<03twvq6g>4383>!4>93;9m6F=919U6<5=9rF9584>{Wf0>7}#9jo1=9<4V000>7}#=on1h6*:fb8g?x{zu2c:884?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8200=Q9;91>v*:fe8g?!3ak3n0qpsr;n3`3?6=,;3:662281!3al3;0(8hl:09~yx{932b83>!4>93;9m6F=919U6<5=9rF9584>{Wf0>7}#9jo1=?m4V000>7}#=on1;6*:fb84?x{zu2c:?:4?:%0:5?75i2B95=5Y28195~J51<0:w[j<:3y'5fc=9:=0Z<<<:3y'1cb=?2.>jn48;|~y>o6<;0;6)<61;31e>N5191]>4=51zN1=0<6s_n86?u+1bg9514<^8886?u+5gf9`>"2nj0o7psr}:k200<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:885Y13196~"2nm0o7);ic;f8yx{z3f;h;7>5$3;2>4e03_82?7?tL3;6>4}Ql:09w)?le;3`3>P6::09w);id;38 0`d281vqps4}cg0>5<1290;w)<61;4a?M4?=2c:>o4?:%0:5?75i2B95=5Y28195~J51<0:w[j<:3y'5fc=9;h0Z<<<:3y'1cb=?2.>jn48;|~y>o6:j0;6)<61;31e>N5191]>4=51zN1=0<6s_n86?u+1bg957e<^8886?u+5gf93>"2nj0<7psr}:k272<72-82=7?=a:J1=5=Q:091=vB=9482Sb42;q/=nk51258R4442;q/9kj57:&6bf<03twvq6g>4383>!4>93;9m6F=919U6<5=9rF9584>{Wf0>7}#9jo1=9<4V000>7}#=on1h6*:fb8g?x{zu2c:884?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8200=Q9;91>v*:fe8g?!3ak3n0qpsr;n3`3?6=,;3:662281!3al3;0(8hl:09~yx{932b83>!4>93;9m6F=919U6<5=9rF9584>{Wf0>7}#9jo1=?m4V000>7}#=on1;6*:fb84?x{zu2c:?:4?:%0:5?75i2B95=5Y28195~J51<0:w[j<:3y'5fc=9:=0Z<<<:3y'1cb=?2.>jn48;|~y>o6<;0;6)<61;31e>N5191]>4=51zN1=0<6s_n86?u+1bg9514<^8886?u+5gf9`>"2nj0o7psr}:k200<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:885Y13196~"2nm0o7);ic;f8yx{z3f;h;7>5$3;2>4e03_82?7?tL3;6>4}Ql:09w)?le;3`3>P6::09w);id;38 0`d281vqps4}cg6>5<0290;w)<61;3`2>N50<1b=?l50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957d<^8886?u+5gf95>"2nj0:7psr}:k26f<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:>n5Y13196~"2nm0:7);ic;38yx{z3`;8;7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;303>P6::09w);id;38 0`d281vqps4i061>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb28>97[?=3;0x 0`c281/9km51:~yx=n9=?1<7*=90826d=Q:091=vB=9482Sb42;q/=nk51578R4442;q/9kj51:&6bf<63twvq6g>4783>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qok9:181>5<7s-82=7ln;I0;1>o6:h0;6)<61;31e>=h9j=1<7*=9082g2=Q:091=vB=9482Sb42;q/=nk51b58R4442;q/9kj51:&6bf<63twvq6sme683><<729q/>4?56c9K6=3l5Y28195~J51<0:w[j<:3y'5fc=9;i0Z<<<:3y'1cb=?2.>jn48;|~y>o6;>0;6)<61;31e>N5191]>4=51zN1=0<6s_n86?u+1bg9561<^8886?u+5gf93>"2nj0<7psr}:k207<72-82=7?=a:J1=5=Q:091=vB=9482Sb42;q/=nk51508R4442;q/9kj57:&6bf<03twvq6g>4483>!4>93;9m6F=919U6<5=9rF9584>{Wf0>7}#9jo1=9;4V000>7}#=on1h6*:fb8g?x{zu2c:8;4?:%0:5?75i2B95=5Y28195~J51<0:w[j<:3y'5fc=9=<0Z<<<:3y'1cb=l2.>jn4k;|~y>o6<>0;6)<61;31e>N5191]>4=51zN1=0<6s_n86?u+1bg9511<^8886?u+5gf9`>"2nj0o7psr}:k20=<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:855Y13196~"2nm0o7);ic;f8yx{z3f;h;7>5$3;2>4e03_82?7?tL3;6>4}Ql:09w)?le;3`3>P6::09w);id;38 0`d281vqps4}cg;>5<>290;w)<61;4a?M4?=2c:>o4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826g=Q9;91>v*:fe84?!3ak3=0qpsr;h31g?6=,;3:6<62281!3al3=0(8hl:69~yx{4={%3`a?74?2\:>>4={%7e`?1<,4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;376>P6::09w);id;f8 0`d2m1vqps4i066>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb28>>7[?=3;0x 0`c2m1/9km5d:~yx=n9=<1<7*=90826d=O:0:0Z?7<:0yO6<3=9r\o?74213_;9?7a=#=oi1h6sr}|9j511=83.95<4>2`9K6<6<^;386l5Y28195~J51<0:w[j<:3y'5fc=9=20Z<<<:3y'1cb=l2.>jn4k;|~y>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4>;%7eg?72b83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:j1]=?=52z&6ba<03-?mo794}|~?l74?3:1(?7>:00b?M4>82\95>4>{M0:1?7|^m91>v*>cd8272=Q9;91>v*:fe84?!3ak3=0qpsr;h376?6=,;3:6<P51:0:wA<65;3xRa5=:r.:oh4>439U575=:r.>ji48;%7eg?15$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6:00b?M4>82\95>4>{M0:1?7|^m91>v*>cd8202=Q9;91>v*:fe8g?!3ak3n0qpsr;h3762281!3al3n0(8hl:e9~yx{:7`8L7>23`;9n7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;31f>P6::09w);id;58 0`d2>1vqps4i00`>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb288h7[?=3;0x 0`c2>1/9km57:~yx=n9:=1<7*=90826d=O:0:0Z?7<:0yO6<3=9r\o?74503_;9?72=#=oi1;6sr}|9j514=83.95<4>2`9K6<6<^;386l5Y28195~J51<0:w[j<:3y'5fc=9=?0Z<<<:3y'1cb=l2.>jn4k;|~y>o6N5191]>4=51zN1=0<6s_n86?u+1bg9510<^8886?u+5gf9`>"2nj0o7psr}:k202<72-82=7?=a:J1=5=Q:091=vB=9482Sb42;q/=nk51558R4442;q/9kj5d:&6bf4983>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6<11]=?=52z&6ba:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qokm:18:>5<7s-82=78m;I0;1>o6:k0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2c9U575=:r.>ji48;%7eg?1n5Y13196~"2nm0<7);ic;58yx{z3`;8;7>5$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6;>1]=?=52z&6ba<03-?mo794}|~?l73:3:1(?7>:00b?M4>82\95>4>{M0:1?7|^m91>v*>cd8207=Q9;91>v*:fe8g?!3ak3n0qpsr;h371?6=,;3:6<P51:0:wA<65;3xRa5=:r.:oh4>449U575=:r.>ji4k;%7eg?b5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;373>P6::09w);id;f8 0`d2m1vqps4i06;>5<#:0;1=?o4H3;3?S4>;3;p@?7::0yU`6<5s-;hi7?;8:T266<5s-?mh7j4$4d`>a=zutw0c4e03_;9?74=#=oi1=6sr}|9~f`e=83<1<7>t$3;2>4e13A8396g>2c83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:k1]=?=52z&6ba<63-?mo7?4}|~?l75k3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=c:T266<5s-?mh7?4$4d`>4=zutw0e<=8:18'6<7=9;k0Z?7<:0yO6<3=9r\o?74503_;9?74=#=oi1=6sr}|9j514=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=9<4V000>7}#=on1=6*:fb82?x{zu2c:884?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8200=Q9;91>v*:fe82?!3ak3;0qpsr;n3`3?6=,;3:662281!3al3;0(8hl:09~yx{93hj7E<75:k26d<72-82=7?=a:9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on1=6*:fb82?x{zu2wiih4?:883>5}#:0;1:o5G2978m44e290/>4?513c8R7?428qG>4;51zTg7?4|,8in6<{zut1b=?m50;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb288h7[?=3;0x 0`c2>1/9km57:~yx=n9:=1<7*=90826d=O:0:0Z?7<:0yO6<3=9r\o?74503_;9?7a=#=oi1h6sr}|9j514=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=9<4V000>7}#=on1h6*:fb8g?x{zu2c:884?:%0:5?75i2B95=5Y28195~J51<0:w[j<:3y'5fc=9=?0Z<<<:3y'1cb=l2.>jn4k;|~y>o6N5191]>4=51zN1=0<6s_n86?u+1bg9510<^8886?u+5gf9`>"2nj0o7psr}:k202<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:8:5Y13196~"2nm0o7);ic;f8yx{z3`;?47>5$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6<11]=?=52z&6ba:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qoki:1825?6=8r.95<49b:J1<0=n9;h1<7*=90826d=Q:091=vB=9482Sb42;q/=nk513`8R4442;q/9kj57:&6bf<03twvq6g>2b83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:j1]=?=52z&6ba<03-?mo794}|~?l74?3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?<7:T266<5s-?mh794$4d`>2=zutw0e<:=:18'6<7=9;k0Z?7<:0yO6<3=9r\o?74253_;9?72=#=oi1;6sr}|9j513=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=9;4V000>7}#=on1;6*:fb84?x{zu2c:8;4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8203=Q9;91>v*:fe84?!3ak3=0qpsr;h373?6=,;3:6<62281!3al3=0(8hl:69~yx{36=4+283957g<@;3;7[<63;3xH7?228q]h>4={%3`a?7302\:>>4={%7e`?1<,290/>4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;37=>P6::09w);id;58 0`d2>1vqps4i06b>5<#:0;1=?o4H3;3?S4>;3;p@?7::0yU`6<5s-;hi7?;a:T266<5s-?mh7j4$4d`>a=zutw0e<62281!3al3n0(8hl:e9~yx{4={%3`a?75m2\:>>4={%7e`?b<,4?513c8R7?428qG>4;51zTg7?4|,8in6<{zut1b=>>50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg9566<^8886?u+5gf95>"2nj0:7psr}:k274<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:?<5Y13196~"2nm0:7);ic;38yx{z3`;8>7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;306>P6::09w);id;38 0`d281vqps4o0a4>5<#:0;1=n94V3;0>4}K:0?1=vXk3;0x 4eb28i<7[?=3;0x 0`c281/9km51:~yx=zjo:1<7?>:183!4>93o5Y13196~"2nm0<7);ic;58yx{z3`;9o7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;31g>P6::09w);id;58 0`d2>1vqps4i014>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb289<7[?=3;0x 0`c2>1/9km57:~yx=n9=81<7*=90826d=Q:091=vB=9482Sb42;q/=nk51508R4442;q/9kj57:&6bf<03twvq6g>4483>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6<<1]=?=52z&6ba<03-?mo794}|~?l73>3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?;6:T266<5s-?mh794$4d`>2=zutw0e<:8:18'6<7=9;k0Z?7<:0yO6<3=9r\o?74203_;9?72=#=oi1;6sr}|9j51>=83.95<4>2`9K6<6<^;386l5G2828R7?428qG>4;51zTg7?4|,8in6<:6;W317?4|,{zut1b=9o50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg951g<^8886?u+5gf9`>"2nj0o7psr}:k26a<72-82=7?=a:J1=5=Q:091=vB=9482Sb42;q/=nk513f8R4442;q/9kj5d:&6bf2d83>!4>93;9m6F=919U6<5=9rF9584>{Wf0>7}#9jo1=?k4V000>7}#=on1h6*:fb8g?x{zu2c:>k4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826c=Q9;91>v*:fe8g?!3ak3n0qpsr;h304?6=,;3:6<62281!3al3;0(8hl:09~yx{l5Y28195~J51<0:w[j<:3y'5fc=9:80Z<<<:3y'1cb=92.>jn4>;|~y>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4>;%7eg?74?56c9K6=3l5Y28195~J51<0:w[j<:3y'5fc=9;i0Z<<<:3y'1cb=?2.>jn48;|~y>o6;>0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>369U575=:r.>ji48;%7eg?14={%3`a?73:2\:>>4={%7e`?1<,4?513c8R7?428qG>4;51zTg7?4|,8in6<::;W317?4|,{zut1b=9850;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg9510<^8886?u+5gf93>"2nj0<7psr}:k202<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:8:5Y13196~"2nm0<7);ic;58yx{z3`;?47>5$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6<11]=?=52z&6ba<03-?mo794}|~?l7313:1(?7>:00b?M4>82\95>4>{M0:1?7|^m91>v*>cd820<=Q9;91>v*:fe84?!3ak3=0qpsr;h37e?6=,;3:6<P51:0:wA<65;3xRa5=:r.:oh4>4`9U575=:r.>ji4k;%7eg?bi5Y13196~"2nm0o7);ic;f8yx{z3`;9i7>5$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6:l1]=?=52z&6ba:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=f:T266<5s-?mh7j4$4d`>a=zutw0e<=?:18'6<7=9;k0Z?7<:0yO6<3=9r\o?74573_;9?74=#=oi1=6sr}|9j567=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=>?4V000>7}#=on1=6*:fb82?x{zu2c:??4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8277=Q9;91>v*:fe82?!3ak3;0qpsr;n3`3?6=,;3:662281!3al3;0(8hl:09~yx{1;294~"5180=n6F=849j57d=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?l4V000>7}#=on1;6*:fb84?x{zu2c:>n4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826f=Q9;91>v*:fe84?!3ak3=0qpsr;h303?6=,;3:6<62281!3al3=0(8hl:69~yx{96=4+283957g<^;386l5Y28195~J51<0:w[j<:3y'5fc=9=?0Z<<<:3y'1cb=?2.>jn48;|~y>o6P51:0:wA<65;3xRa5=:r.:oh4>479U575=:r.>ji48;%7eg?14={%3`a?73?2\:>>4={%7e`?1<,4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;37<>P6::09w);id;58 0`d2>1vqps4i06:>5<#:0;1=?o4H3;3?S4>;3;p@?7::0yU`6<5s-;hi7?;9:T266<5s-?mh7j4$4d`>a=zutw0e<:n:18'6<7=9;k0Z?7<:0yO6<3=9r\o?742f3_;9?7a=#=oi1h6sr}|9j57b=83.95<4>2`9K6<6<^;386l5G2828R7?428qG>4;51zTg7?4|,8in6<{zut1b=?h50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957`<^8886?u+5gf9`>"2nj0o7psr}:k275<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:?=5Y13196~"2nm0:7);ic;38yx{z3`;8=7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;305>P6::09w);id;38 0`d281vqps4i011>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb28997[?=3;0x 0`c281/9km51:~yx=h9j=1<7*=9082g2=Q:091=vB=9482Sb42;q/=nk51b58R4442;q/9kj51:&6bf<63twvq6smf283>3<729q/>4?51b48L7>23`;9n7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;31f>P6::09w);id;38 0`d281vqps4i00`>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb288h7[?=3;0x 0`c281/9km51:~yx=n9:=1<7*=90826d=Q:091=vB=9482Sb42;q/=nk51258R4442;q/9kj51:&6bf<63twvq6g>4383>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6<;1]=?=52z&6ba<63-?mo7?4}|~?l73=3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?;5:T266<5s-?mh7?4$4d`>4=zutw0c4e03_;9?74=#=oi1=6sr}|9~fc2=8381<7>t$3;2>gg<@;2>7d?=a;29 7?6288j76a>c683>!4>93;h;6X=9282I4>=3;pZi=52z&2g`<6k>1]=?=52z&6ba<63-?mo7?4}|~?xda=3:1:7>50z&1=4<1j2B9485f13`94?"5180:>l5G2828R7?428qG>4;51zTg7?4|,8in6<{zut1b=?m50;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb288h7[?=3;0x 0`c2>1/9km57:~yx=n9:=1<7*=90826d=O:0:0Z?7<:0yO6<3=9r\o?74503_;9?72=#=oi1;6sr}|9j514=83.95<4>2`9K6<6<^;386l5Y28195~J51<0:w[j<:3y'5fc=9=?0Z<<<:3y'1cb=l2.>jn4k;|~y>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4>;%7eg?744e3_;9?72=#=oi1;6sr}|9j57e=83.95<4>2`9K6<6<^;386l5G2828R7?428qG>4;51zTg7?4|,8in6<=8;W317?4|,{zut1b=9<50;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb28>97[?=3;0x 0`c2m1/9km5d:~yx=n9=?1<7*=90826d=Q:091=vB=9482Sb42;q/=nk51578R4442;q/9kj5d:&6bfc683>!4>93;h;6X=9282I4>=3;pZi=52z&2g`<6k>1]=?=52z&6ba<63-?mo7?4}|~?xda?3:1:7>50z&1=4<1j2B9485f13`94?"5180:>l5G2828R7?428qG>4;51zTg7?4|,8in6<{zut1b=?m50;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb288h7[?=3;0x 0`c2>1/9km57:~yx=n9:=1<7*=90826d=O:0:0Z?7<:0yO6<3=9r\o?74503_;9?72=#=oi1;6sr}|9j514=83.95<4>2`9K6<6<^;386l5Y28195~J51<0:w[j<:3y'5fc=9=?0Z<<<:3y'1cb=l2.>jn4k;|~y>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4>;%7eg?744e3_;9?72=#=oi1;6sr}|9j57e=83.95<4>2`9K6<6<^;386l5G2828R7?428qG>4;51zTg7?4|,8in6<=8;W317?4|,{zut1b=9<50;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb28>97[?=3;0x 0`c2m1/9km5d:~yx=n9=?1<7*=90826d=Q:091=vB=9482Sb42;q/=nk51578R4442;q/9kj5d:&6bfc683>!4>93;h;6X=9282I4>=3;pZi=52z&2g`<6k>1]=?=52z&6ba<63-?mo7?4}|~?xda13:1:7>50z&1=4<1j2B9485f13`94?"5180:>l5G2828R7?428qG>4;51zTg7?4|,8in6<{zut1b=?m50;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb288h7[?=3;0x 0`c2>1/9km57:~yx=n9:=1<7*=90826d=O:0:0Z?7<:0yO6<3=9r\o?74503_;9?72=#=oi1;6sr}|9j514=83.95<4>2`9K6<6<^;386l5Y28195~J51<0:w[j<:3y'5fc=9=?0Z<<<:3y'1cb=l2.>jn4k;|~y>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4>;%7eg?7c79K6=3l5Y28195~J51<0:w[j<:3y'5fc=9;i0Z<<<:3y'1cb=92.>jn4>;|~y>o6;>0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>369U575=:r.>ji4>;%7eg?74={%3`a?73:2\:>>4={%7e`?7<,4?513c8R7?428qG>4;51zTg7?4|,8in6<::;W317?4|,{zut1d=n950;&1=4<6k>1]>4=51zN1=0<6s_n86?u+1bg95f1<^8886?u+5gf95>"2nj0:7psr}:abg<72;0;6=u+2839fd=O:1?0e<4={%3`a?7d?2\:>>4={%7e`?7<,2c83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:k1]=?=52z&6ba:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=c:T266<5s-?mh7l4$4d`>g=zutw0c4e03_;9?74=#=oi1=6sr}|9~fcb=83;:6=4?{%0:5?0e3A8396g>2c83>!4>93;9m6F=919U6<5=9rF9584>{Wf0>7}#9jo1=?l4V000>7}#=on1;6*:fb84?x{zu2c:>n4?:%0:5?75i2B95=5Y28195~J51<0:w[j<:3y'5fc=9;i0Z<<<:3y'1cb=?2.>jn48;|~y>o6;>0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>369U575=:r.>ji48;%7eg?14={%3`a?73:2\:>>4={%7e`?1<,4?513c8R7?428qG>4;51zTg7?4|,8in6<::;W317?4|,{zut1b=9850;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg9510<^8886?u+5gf93>"2nj0<7psr}:k202<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:8:5Y13196~"2nm0<7);ic;58yx{z3`;?47>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;37<>P6::09w);id;58 0`d2>1vqps4i06:>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb28>27[?=3;0x 0`c2>1/9km57:~yx=n9=k1<7*=90826d=O:0:0Z?7<:0yO6<3=9r\o?742f3_;9?72=#=oi1;6sr}|9j57b=83.95<4>2`9K6<6<^;386l5G2828R7?428qG>4;51zTg7?4|,8in6<{zut1b=?h50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg957`<^8886?u+5gf9`>"2nj0o7psr}:k275<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:?=5Y13196~"2nm0o7);ic;f8yx{z3`;8=7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;305>P6::09w);id;f8 0`d2m1vqps4i011>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb28997[?=3;0x 0`c281/9km51:~yx=h9j=1<7*=9082g2=Q:091=vB=9482Sb42;q/=nk51b58R4442;q/9kj51:&6bf<63twvq6smfd83>47=83:p(?7>:7`8L7>23`;9n7>5$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6:k1]=?=52z&6ba<03-?mo794}|~?l75k3:1(?7>:00b?M4>82\95>4>{M0:1?7|^m91>v*>cd826f=Q9;91>v*:fe84?!3ak3=0qpsr;h303?6=,;3:6<62281!3al3=0(8hl:69~yx{96=4+283957g<^;386l5Y28195~J51<0:w[j<:3y'5fc=9=?0Z<<<:3y'1cb=?2.>jn48;|~y>o6P51:0:wA<65;3xRa5=:r.:oh4>479U575=:r.>ji48;%7eg?14={%3`a?73?2\:>>4={%7e`?1<,4?513c8R7?428qG>4;51zTg7?4|,8in6<:7;W317?4|,{zut1b=9750;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg951?<^8886?u+5gf93>"2nj0<7psr}:k20d<72-82=7?=a:J1=5=Q:091=vB=9482Sb42;q/=nk515c8R4442;q/9kj57:&6bf<03twvq6g>2e83>!4>93;9m6F=919U6<5=9rF9584>{Wf0>7}#9jo1=?j4V000>7}#=on1h6*:fb8g?x{zu2c:>h4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826`=Q9;91>v*:fe8g?!3ak3n0qpsr;h31b?6=,;3:6<62281!3al3n0(8hl:e9~yx{l5Y28195~J51<0:w[j<:3y'5fc=9:;0Z<<<:3y'1cb=l2.>jn4k;|~y>o6;;0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>339U575=:r.>ji4>;%7eg?74={%3`a?7d?2\:>>4={%7e`?7<,50z&1=4<1j2B9485f13`94?"5180:>l5G2828R7?428qG>4;51zTg7?4|,8in6<{zut1b=?m50;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb288h7[?=3;0x 0`c2>1/9km57:~yx=n9:=1<7*=90826d=Q:091=vB=9482Sb42;q/=nk51258R4442;q/9kj57:&6bf<03twvq6g>4383>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6<;1]=?=52z&6ba<03-?mo794}|~?l73=3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?;5:T266<5s-?mh794$4d`>2=zutw0e<:9:18'6<7=9;k0Z?7<:0yO6<3=9r\o?74213_;9?72=#=oi1;6sr}|9j511=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=994V000>7}#=on1;6*:fb84?x{zu2c:854?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd820==Q9;91>v*:fe84?!3ak3=0qpsr;h37=?6=,;3:6<62281!3al3=0(8hl:69~yx{j6=4+283957g<@;3;7[<63;3xH7?228q]h>4={%3`a?73i2\:>>4={%7e`?1<,4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;31`>P6::09w);id;58 0`d2>1vqps4i00f>5<#:0;1=?o4H3;3?S4>;3;p@?7::0yU`6<5s-;hi7?=e:T266<5s-?mh7j4$4d`>a=zutw0e<44a3_;9?7a=#=oi1h6sr}|9j566=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=>>4V000>7}#=on1h6*:fb8g?x{zu2c:?<4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8274=Q9;91>v*:fe8g?!3ak3n0qpsr;h306?6=,;3:6<66X>2281!3al3;0(8hl:09~yx{5<693:1N50<1b=?l50;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb288i7[?=3;0x 0`c2>1/9km57:~yx=n9;i1<7*=90826d=O:0:0Z?7<:0yO6<3=9r\o?744d3_;9?72=#=oi1;6sr}|9j561=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=>94V000>7}#=on1;6*:fb84?x{zu2c:8?4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8207=Q9;91>v*:fe84?!3ak3=0qpsr;h371?6=,;3:6<62281!3al3=0(8hl:69~yx{=6=4+283957g<^;386l5Y28195~J51<0:w[j<:3y'5fc=9==0Z<<<:3y'1cb=?2.>jn48;|~y>o6<10;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>499U575=:r.>ji48;%7eg?14={%3`a?7312\:>>4={%7e`?1<,4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;37e>P6::09w);id;58 0`d2>1vqps4i00g>5<#:0;1=?o4H3;3?S4>;3;p@?7::0yU`6<5s-;hi7?=d:T266<5s-?mh7j4$4d`>a=zutw0e<44b3_;9?7a=#=oi1h6sr}|9j57`=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?h4V000>7}#=on1h6*:fb8g?x{zu2c:?=4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8275=Q9;91>v*:fe8g?!3ak3n0qpsr;h305?6=,;3:6<62281!3al3n0(8hl:e9~yx{jn4>;|~y>{e99;1<7?>:183!4>932b83>!4>93;9m6F=919U6<5=9rF9584>{Wf0>7}#9jo1=?m4V000>7}#=on1;6*:fb84?x{zu2c:?:4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8272=Q9;91>v*:fe84?!3ak3=0qpsr;h376?6=,;3:6<66X>2281!3al3=0(8hl:69~yx{>6=4+283957g<^;386l5Y28195~J51<0:w[j<:3y'5fc=9=<0Z<<<:3y'1cb=?2.>jn48;|~y>o6<>0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>469U575=:r.>ji48;%7eg?14={%3`a?7302\:>>4={%7e`?1<,290/>4?513c8R7?428qG>4;51zTg7?4|,8in6<:6;W317?4|,{zut1b=9o50;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb28>j7[?=3;0x 0`c2>1/9km57:~yx=n9;n1<7*=90826d=O:0:0Z?7<:0yO6<3=9r\o?744c3_;9?7a=#=oi1h6sr}|9j57c=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?k4V000>7}#=on1h6*:fb8g?x{zu2c:>k4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826c=Q9;91>v*:fe8g?!3ak3n0qpsr;h304?6=,;3:6<62281!3al3n0(8hl:e9~yx{l5Y28195~J51<0:w[j<:3y'5fc=9:80Z<<<:3y'1cb=92.>jn4>;|~y>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4>;%7eg?70383>2<729q/>4?51b48L7>23`;9n7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;31f>P6::09w);id;38 0`d281vqps4i00`>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb288h7[?=3;0x 0`c281/9km51:~yx=n9:=1<7*=90826d=Q:091=vB=9482Sb42;q/=nk51258R4442;q/9kj51:&6bf<63twvq6g>4383>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6<;1]=?=52z&6ba<63-?mo7?4}|~?l73=3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?;5:T266<5s-?mh7?4$4d`>4=zutw0e<:9:18'6<7=9;k0Z?7<:0yO6<3=9r\o?74213_;9?74=#=oi1=6sr}|9l5f1=83.95<4>c69U6<5=9rF9584>{Wf0>7}#9jo1=n94V000>7}#=on1=6*:fb82?x{zu2wi===50;094?6|,;3:6oo4H3:6?l75i3:1(?7>:00b?>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4>;%7eg?70583>6<729q/>4?56c9K6=34={%3`a?75j2\:>>4={%7e`?b<,4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;31g>P6::09w);id;f8 0`d2m1vqps4o0a4>5<#:0;1=n94V3;0>4}K:0?1=vXk3;0x 4eb28i<7[?=3;0x 0`c281/9km51:~yx=zj8:>6=4::183!4>932b83>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6:j1]=?=52z&6ba<03-?mo794}|~?l74?3:1(?7>:00b?M4>82\95>4>{M0:1?7|^m91>v*>cd8272=Q9;91>v*:fe84?!3ak3=0qpsr;h376?6=,;3:6<P51:0:wA<65;3xRa5=:r.:oh4>439U575=:r.>ji48;%7eg?14={%3`a?7d?2\:>>4={%7e`?7<,3:1?7>50z&1=4<6k?1C>5;4i00a>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb288i7[?=3;0x 0`c281/9km51:~yx=n9;i1<7*=90826d=Q:091=vB=9482Sb42;q/=nk513a8R4442;q/9kj51:&6bf<63twvq6a>c683>!4>93;h;6X=9282I4>=3;pZi=52z&2g`<6k>1]=?=52z&6ba<63-?mo7?4}|~?xd68>0;6?4?:1y'6<7=jh1C>5;4i00b>5<#:0;1=?o4;n3`3?6=,;3:662281!3al3;0(8hl:09~yx{53;294~"5180=n6F=849j57d=83.95<4>2`9K6<6<^;386l5Y28195~J51<0:w[j<:3y'5fc=9;i0Z<<<:3y'1cb=l2.>jn4k;|~y>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4>;%7eg?70883>6<729q/>4?56c9K6=3l5Y28195~J51<0:w[j<:3y'5fc=9;i0Z<<<:3y'1cb=l2.>jn4k;|~y>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4>;%7eg?70`83>6<729q/>4?56c9K6=3l5Y28195~J51<0:w[j<:3y'5fc=9;i0Z<<<:3y'1cb=l2.>jn4k;|~y>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4>;%7eg?70c83>6<729q/>4?56c9K6=3l5Y28195~J51<0:w[j<:3y'5fc=9;i0Z<<<:3y'1cb=l2.>jn4k;|~y>i6k>0;6)<61;3`3>P51:0:wA<65;3xRa5=:r.:oh4>c69U575=:r.>ji4>;%7eg?70b83>1<729q/>4?56c9K6=34={%3`a?75j2\:>>4={%7e`?b<,4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;31g>P6::09w);id;f8 0`d2m1vqps4i014>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb289<7[?=3;0x 0`c2m1/9km5d:~yx=h9j=1<7*=9082g2=Q:091=vB=9482Sb42;q/=nk51b58R4442;q/9kj51:&6bf<63twvq6sm11f94?0=83:p(?7>:0a5?M4?=2c:>o4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826g=Q9;91>v*:fe82?!3ak3;0qpsr;h31g?6=,;3:6<62281!3al3;0(8hl:09~yx{l5Y28195~J51<0:w[j<:3y'5fc=9=80Z<<<:3y'1cb=92.>jn4>;|~y>o6<<0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>449U575=:r.>ji4>;%7eg?74={%3`a?7d?2\:>>4={%7e`?7<,7>50z&1=4l54o0a4>5<#:0;1=n94V3;0>4}K:0?1=vXk3;0x 4eb28i<7[?=3;0x 0`c281/9km51:~yx=zj8:m6=4<:183!4>932b83>!4>93;9m6F=919U6<5=9rF9584>{Wf0>7}#9jo1=?m4V000>7}#=on1;6*:fb84?x{zu2e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`255<72:0;6=u+28392g=O:1?0e<44e3_;9?72=#=oi1;6sr}|9j57e=83.95<4>2`9K6<6<^;386jn4>;|~y>{e98;1<7=50;2x 7?62?h0D?6:;h31f?6=,;3:6<62281!3al3n0(8hl:e9~yx{jn4>;|~y>{e9881<7:50;2x 7?628i=7E<75:k26g<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:>o5Y13196~"2nm0:7);ic;38yx{z3`;9o7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;31g>P6::09w);id;38 0`d281vqps4i014>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb289<7[?=3;0x 0`c281/9km51:~yx=h9j=1<7*=9082g2=Q:091=vB=9482Sb42;q/=nk51b58R4442;q/9kj51:&6bf<63twvq6sm10194?4=83:p(?7>:cc8L7>23`;9m7>5$3;2>44f32e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`251<72:0;6=u+28392g=O:1?0e<44e3_;9?7g=#=oi1n6sr}|9j57e=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?m4V000>7}#=on1n6*:fb8a?x{zu2e:o:4?:%0:5?7d?2\95>4>{M0:1?7|^m91>v*>cd82g2=Q9;91>v*:fe82?!3ak3;0qpsr;|`250<72<0;6=u+28392g=O:1?0e<44e3_;9?72=#=oi1;6sr}|9j57e=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?m4V000>7}#=on1h6*:fb8g?x{zu2c:?:4?:%0:5?75i2B95=5Y28195~J51<0:w[j<:3y'5fc=9:=0Z<<<:3y'1cb=l2.>jn4k;|~y>o6<;0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>439U575=:r.>ji4k;%7eg?b4={%3`a?7d?2\:>>4={%7e`?7<,3:197>50z&1=4<1j2B9485f13`94?"5180:>l5Y28195~J51<0:w[j<:3y'5fc=9;h0Z<<<:3y'1cb=?2.>jn48;|~y>o6:j0;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>2b9U575=:r.>ji4k;%7eg?b7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;376>P6::09w);id;f8 0`d2m1vqps4o0a4>5<#:0;1=n94V3;0>4}K:0?1=vXk3;0x 4eb28i<7[?=3;0x 0`c281/9km51:~yx=zj8;<6=4::183!4>93o5Y13196~"2nm0o7);ic;f8yx{z3`;9o7>5$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6:j1]=?=52z&6ba:00b?S4>;3;p@?7::0yU`6<5s-;hi7?<7:T266<5s-?mh7j4$4d`>a=zutw0e<:=:18'6<7=9;k0D?7?;W0:7?7|D;3>66X>2281!3al3n0(8hl:e9~yx{5<1290;w)<61;4a?M4?=2c:>o4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd826g=Q9;91>v*:fe84?!3ak3=0qpsr;h31g?6=,;3:6<P51:0:wA<65;3xRa5=:r.:oh4>2b9U575=:r.>ji4k;%7eg?b4={%3`a?74?2\:>>4={%7e`?b<,4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;376>P6::09w);id;f8 0`d2m1vqps4i066>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb28>>7[?=3;0x 0`c2m1/9km5d:~yx=h9j=1<7*=9082g2=Q:091=vB=9482Sb42;q/=nk51b58R4442;q/9kj51:&6bf<63twvq6sm10;94?1=83:p(?7>:7`8L7>23`;9n7>5$3;2>44f3A82<6X=9282I4>=3;pZi=52z&2g`<6:k1]=?=52z&6ba<03-?mo794}|~?l75k3:1(?7>:00b?M4>82\95>4>{M0:1?7|^m91>v*>cd826f=Q9;91>v*:fe84?!3ak3=0qpsr;h303?6=,;3:6<P51:0:wA<65;3xRa5=:r.:oh4>369U575=:r.>ji48;%7eg?14={%3`a?73:2\:>>4={%7e`?b<,4?513c8R7?428qG>4;51zTg7?4|,8in6<::;W317?4|,{zut1b=9850;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg9510<^8886?u+5gf9`>"2nj0o7psr}:m2g2<72-82=7?l7:T1=6<6sE8297?tVe196~"6kl0:o:5Y13196~"2nm0:7);ic;38yx{z3th:=l4?:683>5}#:0;1=n84H3:6?l75j3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?=b:T266<5s-?mh7?4$4d`>4=zutw0e<44d3_;9?74=#=oi1=6sr}|9j561=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=>94V000>7}#=on1=6*:fb82?x{zu2c:8?4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8207=Q9;91>v*:fe82?!3ak3;0qpsr;h371?6=,;3:6<62281!3al3;0(8hl:09~yx{=6=4+283957g<^;386jn4>;|~y>{e98h1<7<50;2x 7?62kk0D?6:;h31e?6=,;3:6<5}#:0;1:o5G2978m44e290/>4?513c8R7?428qG>4;51zTg7?4|,8in6<{zut1b=?m50;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb288h7[?=3;0x 0`c2m1/9km5d:~yx=n9:=1<7*=90826d=Q:091=vB=9482Sb42;q/=nk51258R4442;q/9kj5d:&6bf4383>!4>93;9m6F=919U6<5=9rF9584>{Wf0>7}#9jo1=9<4V000>7}#=on1h6*:fb8g?x{zu2c:884?:%0:5?75i2B95=5Y28195~J51<0:w[j<:3y'5fc=9=?0Z<<<:3y'1cb=l2.>jn4k;|~y>o6P51:0:wA<65;3xRa5=:r.:oh4>479U575=:r.>ji4k;%7eg?b5$3;2>4e03_82?7?tL3;6>4}Ql:09w)?le;3`3>P6::09w);id;38 0`d281vqps4}c32`?6=980;6=u+28392g=O:1?0e<62281!3al3=0(8hl:69~yx{4={%3`a?75k2\:>>4={%7e`?1<,4?513c8R7?428qG>4;51zTg7?4|,8in6<=8;W317?4|,{zut1b=9<50;&1=4<6:h1]>4=51zN1=0<6s_n86?u+1bg9514<^8886?u+5gf93>"2nj0<7psr}:k200<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:885Y13196~"2nm0<7);ic;58yx{z3`;?:7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;372>P6::09w);id;58 0`d2>1vqps4i064>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb28><7[?=3;0x 0`c2>1/9km57:~yx=n9=21<7*=90826d=Q:091=vB=9482Sb42;q/=nk515:8R4442;q/9kj57:&6bf<03twvq6g>4883>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6<01]=?=52z&6ba<03-?mo794}|~?l73i3:1(?7>:00b?M4>82\95>4>{M0:1?7|^m91>v*>cd820d=Q9;91>v*:fe84?!3ak3=0qpsr;h31`?6=,;3:6<P51:0:wA<65;3xRa5=:r.:oh4>2e9U575=:r.>ji48;%7eg?1h5Y13196~"2nm0o7);ic;f8yx{z3`;9j7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;31b>P6::09w);id;f8 0`d2m1vqps4i013>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb289;7[?=3;0x 0`c281/9km51:~yx=n9:;1<7*=90826d=Q:091=vB=9482Sb42;q/=nk51238R4442;q/9kj51:&6bf<63twvq6g>3383>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6;;1]=?=52z&6ba<63-?mo7?4}|~?j7d?3:1(?7>:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0qo?>e;2954<729q/>4?56c9K6=34={%3`a?75j2\:>>4={%7e`?1<,4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;31g>P6::09w);id;58 0`d2>1vqps4i014>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb289<7[?=3;0x 0`c2>1/9km57:~yx=n9=81<7*=90826d=Q:091=vB=9482Sb42;q/=nk51508R4442;q/9kj57:&6bf<03twvq6g>4483>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6<<1]=?=52z&6ba<03-?mo794}|~?l73>3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?;6:T266<5s-?mh794$4d`>2=zutw0e<:8:18'6<7=9;k0Z?7<:0yO6<3=9r\o?74203_;9?72=#=oi1;6sr}|9j51>=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=964V000>7}#=on1;6*:fb84?x{zu2c:844?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd820<=Q9;91>v*:fe84?!3ak3=0qpsr;h37e?6=,;3:6<P51:0:wA<65;3xRa5=:r.:oh4>4`9U575=:r.>ji48;%7eg?1i5Y13196~"2nm0o7);ic;f8yx{z3`;9i7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;31a>P6::09w);id;f8 0`d2m1vqps4i00e>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb288m7[?=3;0x 0`c2m1/9km5d:~yx=n9::1<7*=90826d=Q:091=vB=9482Sb42;q/=nk51228R4442;q/9kj51:&6bf<63twvq6g>3083>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6;81]=?=52z&6ba<63-?mo7?4}|~?l74:3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?<2:T266<5s-?mh7?4$4d`>4=zutw0c4e03_;9?74=#=oi1=6sr}|9~f47a290:=7>50z&1=4<1j2B9485f13`94?"5180:>l5G2828R7?428qG>4;51zTg7?4|,8in6<{zut1b=?m50;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb288h7[?=3;0x 0`c2>1/9km57:~yx=n9:=1<7*=90826d=Q:091=vB=9482Sb42;q/=nk51258R4442;q/9kj57:&6bf<03twvq6g>4383>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6<;1]=?=52z&6ba<03-?mo794}|~?l73=3:1(?7>:00b?S4>;3;p@?7::0yU`6<5s-;hi7?;5:T266<5s-?mh794$4d`>2=zutw0e<:9:18'6<7=9;k0Z?7<:0yO6<3=9r\o?74213_;9?72=#=oi1;6sr}|9j511=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=994V000>7}#=on1;6*:fb84?x{zu2c:854?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd820==Q9;91>v*:fe84?!3ak3=0qpsr;h37=?6=,;3:6<62281!3al3=0(8hl:69~yx{j6=4+283957g<@;3;7[<63;3xH7?228q]h>4={%3`a?73i2\:>>4={%7e`?1<,4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;31`>P6::09w);id;58 0`d2>1vqps4i00f>5<#:0;1=?o4H3;3?S4>;3;p@?7::0yU`6<5s-;hi7?=e:T266<5s-?mh7j4$4d`>a=zutw0e<44a3_;9?7a=#=oi1h6sr}|9j566=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=>>4V000>7}#=on1=6*:fb82?x{zu2c:?<4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8274=Q9;91>v*:fe82?!3ak3;0qpsr;h306?6=,;3:6<66X>2281!3al3;0(8hl:09~yx{5<693:1N50<1b=?l50;&1=4<6:h1C>4>4V3;0>4}K:0?1=vXk3;0x 4eb288i7[?=3;0x 0`c2>1/9km57:~yx=n9;i1<7*=90826d=O:0:0Z?7<:0yO6<3=9r\o?744d3_;9?72=#=oi1;6sr}|9j561=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=>94V000>7}#=on1;6*:fb84?x{zu2c:8?4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8207=Q9;91>v*:fe84?!3ak3=0qpsr;h371?6=,;3:6<62281!3al3=0(8hl:69~yx{=6=4+283957g<^;386l5Y28195~J51<0:w[j<:3y'5fc=9==0Z<<<:3y'1cb=?2.>jn48;|~y>o6<10;6)<61;31e>P51:0:wA<65;3xRa5=:r.:oh4>499U575=:r.>ji48;%7eg?14={%3`a?7312\:>>4={%7e`?1<,4?513c8L7?73_82?7?tL3;6>4}Ql:09w)?le;37e>P6::09w);id;58 0`d2>1vqps4i00g>5<#:0;1=?o4H3;3?S4>;3;p@?7::0yU`6<5s-;hi7?=d:T266<5s-?mh7j4$4d`>a=zutw0e<44b3_;9?7a=#=oi1h6sr}|9j57`=83.95<4>2`9U6<5=9rF9584>{Wf0>7}#9jo1=?h4V000>7}#=on1h6*:fb8g?x{zu2c:?=4?:%0:5?75i2\95>4>{M0:1?7|^m91>v*>cd8275=Q9;91>v*:fe82?!3ak3;0qpsr;h305?6=,;3:6<62281!3al3;0(8hl:09~yx{jn4>;|~y>{e9;;1<7850;2x 7?628i=7E<75:k26g<72-82=7?=a:T1=6<6sE8297?tVe196~"6kl0:>o5Y13196~"2nm0:7);ic;38yx{z3`;9o7>5$3;2>44f3_82?7?tL3;6>4}Ql:09w)?le;31g>P6::09w);id;38 0`d281vqps4i014>5<#:0;1=?o4V3;0>4}K:0?1=vXk3;0x 4eb289<7[?=3;0x 0`c281/9km51:~yx=n9=81<7*=90826d=Q:091=vB=9482Sb42;q/=nk51508R4442;q/9kj51:&6bf<63twvq6g>4483>!4>93;9m6X=9282I4>=3;pZi=52z&2g`<6<<1]=?=52z&6ba<63-?mo7?4}|~?j7d?3:1(?7>:0a4?S4>;3;p@?7::0yU`6<5s-;hi7?l7:T266<5s-?mh7?4$4d`>4=zutw0q~6n:1808>e28i37S6n;44f3tyjm7>56cy>ed<6k>1684k513`891?a288i70=<4;31f>;5jk0:>o522ca957d<5;ho6<2c9>7g0=9;h01>l7:00a?84b>3;9n63>d6826g=:9m21=?l4=0f:>44e34>;6090:>o52193957d<58296<ii4>2c9>1``=9;h018h?:00a?83>=3;9n63:97826g=:=8n1=?l4=72:>44e34<;m7?=b:?7f2<6:k16:;7513`89374288i70:60;31f>;3180:>o52480957d<5=386<2c9>204=9;h01;;m:00a?802n3;9n63>ab826g=:9hn1=?l4=0d`>44e349h>7?=b:?0g6<6:k16?n:513`896e2288i708=9;31f>;5;o0:>o52252957d<5;>i6<2c9>60g=9;h018lk:00a?83em3;9n63:bg826g=:=j:1=?l4=44f>44e34?<<7?=b:?634<6:k16=ok513`894da288i70<>8;31f>;3m?0:>o5252;957d<5<>36<8l4>2c9>11d=9;h018:l:00a?830m3;9n63:89826g=:=131=?l4=4:b>44e34?jj7?=b:?6f5<6:k169o?513`89ag=9;h01il513`89ae=9;h01h>513`89`7=9;h01h<513`89`5=9;h01h:513`89c3=9;h01k8513`89c1=9;h01k6513`89c?=9;h01kj513`89cc=9;h01kh513`89467288i70??1;31f>;68<0:>o52107957d<58;26<2c9>54`=9;h01<X??27j57?=a:pe<<72>8p1l751b5891g7288i70:n1;31f>;4;=0:>n522c`957e<5;hh6<2b9>7g3=9;i01>l9:00`?85e03;9o63=e4826g=::l<1=?m4=0f4>44d34;o47?=c:?2`<<6:j168:o513a8911e288h70:8d;31g>;3?l0:>n52190957e<58286<in4>2b9>1`b=9;i018ki:00`?83>?3;9n63:99826g=:=8l1=?l4=403>44e34<;57?=c:?54d<6:j168o9513a8930f288i708>4;31f>;3190:>n52483957e<5=396<2b9>207=9;i01;;=:00`?802k3;9n63>fe826g=:;j81=?m4=2a0>44d349h87?=c:?0g0<6:j169l<513`890g4288i70;n4;31f>;1:00:>n52253957d<5;>96<2c9>60?=9;i01?;n:00`?83el3;9o63:bd826f=:=kl1=?m4=4a3>44d34?=i7?=c:?635<6:j169:?513a894db288h70?mf;31g>;5910:>n524d4957e<5<9j6<854>2b9>11?=9;i018:n:00`?833j3;9o63:7d826f=:=121=?m4=4::>44d34?3m7?=c:?6f4<6:j169o<513`890d4288i70jn:00`?8be288h70jl:00`?8c7288h70k>:00`?8c5288h70k<:00`?8c3288h70k8:00a?8c?288i70k6:00a?8cf288i70km:00a?8cb288i70h::00`?8`1288h70h8:00`?8`?288h70h6:00`?8`c288h70hj:00`?8`a288h70??0;31g>;6880:>n52117957e<58;=6<2c9>54b=9;i0121826f=z{1?1<7=t=9495f>;3i90:>n523269561<5;hi6<=8;<0ag?74?279ni4>369>6gc=9:=01>l::014?85e>3;8;6344e348n:7?<7:?2`2<6;>16=i65125894b>289<70:8a;303>;3?k0:?:5246d957e<5j=4>2b9>14`=9;i0197?:014?82>93;8;63;938272=:<091=>94=5;7>45034<>j7?=c:?0g7<6;>16?n=5125896e3289<70=l5;303>;2i;0:>n5224;9561<5;?j6<=8;<05ni4>369>1gc=9:=018li:014?83d83;8;63:3`826f=:==i1=?m4=4:;>45034?357?<7:?616hl4>369>a5<6;>16i:4>2b9>a=<6:j16ik4>2c9>b5<6:k16j<4>2c9>b7<6:k16j84>369>ba<6;>16jh4>369>bc<6;>16==>512589466289<70??5;303>;69m0:?:5210g9561<58;m6<=8;<314?74?2wx4>4?:2y><1<6k11U4>52a6826d=z{h=1<7:={4e034>j=7?=c:?071<6<;16>ol5150897dd28>970;5jl0:8?523c79514<5:h=6<:=;<1a2b9>6ag=9;i01?k9:061?87c?3;?>63>d98207=:9m31=9<4=55b>42534>169hm5150890cc28>970;i0;303>;5:90:>o52532957e<5=3;6<:=;<6:5?73:27?5?4>439>0<5=9=80197;:061?802n3;8;63425349h97?;2:?6e6<6:j16>8751508973f28>970;md;376>;2jl0:8?525cd9514<52c9>16d=9;i018:l:014?83?03;?>63:888207=:=1k1=9<4=e`9561<5l31=?m4=dc957e<5ll1=?m4=g2957e<5o;1=?m4=g0957e<5o<1=>94=gf9514<5oo1=9<4=gd9514<58:;6<:=;<335?73:27:<84>439>54b=9=80163>218207=z{>l1<7=t=9295f>l01l;513c8yvg229089v3n5;3`3>;4;=0:88522c`9513<5;hh6<::;<0a`?73=279nh4>449>7g>=9=?01?j6:014?84ci3;8;63=e78200=:9m=1=9;4=0f;>42234;o57?;5:?73d<6<<168:l51578911c289<70;jc;371>;2mm0:8852233957d<5=3;6<::;<6:5?73=27?5?4>449>0<5=9=?0197;:066?85d:3;?9631=9;4=2a6>422348>57?;5:?11d<6<<169oj5157890db28>>70;mf;371>;2k90:8852207957d<5<>36<=8;<7;444>449>1=g=9=?01h?512589``=9:=01k>512589c7=9:=01k<512589cb=9=?01kk515789c`=9=?01<>?:066?87793;?963>1e8200=:98o1=9;4=03e>42234;9<7?;5:p3a<72:q6;h4>c99]3a=:i=0:>l5rs`694?53s4k?6279no4>479>6ge=9=<01?lk:065?84em3;?:63425348n:7?;6:?2`2<628>=70:8a;372>;3?k0:8;525da9510<527?5<4>479>0<4=9=<0197<:065?82><3;?:63421349h97?;6:?11<<68o51548970>288i70;md;372>;2jl0:8;525cd9510<5454>479>1=?=9=<0186n:065?8c5289<70ki:061?8`728>970h>:061?8`528>970h8:014?8`c28>=70hj:065?8`a28>=70??0;372>;6880:8;5210f9510<58;n6<:9;<32b?73>27:>=4>479~w2d=839p1:m51b:8Z2d<5h91=?o4}rc0>5<416>ol5155897dd28><70;5jl0:8:523c:9511<5;n26<::;<0ge?73=279i;4>469>5a1=9==01h1=994=4g`>42034?nh7?;7:?7=5<6<>1684?5155891?528><70:63;373>;31=0:8:523b09511<5:i86<:8;<1`0?73?278o84>469>65b=9;h01?;6:064?842i3;?;63:be8202=:=ko1=994=4`e>42034?h<7?;7:?60d<6;>169565155890>>28><70;7a;373>;b;3;8;63jf;371>;a83;?963i1;371>;a:3;?963i8;303>;al3;?;63ie;373>;an3;?;63>018202=:99;1=994=03g>42034;:i7?;7:?25c<6<>16=?>51558yv1>2908w09n:0a;?[1>34k96<c69>762=9=201?lm:06;?84ek3;?463=be820==::ko1=964=2`;>42?348o57?;6:?1`d<6h8515:894b028>370?k8;37<>;6l00:855246c951><5==i6<:7;<7fg?73027>ii4>499>0<6=9=20197>:06;?82>:3;?463;92820==:<0>1=964=2a1>42?349h?7?;8:?0g1<6<116?n;515:89755288i70<:9;37<>;5=h0:85525cf951><5o=4>499>11d=9:=01867:06;?83?13;?463:8`820==:m=0:?:52eg8203=:n90:8;52f08203=:n;0:8;52f88272=:nm0:8552fd820==:no0:8552112951><58::6<:7;<32`?73027:=h4>499>54`=9=201<X0?27j=7?=a:pe4<7288p1l?51b58965328>270;5jj0:84522cf951?<5;hn6<:6;<3g3?73127:h54>489>5a?=9=301>m=:06:?85d;3;?56344e34?ih7?;9:?6f`<6<0169oh515;890e728>27p}83;297~;0<3;h46P83:?:b?75i2wx5k4?:31x9<`=9j=01>=;:06b?84ej3;?m63=bb820d=::kn1=9o4=3`f>42f349i47?;9:?1`<<6<>16=i9515c894b?28>j70?k9;37e>;3?h0:845246g9561<5489>7f4=9=k01>m<:06b?85d<3;?m6342>34?ih7?;a:?6f`<6j70:j6;303>;2010:845259;951?<5ll1=994=g29511<5on1=974=gg951?<58;o6<:6;<32a?7312wxo:4?:4y>g=<6k11Uo:52c8826d=:kh0:>l52cc826d=z{j31<7=t=b;95f1<5:ih6<gd<6k>169h=5ce9~wfd=833p1nl51b58943c2jn01<8n:bf896c32jn01>h7:bf896ca2jn019><:bf8916f2jn019;m:bf8yvdd290?w0lk:0a;?[dd34hn6<44f3tyii7>5fz?aa?7d?27?8k4ld:?77744ld:?755:n7mk;<661?ec3tyij7>55z?ab?7d?27?ho4ld:?621h84ld:?67733<6k11U;852a1826d=z{h:1<7<<{4e0349887?=d:?1fg<6:m16>om513f897dc288o70;5lh0:8:521e5957b<58n36<489>02c=9=8018ki:061?82>:3;?563;92820<=:<0>1=974=2a1>44c349h?7?=d:?0g1<6:m16?n;513f8973f28>270<91;31f>;2jm0:>i525cg957b<5439>1=g=9=301k?515589c4=9==01kh515;8946728>270??1;37=>;69o0:8452132951?4e?3W=:707j:00b?xu>m3:1=?u29d82g2=:;:>1=?k4=3`a>44b348io7?=e:?1fa<6:l16>ok513g894b0288n70?k8;31a>;6l00:>h52231957d<5:i96<2d9>7f3=9;o018lk:00f?83em3;9i63:bg826`=:=j:1=?k4}r4e>5<4s4=;62g9>6gc=9;l01d8826c=:;>31=?l4=2a1>44a349h?7?=f:?0g1<6:o16?n;513d890dc288m70;me;31b>;2jo0:>k525b2957`4e?3Wk3:1=?u29b82g2=:;:>1=>>4=3`a>457348io7?<0:?1fa<6;916>ok5122894b0289;70?k8;304>;6l00:?=523b09566<5:i86<=?;<1`0?748278o84>319>67d=9;h018lk:013?83em3;8<63:bg8275=:=j:1=>>4}r310?6=84>c99]572<588=6<3;h;63;a28``>;4;?0hh63<428``>;4;4>90hh63=818``>;5k80hh63<6c8``>;4jh0hh63=788``>;5k00hh63=de8``>;5m00hh63=f08``>;5n00hh63<008``>;4800hh63<108``>;4900hh63<208``>;6lj0hh63=d08``>;4?:0hh63;838``>;1??0hh63>868``>;4:00hh63;758``>;30h0hh63;f28``>;2n:0hh63:038``>;2:;0hh6390b8``>;3ij0hh63;b88``>;1>l0hh63=a`8``>;5j:0hh639458``>;1910hh639338``>;4?m0hh63;668``>;31?0hh639028``>;1;1>80hh63>788``>;6i80hh63<8c8``>;41=0hh63<9d8``>;1;10hh6393d8``>;e13io70?64;ag?87fn3io70<6e;ag?85f03io70=nf;ag?80>2jn01?>>:bf897g32jn0198>:bf8910c2jn018o8:bf8934d2jn0189;:bf891ef2jn019k7:bf8yv75?3:18v3>2682g2=:;j21oi5260g9ga=:=881oi5rs5aa>5<4s4>ho7?l8:\7gg=:;3i90:?:524`39561<5:9?6<=>;<1a0?75j278n84>449>5a2=9;h01d88274=:<>k1=9o4=55a>42f34;3<7?=c:?2<6<6:j168hh513`890cd28>270;i4;31f>;2n=0:>n52586957d<5<3>6<=h4>2c9>25?=9:=019l9:00a?850?3;9n63;91820d=:<081=9o4=5;0>42f34<>=7?<7:?0<6<6:k16?4>513`896?6288i70=6a;31f>;41k0:>o52b6826g=:9hi1=?m4=2c7>44e349j97?=b:?0g5<6:k16?n>513a896e5289:70=l3;305>;4k=0:?<523b79567<544>369>66c=9;h01?=i:00`?84393;9o63=4`826g=::=h1=?m4=36g>44d348>:7?=b:?6fg<6:k169;h513`89017289<70?md;31f>;5900:>o5252;957e<5<9j6<=8;<70f?74?27>;h4>369>1=>=9=k018oi:00`?83e:3;9o63k9;31f>;b?3;8;63j9;303>;bj3;9o63jf;37<>;a93;?463id;37e>;an3;?m63>11826g=:9821=?l4=03:>45034;:h7?;a:?25c<61cg=9;k0q~;ia;2904}:=ok1=n94=217>455348in7?<1:?1ff<6;816>oj5123897db289:70=m8;37e>;5l00:85522ec951><58n<6<==;<3g339>02g=9;n0199m:00g?82e?3;8;63;91826a=:<0;1=9o4=5;1>44c34>2?7?=d:?7=1<6;4k;0:??523b19564<5:i?6<==;<1`1?74:279944>4`9>60g=9=k018lm:00`?83el3;8=63:bd8274=:=kl1=>?4=4a3>45634;ij7?<7:?67<<6;>169>o51508905e28>970;8a;31f>;20?0:>o5259:957b<5<226<:n;<7;e?73i27oo7?<7:?f3?73:27n47?<7:?f=?73:27nm7?<7:?ff?74?27ni7?=c:?fb?73127m<7?;8:?e5?73127m>7?;8:?e`?75l27mi7?;a:?eb?75l27:<=4>4`9>557=9=k01<>i:00a?877n3;9o63>1e826a=:98o1=9o4=03e>44c34;9<7?;a:p=d<72:q65o4>c99]=d=:j;0:>l5rsc094?g|5k81=n94=217>454348in7?<3:?1fa<6;:16=i65121896e5289870=l4;307>;58?0:>o525cf9565<5;e93;9m6s|b083>d}:j80:o:522ca9565<5;hn6<=<;<3g3?74;27:h44>329>7f5=9:901>m::010?84083;9n63:bd8276=:=j:1=>=4}r6e1?6=;r7?j;4>c99]0c3<5=l<6<{t=0h1<7:t=0a0>44f34?2o7?l8:\6=g=:=0h1=n94}r3`7?6=4>c69>532=9;h01<8;:00`?85e<3;8;6s|53194?5|5<8?6;2:<0:>l5rs406>5<4s4?997?l7:?220<6:k16=;;513a8yv32:3:1?v3:5282g==Y=<8018;;:00b?xu2==0;6;u254695f1<5==96<369>520=9:=0189n:00`?xu2k:0;6>u25b695f>{t=j?1<78t=4a6>4e034>347?=b:?7<=<6:j16=4m5125894?b289<70;76;31g>{tl=0;6>u2d482g==Yl=16=?<513c8yv75:3:1ov3>2382g2=:;l:1=?m4=2g2>422349m87?<7:?0b3<6:j16?hm513`896`b288h70=if;376>;51j0:>o5228a957e<5=:36<:18083293;h;63j;4>c99]1c3<5288i70::9;31g>{t080;6>u28382g==Y0816m;4>2`9~wd0=838p1l851b589760288h7p}66;297~;>?3;h46P66:?a4?75i2wxn=4?:2y>f5<6k>16>=k513a89754288h7p}7c;297~;?l3;h46P7c:?bf?75i2wxmo4?:3y>eg<6k>16>?m513a8yv>b2908w06i:0a;?[>b34kh6<50;1x9<7=9j20R4>4=`f957g4e0348987?=c:p=7<72:q65>4>c99]=7=:il0:>l5rs`g94?4|5ho1=n94=315>44d3ty287>53z?:1?7d02T2863nf;31e>{tio0;6?u2ag82g2=::?81=?m4}r7g3?6=;r7>h54>c99]1a1<57}:=m=1h=525ec95f1hi7>53z?7gc<6k11U8nk4=5af>4e03ty?h?4?:3y>0fc=9;k019j=:0a4?xu3l80;6?u24bg9`5=:9;4>c99]103<5628i<7p}:5983>7}:=53z?6g2<6k11U9n84=4a5>4e03ty>ol4?:3y>1f0=9;k018mn:0a4?xu2k00;6?u25b49`5=:=j31=n94}r6f=?6=;r7?il4>c99]0`?<5=o266}:=l=1=n64^4g5?83b>3;h;6s|5d:94?4|5b28i37S;7d:?61v86i:18183?l3;9m63:8g82g2=z{=l36=4<{<6e=?7d02T?j5524g:95f1mn7>52z?7b=<6:h168kl51b58yv7b03:1?v3>e882g==Y9l2013;h;6s|1ef94?5|58nn6;6lm0:o:5rs321>5<5s4;oh7?=a:?147<6k>1vdg9>5a`=9j=0q~l5221;95f153z?2a0<6k11U=h:4=0g7>4e03ty9>:4?:3y>5`2=9;k01?<8:0a4?xu6m?0;6>u21d595f>{t:;o1<744f3489i7?l7:p5`g=839p1c69~w75>2909w0?ja;31e>;5;00:o:5rs0g`>5<4s4;nh7?l8:\2af=:9li1=n94}r071?6=:r7:in4>2`9>613=9j=0q~?je;297~;6mo0:o55Q1dg894cb28i<7p}=5083>7}:9lo1=?o4=372>4e03ty:j=4?:2y>5c7=9j20R8j50;0x94`7288j70<:d;3`3>{t9o81<7=t=0d0>4e?3W;m>63>f382g2=z{;c69~w4`32908w0?i5;3`<>X6n=16=k:51b58yv41j3:1>v3>f5826d=::?h1=n94}r7a2?6=;r7>n:4>c99]1g0<56}:1v8>9:181837<3;9m63:0782g2=z{<8=6=4<{<713?7d02T>>;5253495f152z?663<6:h169?651b58yv31>3:1?v3:6682g==Y=?<01889:0a4?xu2>10;6?u2574957g<5<<36b783>7}:=0h1h=521c495f17>53z?2a6<6k11U=h<4=0g1>4e03ty9==4?:3y>5`4=9;k01???:0a4?xu3k80;6>u24b095f>{t44f34>h?7?l7:p0ab=839p19jj:0a;?[2cl27?hi4>c69~w1ba2909w0:kd;31e>;3lo0:o:5rs410>5<4s4?887?l8:\676=:=:91=n94}r701?6=:r7>?>4>2`9>163=9j=0q~;;1;297~;2<;0:o55Q5538902628i<7p}:4283>7}:==;1=?o4=460>4e03ty>;84?:2y>120=9j20R89:;<741?7d?2wx9:950;0x9012288j70;87;3`3>{t=1;1<7=t=4:1>4e?3W?3=63:8082g2=z{<286=4={<7;5?75i27>4>4>c69~w0g?2908w0;n9;3`<>X2i1169l651b58yv3fi3:1>v3:a9826d=:=hk1=n94}ra3>5<4s4i:6{t=l81<7:t=4fa>44f34?o57?=a:?6a0<6:j169h=51b58yv3c13:18v3:d882g2=:=l:1=?l4=4g2>44e34;=:7?=b:p1ae=838p18jn:00b?83ck3;h;6s|5d694?4|5{t=l?1<74e034?n?7?=a:p57?=83inw0?=9;3`3>;2m:094<525d19624<5=ni6?6>;<6gf?40:27>:94=809>132=:>8018j::3:2?83c=38<>63;a281<4=::<4=215>7>63498:7<82:?006<50816?9=52608962f2;2:70=;a;046>;4=<094<523479624<5:<;6?6>;<154?40:27:9k4>2b9>50b=:1;01<8l:00`?871i383=63=8181<4=::1:1>:<4=3a2>7>6348h=7<82:?02g<50816?;l5260896df2;2:70=ma;046>;5?0094<5226;9624<5;i26?6>;<0`=?40:279hi4=809>6ab=:>801?k6:3:2?84b138<>63=f081<4=::o;1>:<4=3d:>7>6348m57<82:?044<50816?=?52608966>2;2:70=?9;046>;498094<523039624<5:;26?6>;<12=?40:278><4=809>777=:>80163=d081<4=::m;1>:<4=250>7>6349;60>094<521959624<5:826?6>;<11=?40:27?;94=809>022=:>80196n:3:2?82?i38<>63;f281<4=::<4=4d0>71534?;>7<71:?647<5?;169?<5293890452;=9708?c;0;5>;18j09;?524`a96=7<5=kh6?9=;<6a=?4?927?n44=739>01`=:1;019:i:351?824:383=63;338137=:;jo1>5?4=2af>715349n87<71:?0a1<5?;168>652938915?2;=970=i8;0;5>;4n109;?5242g96=7<5=9n6?9=;<672?4?927?8;4=739>23c=:1;01;8j:351?85bn383=635?4=520>715348jm7<71:?1ed<5?;16>o=5293897d42;=9708;4;0;5>;1<=09;?5260:96=7<5?;36?9=;<406?4?927=??4=739>501=:1;01<;8:351?850l383=63<7e8137=:<;;1>5?4=502>71534>957<71:?76<<5?;168;95293891002;=970:66;0;5>;31?09;?5261196=7<5?:86?9=;<47g?4?927=8n4=739>202=:1;01;;;:351?8019383=639608137=:9>31>5?4=05:>71534;j=7<71:?2e4<5?;16?5l5293896>e2;=970=64;0;5>;41=09;?5238g96=7<5:3n6?9=;<624?4?927?==4=739>26>=:1;01;=7:351?804m383=6393d8137=:>:094<52628137=:j0094<52b88137=:90>1>5?4=0;7>71534;jj7<71:?2ec<5?;16>4k5293897?b2;=970=n8;0;5>;4i109;?523`d96=7<5:km6?9=;<1ge?4?9278hl4=739>05g=:1;019>n:351?826j383=63;1c8137=:<5?4=576>71534>>n7<71:?71g<5?;16:44=809>2<<5?;16>=?5293897662;=970;5i=09;?523b:96=7<5:i36?9=;<655?4?927?:<4=739>03b=:1;0198k:351?83f?383=63:a68137=:>8o1>5?4=73f>71534<9o7<71:?56f<5?;169<<5293890752;=970;<2;0;5>;2;;09;?5256696=7<5<=?6?9=;<6`e?4?927?ol4=739>0`>=:1;019k7:351?xu50>0;6i>4lc:?7`g:94lc:?6`04lc:?073359>762=9:?01>=;:015?854<3;8463<35827<=:;:>1=>o4=217>45e349887?:512g89653289m70=<4;374>;4;=0:8<523269515<5:9?6<:;;<177?ed34;>h7ml;<35e?ed348in7?<4:?1fg<6;<16>ol5124897de289370;5jk0:?l522c`956d<5;hi6<=l;<0af?74l279no4>3d9>6gd=9:l01?lm:063?84ej3;?=63=bc8206=::kh1=9:4=3``>453348io7?<5:?1ff<6;?16>om512:897dd289270;5jj0:?o522ca956e<5;hh6<=k;<0ag?74m279nn4>3g9>6ge=9=:01?ll:062?84ek3;??63=bb8201=::kn1=>:4=3`g>452348ih7?<6:?1fa<6;116>oj512;897dc289j70;5jm0:?n522cf956b<5;ho6<=j;<0a`?74n279ni4>419>6gb=9=;01?lk:060?84el3;?863=bd8271=::ko1=>;4=3`f>451348ii7?<8:?1f`<6;016>ok512c897db289i70;5jl0:?i522cg956c<5;hn6<=i;<0aa?738279nh4>409>6gc=9=901?lj:067?85ei3ih70=m8;306>;5k>0:>i522b5957c<5;i<6<309>6f1=9:801?j6:00f?84c13;9j63=d88275=::m31=>?4=3f:>455348om7?=e:?1`d<6:o16>io5122897bf289:70;49o0:8l5230d957b<5:;m6<319>74`=9:;01>?i:011?87ck3ih70?k7;300>;6l>0:?8521e59560<58n<6<=7;<3g3?74127:h:4>3`9>5a1=9:h01d6827`=:9m=1=>h4=0f4>42734;o;7?;1:?2`2<6<:16=i95156894b?289?70?k8;301>;6l10:?;521e:956><58n36<=6;<3g3c9>5a>=9:i01d9827c=:9m21=9>4=0f;>42634;o47?;3:?2`=<6<=16=i75126894b>289>70?k9;302>;6l00:?5521e;956?<58n26<=n;<3g=?74j27:h44>3b9>5a?=9:n01d88205=:9m31=9?4=0f:>42434;o57?;4:?1gc<6:l16>nh513d897ea289;70;5ko0:??524909gf=:<>k1=><4=55a>45534<<:7ml;<3;3?ed3499;7?=f:?062<6;916??9512389640289970:i3;a`?83a;3ih70;jc;31a>;2mj0:>k525da9566<5;<7fg?74:27>ii4>2d9>1`b=9;l018kk:013?83bl3;8=63:ee8277=:=981on525309gf=:>9i1on524`a9gf=:1on5242:9gf=:;o21on5242g9gf=:<=<1on5267g9gf=:;ll1on524119gf=::hk1on522c19gf=:>=>1on5260:9gf=:>:81on521459gf=:;>n1on524339gf=:<;31on524759gf=:<0<1on524829567<5=3;6<==;<6:5?74927?5<4>339>0<4=9:;0197=:011?82>;3;8=63;928277=:<0>1=>?4=5;7>45534<;?7ml;<47g?ed34<>87ml;<455?ed34;<57ml;<3b5?ed3493n7ml;<1:0?ed3492i7ml;<624?ed34<847ml;<40a?ed34<86nm4=c;9gf=:90>1on521`d9gf=::0o1on523`:9gf=:;hl1on523ec9gf=:<9k1on5240`9gf=:<00ho63=008`g>;5i=0ho63;4k;0:?9523b09563<5:i96<=9;<1`6?740278o?4>389>7f4=9:k01>m=:01a?85d:3;8o63k4=2a1>45a349h>7?;0:?0g7<6<816?n<5151896e528>?70=l3;300>;4k:0:?8523b19560<5:i86<=7;<1`7?741278o>4>3`9>7f5=9:h01>m<:01`?85d;3;8h63h4=2a0>427349h?7?;1:?0g6<6<:16?n=5156896e3289?70=l4;301>;4k=0:?;523b6956><5:i?6<=6;<1`0?74i278o94>3c9>7f2=9:i01>m;:01g?85d<3;8i631=9>4=2a7>426349h87?;3:?0g1<6<=16?n;5126896e2289>70=l5;302>;4k<0:?5523b7956?<5:i>6<=n;<1`1?74j278o84>3b9>7f3=9:n01>m::01f?85d=3;8j63424349h97?;4:?7244`9>61d=9;n01?:m:00f?843j3;9j63=4c8275=::=h1=>?4=36a>455348?o7?;a:?10f<6:m16>9m513g8972d288m70<;c;304>;5o6<:n;<07`?75l2798i4>2d9>61b=9;l01?:k:013?843l3;8=63=4e8277=::=o1=9o4=36f>44c348?i7?=e:?10`<6:o16>9k51228972b289:70<;e;306>;5=00:>h5224;957`<5;?26<=?;<06=?749279944>339>60g=9;o01?;n:00e?842i3;8<63=5`8274=::<4=4`g>45334?ih7?<5:?6fa<6;?169oj512:890dc289270;md;30e>;2jm0:?o525cf956e<5ni4>3g9>1gb=9=:018lk:062?83el3;??63:be8201=:=ko1=>:4=4`f>45234?ii7?<6:?6f`<6;1169ok512;890db289j70;me;30f>;2jl0:?n525cg956b<5nh4>419>1gc=9=;018lj:060?83em3;?863:bg8271=:=kl1=>;4=4`e>45134?ij7?<8:?6fc<6;0169oh512c890da289i70;mf;30g>;2jo0:?i525cd956c<5nk4>409>1g`=9=9018li:067?83d83;8863:c18270=:=j:1=>84=4a3>45?34?h<7?<9:?6g5<6;h169n>512`890e7289h70;l0;30`>;2k90:?h525b2956`<5o=4>429>1f6=9=>018?=:ba890552ji0189;:ba891ef2ji019k7:ba890>?289:70;78;306>;2000:?<5259;9564<5<2j6<=>;<7;e?74:27nj7?<0:?fb?74927nj7?<2:?e4?74827m<7?<1:?e4?74:27m=7?<0:?e5?74927m=7?<2:?e6?74827m>7?<1:?e6?74:27mh7?<2:?ea?74:27mj7?<2:?245<6;;16==?51208947c289;70?>d;305>;69m0:??5210g9566<58;n6<=>;<32a?74:27:=k4>319>54`=9:;01218274=:9;:1=><4}r7ga?6=:r7>hi4>2c9>1ac=9j=0q~;kf;296~;2lm0:>n525ed95f15fz?6a5<6:j169h?513a89401288h70=kc;3`3>;4m90:>o523d3957d<5:o96<2c9>7`g=9;h01>km:00a?85bl3;9n6344e3ty8j=4?:cy>1`6=9:=018k>:014?871>3;8;634e0349m:7?=b:?0aa<6:j16?kh513a89167288h70:?1;31g>{t;l<1<7jt=4g3>42534?n=7?;2:?223<6<;16?h?5125896c5289<70=i4;31g>;4n<0:>n523d495f1<5:oj6<369>056=9:=019>>:014?xu4nh0;64u25d29513<5439>7`4=9=801>kk:014?85ai3;h;63i=4>c69>1a`=9;h0q~?;c;291~;2m80:8;5215a95f1<5=<>6<>k4>2c9~w1312909w0;j1;373>;3=?0:o:5rs4g2>5<5s4?n=7?l7:?6`c<6:j1vo=50;3783b<3;9n63:e5826f=:44d34?=97?=b:?620<6:j169i8513`890b1288h70:;c;31f>;3o52451957d<5=>?6<h7?=b:?753<6:k169?j513`89g5=9j=019k<:00a?834l3;9n63:3d826g=z{=nj6=4<{<6g6?75i27?h=4>2`9>0ad=9j=0q~:k0;291~;3l90:o:5214g957d<58?n6<2b9~w1b42909w0:k1;31e>;3l:0:o:5rs5f`>5<5s4>o?7?=a:?7`f<6k>1v9j;:18182cj3;9m63;d582g2=z{=n>6=4={<6g0?75j27?h84>c69~w1b12909w0:k4;31g>;3l?0:o:5rs50b>5<6?r7?h:4>2c9>0a>=9;h019j6:00a?832n3;9n63:d1826g=:<;k1=n94=50e>44e34>8<7?=b:?211<6:k168?8513`89140288i70;k:00`?83b288i70;i:00a?807288i708>:00a?85c<3;9n6344e34?9n7?=b:?66`<6:k169?h513a8yv5d13:1jv3;d6826f=:9?21=?l4=56`>44d34>?h7?=c:?76c<6:j16?n751b589124288h70:;4;31g>;6==0:>n52434957e<5=8<6<2b9>7a>=9;h019?8:00a?xu3;:0;6<:t=5f4>45034>o47?=c:?7`<<6:j169;>513`890b6288i70:=e;31f>;3:l0:>n5242195f1<58?;6<h7?<7:?6a?75k27>j7?=c:?54?75k27==7?=c:?0`1<6;>16?i;513a896b1288h70:>9;31g>;2:k0:>n5rs51:>5<6;r7?h:4>439>0a>=9:=019j6:014?83193;9n63:d3826g=:<:<1=?l4=515>44d34>857?l7:?214<6:k16=8?513a890b=9=8018k5125890`=9:=01;>51258937=9:=01>j;:061?85c=3;8;6394}r60b?6=9:q68i95157891b?28>970:k9;376>;2>;0:>o525e1957d<5=9h6<c69>504=9;h01<;=:00`?83c28>>70;j:061?83a28>9708?:061?80628>970=k4;371>;4l<0:8?523e49514<5=;26<:=;|q7`2<72;q68i951b5891b1288i7p};4683>43|5=n36<::;<35c69>01d=9;h019:<:014?823<3;8;63>54826f=:=m0:8;525d8200=:=o0:8852618200=:>80:88523e1957e<5:n>6<::;<1g2b9>041=9;i019?7:00a?82613;?963:2e826f=:=;l1=>94}r6gc69>0a0=9;i0q~:?b;29e~;3l00:885217:9561<5=>h6<=8;<677?73:27:984>369>05d=9j=01;?5154896b?289<70:>8;31g>;2;l0:>n5rs573>5o57?;6:?70a<6;>1689:51508937=9==01>j<:014?85c>3;?963;198272=:<<:1=n94=40g>45034?8i7?<7:p0a?=838p19j6:0a4?82c>3;8;6s|57194?5|5:94>c69~w0302909w0;:7;3`3>;2>;0:?:5rs47b>5<5s4?>47?=a:?61d<6k>1v88::181832i3;9m63:6482g2=z{9o4>c69~w03d2909w0;:b;31f>;2=j0:o:5rs47g>5<5s4?>n7?=c:?61a<6k>1v>j8:180832m3;9n63:5d826f=:;m=1=n94}r76a?6=:r7>9h4>c69>10b=9;h0q~?9d;29e~;2=o0:>n52572957e<5<<:6<c69>522=9;h01<9::00a?870>3;9n639k4>c69>10b=9;i0q~;90;296~;2>90:o:5254f956152z?624<6k>1698j51508yv31:3:1>v3:6382g2=:=ol4>2`9>1f>=9;k018j::0a4?xu2k10;6?u25b:95f1<57}:=jh1=?o4=4f5>4e03ty>on4?:3y>1a3=9;k018ml:0a4?xu2km0;6?u25ba957d<56683>6}:=jl1=?l4=4ae>44d34;=;7?l7:p1f`=838p18mi:0a4?83dm3;9n6s|18794?g|5h?4>2b9>1a5=9;i01<87:061?87>=3;h;63>9b826g=:90n1=?l4=0;f>44e34n36<51b5890eb288h7p}:d083>7}:=m;1=n94=4af>4503ty>h?4?:3y>1a4=9j=018mj:061?xu2l:0;6?u25e195f1<5{t<0=1<7=={<6:3?7d?27?5i4>2b9>063;a08207=:;k21=?j4=3f:>42>348om7?;9:?73d<6:l168:l513g891`7288i70:m5;31f>;3190:>h52483957b<5=396<2e9>521=9;h01<7i:00a?87>:3;9n63>ab8207=:9hn1=>94=4c1>45034?j?7?<7:?6e1<6;>16>89513`890>?288n70;79;31`>;20h0:>i52e68200=:m10:8?52e88200=:mh0:8?52ec8207=:ml0:?:52eg820d=:n90:8452f0820d=:n;0:8452fe826`=:nl0:>i52fg826`=:99:1=?j4=022>44c34;:o7?=c:?25a<6:l16={t<021<744e34>247?l7:p0d2=838p19o;:0a4?82f;3;9m6s|48;94?4|5=336<{t98h1<7=t=5;a>44e34>2n7?=c:?25g<6k>1v97m:18182>j3;h;63;9`826g=z{2b9>1d7=9j=0q~:6c;296~;31j0:o:5248c957e549y>063=bb8277=::kn1=><4=3`f>455349i87?=c:?0f3<6<<16?o6513g894>6288h70?72;303>;60=0:>n524dd957e<55;4>2b9>1<>=9;i018?j:00`?807i3;8;63;b7826f=:;>=1=?m4=5;2>44b34>287?=e:?517<6;>16?5=513a896>0288i70=60;31g>;41;0:>o5238c957e<5:3h6<44d34;jh7?;2:?0e1<6:j16?l8513`896dc28i<70;n2;376>;2i:0:8?5263:957e<5;9n6<2b9>61g=9;i01?:l:00`?843m3;9o63=57826f=:=kn1=><4=4`f>45534?ij7?<2:?6g5<6;;169;h513a89016289<70?md;31g>;5900:>n5259;957c<5<2j6<n<4>369>1g5=9;i01i7513a89`>=9=?01ho515789`c=9=801k>515c89c4=9=k01kk513g89467288n70??1;31a>;6990:>n5210:957e<58;h6<=8;<32a?75m27:>=4>2d9~w1?c2909w0:6d;3`3>;31h0:?:5rs5c6>5<51r7?5h4>439>0<`=9=8019o?:066?82f93;?96344e34>i?7?=b:?7f1<6:k1684<513d89416288i70?81;31g>;6100:>o5218;957e<582n6<2c9>5dd=9;h0142234?j87?;2:?6<=<6:o16957513d890>f288m70k8:065?8c?28>=70k6:065?8cf28>=70km:066?8cb28>>70ki:00g?8`7288o70h>:00g?8`5288o70hk:00e?8`a288m70??0;31b>;69j0:8?5rs5cg>5<3nr7?5h4>449>0<`=9=?019o?:065?82f93;?:63;7`826c=:<>h1=?h4=55g>42534>970:n9;31f>;3i00:>n524`f95f1<5=h86<369>0g1=9=80197?:00e?82>93;9j63;92826c=:<0>1=?h4=77e>42534;<;7?=c:?2=c<6:j16=5k513a894?7288i70?61;31g>;61;0:>n521`;957e<58kj6<479>5db=9=<01;9513`8931=9;i019;i:00`?83f:3;?:63:a28203=:=h>1=9;4=0`g>45034;ii7?;2:?2fc<6<;16><9513`8977?289<70<>9;303>;3m?0:885255:9514<5<>26<:=;<77e?73:27>8o4>439>11e=9=801i7512589ag=9=801il515089ae=9=801h>515089`7=9=801h<515089`5=9=801h:515089`1=9==01h6515589`?=9==01ho515589`d=9=<01hk515489``=9;o01k>513g89c7=9;o01k<513g89c3=9=801k8515089c1=9=801k6515089c?=9=801kk513d89466288m70?>c;371>{t>h0;68mt=5;f>42134>2j7?;6:?7e5<6<>168l?5155896d?289;70;5lh0:8l5246c9566<5==i6<=?;<64`?73=27?;h4>479>02`=9=?018ki:065?83a83;?963;b48272=:42234>2<7?<0:?7=4<6;91684<5122891?4289;70:64;304>;1=o0:8852166957e<58=>6<369>5m3;9o63>9g8272=:90;1=>94=0;1>45034;jn7?<7:?2ef<6<>16=lj5155890g528><70;n3;373>;2i=0:8;521ca957e<58ho6<:=;<3aa?73=27:nk4>449>641=9;i01??7:061?84613;?>639a;3`3>;3k10:>o524d49510<5<>36<::;<77=?73=27>8l4>449>11d=9=?018:l:066?83?03;8<63:888275=:=1k1=>>4=e:957e<5m31=9<4=ec9513<5mh1=9;4=ea9513<5l:1=9;4=d39513<5l81=9;4=d19513<5l>1=9;4=d5951><5l21=964=d;951><5lk1=964=d`9511<5lo1=994=dd957`<5o:1=?h4=g3957`<5o81=?h4=g79513<5o<1=9;4=g59513<5o21=9;4=g;9513<5on1=>>4=gg9566<5ol1=>>4=023>45734;;=7?<0:?25f<6f;31b>;6:90:>k5rs5;f>5<5s4>2i7?l7:?7=d<6<;1v97i:18182>n3;h;63;9`8200=z{=k;6=4={<6b4?7d?27?5l4>479~w1g62909w0:n1;3`3>;31h0:8:5rs216>5<5s499m7?=a:?073<6k>1v>44e3498?7?=b:?07a<6:k16?9?513`894b2288i7p}<2c83>7}:;:<1=?o4=20a>4e03ty8>n4?:3y>77d=9;h01>{t;;o1<74e03499h7?=b:p1ge=839p1>i4>2b9~w7?>2909?v3<31826f=:;:91=?m4=21f>44e349?=7?=c:?00=<6:k16?9;513`89634288i70=;d;31f>;4=m0:>o52345957d<5;=i6<2c9>731=9;h01>8=:00a?840<3;9n63=c5826g=::m>1=?l4=3g3>44e348no7?=b:?1b1<6:k16>km513`89663288i70=?c;31f>;49=0:>o5230a957d<5;ih6<94>2c9>6d>=9;h01?l?:00a?84e93;9n63=9882g2=::h81=?l4=3c1>44d3ty8?=4?:3y>766=9j=01>44d3498?7?<7:?07c<6:k16?9?51258962?288h70=;5;31g>;4=:0:>n5235f957e<5:?o6<2b9>6g0=9;i01>88:00`?85103;9n63<63826f=::>>1=?m4=3a7>44d348o87?=c:?1a5<6:j16>hm513a897`3288h70;48=0:>n5231a957e<5:;?6<2b9>73c=9;i01><;:00`?84f03;9o63=b1826f=::k;1=?m4=3;e>4e03ty8?<4?:3y>767=9j=01>m0;644d3498?7?;2:?005<6:k16?9?51508962?289<70=:3;303>;4=m0:?:522c49561<5:<<6<=8;<15=?75j279o94>369>6a2=9:=01?k?:014?84bk3;8;63=f58272=::oi1=>94=227>450349;o7?<7:?051<6;>16?;4:=0:?:522`:9561<5;h;6<=8;<0a5?74?2wx?><50;0x965528i<70==d;371>{t;:=1<76t=210>4223498;7?l7:?07a<6:j16?>k513a8965a288h70=;0;31g>;4<80:88521e7957e52z?076<6k>16??j51548yv54<3:1>v3<3582g2=:;;n1=994}r176?6=:r78?:4>2`9>715=9j=0q~=<8;296~;4<:0:>l5232:95f152z?07=<6:k16?>751b58yv54i3:1>v3<39826f=:;:k1=n94}r0ae?6=;r78?o4>2c9>76d=9;i01?ln:0a4?xu4;k0;6?u232`95f1<5:9j6<m513`8965d288h70=l1;3`3>{t;:i1<74e03498m7?=c:p76b=838p1>=k:0a4?854i3;8;6s|32g94?4|5:9n6h50;0x965a28i<70={t;=:1<74e03498m7?;6:p717=838p1>:>:0a4?854i3;?;6s|35;94?5|5:>?6<c69~w623290iw0=;4;3`3>;4<10:8?52343957d<5:?96<2c9>730=9;i01>87:00`?85113;9o63=76826g=:;>;1=?l4}r172?6=:r788o4>2c9>710=9j=0q~=;b;296~;452z?00dc69~w6202909w0=;6;31f>;4<>0:o:5rs26;>5<5s49?:7?=c:?00=<6k>1v>;;:181853k3;9m63<5482g2=z{:>h6=4m{<17g?7d?2789<4>2b9>704=9;i01>;l:00a?852m3;9o63=7d826g=:;?<1=>94=24;>450349=57?<7:?132<6:j16?:?513a8yv53m3:1>v3<54826d=:;=o1=n94}r17`?6=:r78984lc:?00a<6k>1v>:i:181853m3;9n63<4g82g2=z{:?;6=4={<17a?75k2789=4>c69~w6362909w0=:1;3`3>;4=90:>o5rs271>5<5s49>>7?l7:?015<6:j1v>;<:181852;3;h;63<518272=z{:?m6=4={<162?75i278:=4>c69~w631290jw0=:6;3`3>;4=k0:>n5234a957e<5:?n6<=8;<04a?75k278:;4>439>73>=9=801>86:061?840?3;8;63<708272=z{:?36=4={<154?75i278954>c69~w6302909w0=90;a`?852?3;h;6s|34;94?4|5:?36<{t;4e0349>m7?=b:p70e=838p1>;l:0a4?852i3;9o6s|34f94?4|5:?o6{t944f34;>h7?l7:p50>=83?p1<;7:0a4?82a93;9n63;31826f=:<;<1=>94=40f>44d3ty:9h4?:3y>50`=9;h01<;j:0a4?xu6=o0;6?u214d95f1<58?o6?9=;|q21<<72;q6=8j513c8943>28i<7p}>5`83>7}:9<31=?l4=07b>4e03ty:9o4?:3y>50?=9;i01<;m:0a4?xu6>00;6?u2172957g<5851b589157289<70:=7;303>;3>k0:>o5247`957e<5<8n6<=8;|q22=<72;q6=;9513c8940?28i<7p}>6c83>7}:9?i1=?l4=04a>4e03ty::n4?:3y>53e=9j=01<8n:351?xu6>80;6?u217c957g<58<:66283>7}:9?;1=?m4=040>4e03ty::94?:3y>532=9j=01<8<:00a?xu6><0;6?u217795f1<58<86<7}::>k1=?o4=3:3>4e03ty9;l4?:30x971f28i<70883;31f>;21=0:?:5267;957e<5?2c9>245=9;i01;?;:00`?806=3;9n63917826g=:>44d34<>o7?=c:?51a<6:k16=km513a894`c288h70?ie;31f>;6no0:>o52637957d<5?8<6<369>61g=9:=018>i:00a?831l3;9n63:6d8272=:=?l1=>94=02;>44e34;;o7?=b:?250<6:j16=<8513a89470288i70?>8;303>{t:>i1<744f3487}::>i1=?l4=35g>4e03ty9;h4?:3y>62e=9;i01?9j:0a4?xu4>80;68u226g9561<5:<:6439>727=9=80q~l522b0957e<5;i:6o;51b5897e0288i70;5l>0:>n522d1957d<5;om6<2c9>751=9;h01>>i:00a?856?3;9n63<1g826g=::jl1=?l4=204>44e3ty9nk4?:3y>6gg=9;k01?li:0a4?xu5j>0;6?u22b0957d<5;h<6n<51b5897e6288j7p}=b783>7}::j;1on522c495f152z?1f2<6:k16>o651b58yv4e13:1>v3=b6826f=::k31=n94}r0af?6=:r79no4>c69>6g`=9;h0q~52z?1fa<6k>16>oh51258yv4em3:1>v3=bd82g2=::kl1=9<4}r15e?6=;r78:<4>2`9>73e=9;i01>8m:0a4?xu4>:0;6?u237a957d<5:<867}:;?h1on5237095f152z?026<6:k16?;:51b58yv51=3:1>v3<62826f=:;??1=n94}r152?6=:r78:;4>c69>733=9;h0q~=97;296~;4>>0:o:52377957e52z?02=<6k>16?;;51258yv5113:1>v3<6882g2=:;??1=9<4}r1a=?6=;r78n=4>2`9>7gd=9;i01>ln:0a4?xu4j90;64e0349i87?;2:?0f0<6=70?72;376>;2n90:8;5261;9514<5?:j6<:=;<45f?75k27=9<4>439>204=9=801;;i:065?83f<3;?;639288207=:=:31=9<4=41b>42234?8n7?;5:?60f<6970km:06;?8cb28>370??4;31f>;68=0:>n5210;9514<58;h6<:8;|q0f=<72;q6?o9513c896d?28i<7p}6}:;k=1=n94=ga957d<5oi1=?m4}r1a5?6=:r78no4>2c9>7g7=9j=0q~=mb;296~;4jk0:o:523cc957g7>52z?0f4<6:k16?o<51b58yv5e;3:1>v3c69>7g5=9;h0q~=m5;296~;4j<0:o:523c1957e52z?0f3<6k>16?o=51258yv20=3:1nv3?1=n94=55b>45634><9512589cb=9:;01kk512389c`=9:;01<>?:012?87793;8=6s|26:94?4|5;=86<:=50;34840;3;h;63972826f=:91:1=>94=0:2>45034;3?7?<7:?2<1<6;>1694;5125890?1289<70;67;303>;2110:?:5222d9561<5;>;6<=8;<075?74?2798?4>369>61d=9:=01?:l:014?843l3;8;63=4d8272=:=hl1=>94=4`3>45034?i>7?<7:?6f6<6;>16==m513a8yv40=3:1>v3=78826d=::>?1=n94}r040?6=:r79;44lc:?131<6k>1v?99:181840=3;9n63=7782g2=z{;=<6=4={<041?75k279;:4>c69~w7e?2908w0;5kh0:>n522b;95f153z?1g6<6k>16>nh513f89640288o7p}=c483>7}::jk1=?l4=3a6>4e03ty9ol4?:3y>6fg=9j=01?m6:00b?xu5k=0;6?u22b;9gf=::j>1=n94}r0`2?6=:r79o84>2c9>6f0=9j=0q~n522b595f15cz?1g2<6:j16>i=51b5897c4288h70;5n>0:>n522gd957e<5::<6<2b9>74`=9;i01?mi:00`?855?3;9o6s|2ed94?d|5;i<6<=8;<0gb?7d?279ik4>369>6c1=9:=01?hi:014?857?3;8;63<0g8272=:;8=1=>94=23e>450348hj7?<7:?062<6;>1v?km:18b84d?3;?>63=ec82g2=::o=1=9<4=3de>425349;;7?;2:?04c<6<;16?<951508967a28>970;4:>0:8?5rs3d0>5<>s48h;7?;5:?1b6<6k>16>kh51578966028>>70=?f;371>;49>0:885230d9513<5;im6<::;<113?73=2wx>kl50;:x97e028>=70;48>0:8;5231d9510<5:;<6<:9;<12b?73>279ok4>479>771=9=<0q~=?3;293~;5k>0:8:5231195f1<5::m6<:8;<123?73?278=k4>469>6f`=9==01><8:064?xu48k0;6;u22b5951><5::i6499>6f`=9=201><8:06;?xu49:0;68u22b5951?<5:;86489>771=9=30q~=>b;290~;5k>0:8l5230`95f1<5;im6<:n;<113?73i2wx>im50;1x97b4288j70;5lm0:o:5rs3fa>5<5s48o47?=a:?1`g<6k>1v?j7:18084c03;h;63:1`826g=:=8k1=?m4}r0g1?6=:r79hh4>2c9>6a3=9j=0q~52z?1`ac69~w7b12909w0;5l?0:o:5rs3f4>5<5s48o97?=c:?1`2<6k>1v<9m:18g84c13;9h63=d`826a=::l?1=?m4=05a>4e034?no7?;a:?6aa<6;5=>0:>n5224:957d<5;?26<i750;0x97b>28i<70{t:mk1<74e0348on7?=c:p6`>=839p1?ji:00b?84bi3;9o63=e882g2=z{;o<6=4={<0f0?75i279i:4>c69~w7c32908w0;29k0:>o5250`957e52z?1ad<6:k16>h?51b58yv4bi3:1>v3=e`82g2=::l31=?o4}r0f4?6=:r79i44lc:?1a5<6k>1v?k=:18184b93;9n63=e382g2=z{;o86=4={<0f5?75k279i>4>c69~w4`12909w0;6n?0:o:5rs3g6>5<5s48n97?l7:?1a2<6:k1v?k9:18184b>3;h;63=e6826f=z{;l;6=4<{<0ff?75i279j?4>2b9>6c7=9j=0q~o522df95f17>52z?1b7<6k>16>k?513c8yv4bk3:1>v3=f08`g>;5mj0:o:5rs3gf>5<5s48nh7?=b:?1a`<6k>1v?ki:18184bl3;9o63=eg82g2=z{;l36=4<{<0e7?75i279jl4>2b9>6c?=9j=0q~o522g795f152z?1bd<6k>16>k7513c8yv4a<3:1>v3=f88`g>;5n=0:o:5rs3d5>5<5s48m97?=b:?1b3<6k>1v?h8:18184a=3;9o63=f682g2=z{::;6=4<{<0ef?75i2782b9>757=9j=0q~o522gf95f17>52z?047<6k>16?=?513c8yv4ak3:1>v3<008`g>;5nj0:o:5rs3df>5<5s48mh7?=b:?1b`<6k>1v?hi:18184al3;9o63=fg82g2=z{::36=4<{<137?75i2782b9>75?=9j=0q~=?5;296~;48h0:>o5231795f152z?04d<6k>16?=7513c8yv57<3:1>v3<088`g>;48=0:o:5rs225>5<5s49;97?=b:?043<6k>1v>>8:181857=3;9o63<0682g2=z{:;;6=4<{<13f?75i278=?4>2b9>747=9j=0q~=?d;296~;49;0:>o5231f95f17>52z?057<6k>16?v3<108`g>;48j0:o:5rs22f>5<5s49;h7?=b:?04`<6k>1v>>i:181857l3;9o63<0g82g2=z{:;36=4<{<127?75i278=l4>2b9>74?=9j=0q~=>5;296~;49h0:>o5230795f152z?05d<6k>16?<7513c8yv56<3:1>v3<188`g>;49=0:o:5rs235>5<5s49:97?=b:?053<6k>1v>?8:181856=3;9o63<1682g2=z{:8;6=4<{<12f?75i278>?4>2b9>777=9j=0q~=>d;296~;4:;0:>o5230f95f17>52z?067<6k>16???513c8yv56k3:1>v3<208`g>;49j0:o:5rs23f>5<5s49:h7?=b:?05`<6k>1v>?i:181856l3;9o63<1g82g2=z{8ni6=4={<3`b?75i27:hn4>c69~w4ea290;6l=0:>n525da957b<5=n4>2b9>7=1=9;i0q~?ka;296~;6l?0:>l521ec95f152z?2`f<6:h16=i>51b58yv7c93:1>v3>d1826g=:9m;1=n94}r3g6?6=:r7:h=4>2b9>5a4=9j=0q~=76;297~;6l:0:>o521e1957e<5:2=6d583>7}:9m>1=n94=0f1>44d3ty:h84?:3y>5a3=9j=010;6?u21e595f1<58nj6<d883>7}:9m31=n94=0fb>4503ty9h=4?:2y>6fd=9;k01?j=:00`?84c93;h;6s|2b`94?4|5;ii6nj50;0x97b5288i70{t:m81<74e0348o=7?=a:p6fe=838p1?j>:ba897ed28i<7p}=cd83>7}::jn1=?l4=3af>4e03ty9ok4?:3y>6fb=9;i01?mi:0a4?xu4?;0;6?u237f957g<5:=867}:;>91on5237g95f152z?02c<6:k16?:>51b58yv5093:1>v3<6g826f=:;>;1=n94}r6;5?6=;r7?;84>2`9>0=5=9;i0196=:0a4?xu3090;6?u246a957g<5=2;6{t<><1<744e34><:7?l7:p0=5=838p196<:0a4?82?:3;9m6s|46594?4|5===6<{tnk0;6>u246;957d<5==26<4e03ty?;44?:3y>02?=9j=01997:00a?xu3?h0;6?u246c95f1<5==36<7}:<>n1=n94=5:3>44e3ty?;h4?:3y>02c=9j=0196?:00`?xu3?o0;6?u246d95f1<5=2;6<=8;|q530<72;q6:;h513c8931128i<7p}96g83>65|5?439>5=7=9=801<6<:061?87?<3;?>63:958207=:=0?1=9<4=4;5>42534?2;7?;2:?6==<6<;16:;751258930f289<7089b;303>;1>j0:>n526019561<5?;?6<=8;<421?75k27==;4>2b9>20g=9;i01;;m:014?802k3;8;6395e826f=:9oi1=>94=0dg>45034;mi7?=c:?2bc<6:j16:?;513a89340288h708=8;376>;5;l0:8?5222d9514<5;>;6<:=;<075?73:2798?4>439>61g=9=801?:m:061?843k3;?>63=4e8207=::=o1=9<4=4ce>42534?i<7?;2:?6f7<6<;169o=51508946>288i70??a;31f>;68k0:>o521079561<58;=6<=8;<323?75k27:=54>439~w3172909w0886;31e>;1?90:o:5rs752>5<5s4<<<7?=b:?534<6k>1v;9=:18180083;9o6397382g2=z{?=86=4={<447?7d?27=;?4>2c9~w34c29099v3975826f=:>?21=?l4=74:>42534<=m7?;2:?52g<6<;16:;m512589375288i708>3;376>;19=0:8?526079561<5?;=6<=8;<41`?7d?27:jo4>2c9>5ce=9=801fg8272=:>;=1=>94=70;>42234<957?;5:?17`<6<<16>>h51578972728>>70<;1;371>;5<;0:885225c9513<5;>i6<::;<07g?73=2798i4>449>61c=9=?018>n:00a?837i3;9o63:ag8200=:=k:1=9;4=4`2>42234?i>7?;5:?6f6<6<<1v;;::18;800<3;8;6395482g2=:>:i1=?l4=71`>44d34<9?7?=b:?566<6:j169=h513a8946>288h7p}93283>3}:>>>1=9<4=713>44e34<8<7?=c:?576<6k>169=l513`8906e288h7p}93883>3}:>>>1=9;4=715>44e34<8:7?=c:?57<<6k>169=m513`8906d288h7p}97583>7}:>>>1=n94=751>44d3ty:4;4?:3y>52d=9;k01<68:0a4?xu60<0;6?u216d957g<582>64;31g>{t9>i1<744f34;8;50;1x941b288i70?8e;31g>;5=<0:o:5rs741>5<5449>5=7=9=?01<6=:066?87?;3;?963>858200=:=0>1=9;4=4;6>42234?2:7?;5:?6=2<6<<1694651578936>28>>708?a;371>;1>;0:o:5267:957e<5?;96<449>204=9=?01;;m:061?802k3;?>6395e8272=:9oh1=?m4=0df>42534<9;7?;2:?62a<6:j169;k51508900a28>970;80;376>;2?80:8?5211c957e<58:h6<=8;<321?73:27:=;4>439>541=9:=0141|582;6<:9;<3;5?73>27:4>4>479>5=2=9=<0187::065?83>>3;?:63:968203=:=021=984=c695f1<5;9m6<:9;<074?73>2798<4>479>614=9=<01?:m:065?843k3;?:63=4e8203=::=o1=984=44g>45034?jj7?;6:?6f5<6=70?>1;31f>{t91:1<74e034;397?=b:p5=7=838p1<6>:0a4?87?=3;9o6s|61f94?76s4;3>7?;6:?54<<69708?d;3`3>;19?0:8?526439510<5??96<:9;<46`?73:27:jk4>439>27?=9=<0189?:066?83093;?963:7d8200=:=k;1=984=034>42534;:57?;6:p5=4=838p1<6=:0a4?87?=3;8;6s|19194?4|58286328i<70?75;371>{t;;21<744f349957?l7:p775=83>p1><<:0a4?84f03;?>63=b18207=::k;1=9<4}r111?6=:r78>44>2`9>773=9j=0q~==4;296~;4:00ho63<2582g2=z{:8=6=4={<111?75j278>;4>c69~w6402909w0==5;31g>;4:>0:o:5rs550>5<5s4>=i7?=a:?731<6k>1v98j:180821m3;h;63>748272=:9ki1=>94}r644?6=:r7?;94>2`9>026=9j=0q~:9f;296~;3?=0ho63;6g82g2=z{==:6=4={<644?75j27?;<4>c69~w1152909w0:80;31g>;3?;0:o:5rs5:a>5<0s4>=j7?=b:?72c<6:j1685;513`891>2288h70:7b;3`3>;3k>0:>o524b5957e357>52z?7<1<6:h1685o51b58yv2?<3:1?v3;8582g2=:90n1=>94=e:95613:7>52z?7v3;8`8`g>;30<0:o:5rs5:4>5<5s4>3:7?=b:?7<2<6k>1v967:18182?>3;9o63;8982g2=z{=l96=4<{<6ff?75i27?j94>2`9>0c5=9j=0q~:i4;297~;3n=0:o:524g2957e<5=l:6<7}:4e03ty?ih4?:3y>0`e=9;i019kj:0a4?xu3mo0;6?u24dd95f1<5=on6<51b5891cb288h7p};f083>7}:4503ty>j?4?:3y>1`>=9;k018h<:0a4?xu2n80;6?u25dg957g<5v3:f2826d=:=l31=n94}r7e0?6=:r7>j>4=809>1c2=9j=0q~;ja;296~;2m00:>o525dc95f152z?6a<<6:j169hl51b58yv3bk3:1>v3:eb82g2=:=lh1=?l4}r7f`?6=:r7>ii4>c69>1`d=9;i0q~;jf;296~;2mo0:o:525g3957d52z?6b5<6k>169k?513a8yv3>i3:1>v3:8g826d=:=0k1=n94}r7:=?6=:r7>5>4>2`9>17}:=0:1=?l4=4;2>4e03ty>5?4?:3y>1<6=9;i0187=:0a4?xu2?k0;6>u2580957d<5<396<{t=0?1<74e034?257?=c:p1<0=838p1879:0a4?83>13;8;6s|58594?4|5<3<6{t=9;1<7:t=5da>44f34>mm7?=a:?646<6:j169=<51b58yv2ai3:18v3;f`82g2=:44e34>n87?=b:p0ce=838p18><:00a?82ak3;h;6s|51194?4|5<:86{t44d34>mi7?l7:p6d3=833p19hi:00`?83783;9o63=a482g2=::h21=9;4=3`3>422348i=7?;5:?6e5<6:k168h=513a891c3288h7p}=ab83><}:94=423>450348j47?;6:?1ef<6k>16>o>5154897d628>=70;n0;31g>;3m:0:?:524d69561mj7>52z?7bc<6k>168kk513`8yv5fi3:1?v3:018207=::h21=994=2cb>4e03ty><=4?:3y>156=9j=019hj:00`?xu5:?0;6?u220a957g<5;8=6?<513c8974228i<7p}=2383>6}::;81=n94=36;>44e348?47?=c:p64b=838p1?<9:00b?846l3;h;6s|20g94?4|5;;o6<f;3`3>{t>9>1<7?m{<014?75k279><4>2b9>675=9;i01?<;:00a?807<3;h;63<78826f=:;>k1=?l4=325>44d348;;7?=b:?14a<6:j16>=k513`8974e288h70<=c;31f>;5;;0:>n52221957d<5;9>6<2b9>634=9;h01?87:00`?84113;9o63=6g826f=::>:1=?m4=337>44d348:97?=c:?63d<6;>1695851258yv4583:1>v3=2182g2=::8l1=?l4}r015?6=:r79><4>c69>64`=9;i0q~<=3;296~;5::0:o:52237957d52z?161<6k>16>?;513a8yv3593:1?v3:17826d=:=8?1=?o4=401>4e03ty>=84?:2y>143=9j=01;>>:00a?80793;9o6s|50594?4|5<896<8;3`3>{t=831<744d34?:57?l7:p14g=838p18?n:0a4?83613;9n6s|50`94?4|5<;i69;303>{t=8n1<74e034?:57?;2:p14c=838p18?j:0a4?83613;?96s|50d94?4|5<;m62wx9?>50;0x904728i<70;>9;373>{t>9h1<744f34<;o7?l7:p253=838p1;>l:00b?807=3;h;6s|61494?4|5?:>6<{t>=n1<7?>{<432b9>21?=9;h01;:6:00`?803l3;h;63>fc8272=:9oi1=9;4=0dg>42234;mi7?;5:?2bc<6<<16:;5o6<:8;<07a?73?2wx:=650;0x936?28i<708?7;31f>{t>931<74e034<;;7?=c:p25g=838p1;>n:0a4?807?3;8;6s|4``94?4|5=k>6<{t44e34>j;7?l7:p0d>=838p19o9:00`?82f03;h;6s|4`;94?4|5=k26;3>;0:o:5219d957e<58kj6<7}:4e03ty?mh4?:3y>0g?=9;k019oj:0a4?xu3io0;6?u24`g957d<5=km67}:44e3tym87>53z?7f7<6:k168o<513a89c2=9j=0q~:m2;296~;3j;0:o:524c2957ei?7>52z?7f6<6k>168o>51258yv2e<3:1>v3;b582g2=:c69>0g6=9=?0q~:m6;296~;3j?0:o:524c29510i;7>52z?7f2<6k>168o>51558yv23m3:1>v3;46826d=:<=l1=n94}r672`9>01>=9j=0q~:;9;296~;3<10:>o5245;95f1?m7>52z?70=<6:j1689o51b58yv26k3:14v3;4c826f=:<=i1=9<4=56g>42534>:o7?l7:?6a?73>27?=84>2c9>043=9;i018j6<7}:<=n1=n94=56b>4503ty??<4?:3y>07g=9;k019==:0a4?xu3:k0;6?u2420957g<5=8i67}:<;h1=?m4=50g>4e03ty?>h4?:3y>07c=9j=019>51b58914c289<7p}7}:;j31=?o4=2af>4e03ty8ol4?:3y>7fc=9;k01>mn:0a4?xu4kk0;6?u23bc957d<5:ii66}:;mi1=?o4=2g6>44d349n87?l7:p7ab=838p1>k::00a?85cl3;h;6s|3d794?4|5:o>6{t;ml1<744d349oj7?l7:p053=832p1>k?:014?85b:3;?96394=2g`>44d349mi7?<7:?745<6<;168=;51b58yv5b83:1>v3c69>7a`=9;i0q~=j2;296~;4m;0:o:523ed95618;7>52z?776<6:h168>651b58yv24<3:1>v3;39826d=:<:>1=n94}r601?6=:r7??94>2c9>063=9j=0q~:<6;296~;3;=0:>n5242495f153z?0b5<6:h16?k7513a896`?28i<7p}7}:;o31=?l4=2d2>4e03ty8j44?:3y>7c?=9j=01>h7:00b?xu4n;0;6?u23g3957d<5:l967}:;o>1=n94=2d0>44e3ty8j84?:3y>7c3=9j=01>h<:00`?xu4n?0;6?u23g495f1<5:l86<=8;|q77a<72;q68>7513c8915b28i<7p};3`83>7}:<:o1=?o4=51b>4e03ty??o4?:3y>06g=9;h019=m:0a4?xu3;j0;6?u242c957e<5=9h6h513c8912128i<7p};4183>7}:<=<1=?o4=563>4e03ty?8<4?:3y>016=9;h019:>:0a4?xu3<;0;6?u2452957e<5=>967}:<=>1=n94=561>44d3ty=:i4?:3y>234=9;k01;8j:0a4?xu1>:0;6?u267g957g<5?<867}:>?91=?m4=746>4e03ty>mh4?:2y>230=9;h01;89:00`?83fm3;h;6s|67494?4|5?<=6;1:?0:o:5rs744>5<5s4<=;7?l7:?520<6:j1v;=i:1822~;1>10:?:5262d95f1<5?;96<=8;<46e?74?27:jo4>439>273=9:=01;<6:064?844n3;?;63=418202=::=;1=994=361>420348?n7?;8:?10f<6<116>9j515:8972b28>370;nf;373>;2j90:8:525c39511<52b9>55d=9;i0q~898;296~;1>10:o:52677956152z?52<<6k>16:;;51508yv01i3:1>v396`82g2=:>??1=9;4}r45f?6=:r7=:o4>c69>233=9=<0q~89c;296~;1>j0:o:52677951152z?0a3<6:h16?hh51b58yv5b?3:1>v32c9>7`>=9j=0q~=j9;296~;4m>0:>n523d;95f152z?0ad<6k>16?h7513`8yv5bj3:1>v3c69>7`?=9:=0q~=jd;296~;4mm0:o:523d;9514;>7>53z?0bd<6:h168=:513a8916428i<7p}7}:<9>1=?l4=2da>4e03ty?<94?:3y>052=9j=019><:00b?xu4nj0;6?u23g`957d<5:lh67}:;oo1=n94=2dg>44e3ty8jk4?:3y>7c`=9j=01>hk:00`?xu3890;6?u241295f1<5:lo6<=8;|q744<72;q68=?51b5896`c28>97p}=a883>6}::h?1=?o4=3ca>44d348jm7?l7:p6d0=838p1?om:00a?84f>3;h;6s|2``94?4|5;ki6l950;0x97g1288i70{t:h21<744d348j47?l7:p6g4=839p1?ol:00b?84e<3;9o63=b282g2=z{;ko6=4={<0a0?75j279mi4>c69~w7d32909w0;5j:0:>l5rs3cf>5<5s48jh7?=b:?1e`<6k>1v?oi:18184fl3;9o63=ag82g2=z{;h;6=4={<0a4?7d?279mk4>2c9~w7d62909w0;5io0:>n5rs760>5<5s4<8j7?=a:?501<6k>1v;:?:181803<3;9m6394182g2=z{?>:6=4={<474?75j27=8<4>c69~w3252909w08;0;31g>;1<;0:o:5rs4df>5<2s47?=b:?6b`<6k>16:9o513`89077288i70?>1;31g>{t>=?1<7kt=761>44d34=70?id;372>;6nl0:8;521gd9510<5;>j6<:8;<07f?7312798n4>489>61b=9=301?:j:06:?83683;9o6s|60594?4|5?:o6<{t>9l1<744e34<;j7?l7:p246=838p1;>j:00`?80683;h;6s|22f94?5|5?;:6<c69~w3762909w08>1;3`3>;1990:>o5rs731>5<5s4<:>7?l7:?555<6:j1v;?<:181806;3;h;639118272=z{?;?6=4={<420?7d?27===4>439~w3722909w08>5;3`3>;1990:885rs735>5<5s4<::7?l7:?555<6:181805l3;9m6393382g2=z{?8n6=4={<406?75i27=>h4>c69~w34a2909w08=e;31f>;1:o0:o:5rs713>5<5s4<9i7?=c:?575<6k>1v<;9:181873k3;9m63>5682g2=z{8>o6=4={<363?75i27:8i4>c69~w42b2909w0?;d;31f>;65<5s4;?h7?=c:?20c<6k>1v<;?:18187283;h;63>4g826g=z{8?:6=4={<365?7d?27:8k4>2b9~w4352909w0?:2;3`3>;65<4s4;>?7?=b:?216<6:j169?m51b58yv72;3:1>v3>5282g2=:9=l1=9<4}r360?6=:r7:994>c69>51`=9=?0q~?:5;296~;6=<0:o:5215d951053z?031<6:h16?:k513a8961c28i<7p}<7583>g}:;>>1=n94=254>450349387?=b:?0<0<6:k16?56513`896?6288h70=62;31g>;41k0:>n5238a957e<5:k>6<{t;>21<7=t=25;>4e03488o7?=b:?17f<6:j1v>9::181850m3;9n63<7482g2=z{:=n6=4={<14a?7d?278;i4>2`9~w6112909w0=85;31f>;4??0:o:5rs254>5<5s49<97?=c:?032<6k>1v>96:18185013;h;63<7c826g=z{:=j6=4={<14e?7d?278;o4>2b9~w1472909w0:>c;31e>;3:80:o:5rs53g>5<5s4>9=7?=a:?75a<6k>1v9?j:181826l3;9n63;1d82g2=z{=;m6=4={<62`?75k27?=k4>c69~w145290=w0:>f;31f>;39o0:>n5243095f1<542134?9j7?;5:p07>=838p19<=:00b?82513;h;6s|43194?4|5=826<{t<;?1<744d34>997?l7:p070=838p19<9:0a4?825=3;9n6s|43594?4|5=8<6{t44f34>=?7?l7:p032=838p198<:00a?821<3;h;6s|47794?4|5=<86<e288j70:66;3`3>{t<1i1<744f34>3o7?l7:p0=b=838p196l:00a?82?l3;h;6s|49g94?4|5=2h6<a288i70:7f;31g>;20>0:o:5rs5:e>5<5s4>3j7?l7:?7<`<6:k1v97?:18182>83;h;63;8d826f=z{=3:6=4={<6:5?7d?27?4h4>369~w1?52909w0:62;3`3>;30l0:8?5rs5;0>5<5s4>2?7?l7:?7<`<6<<1v97;:18182><3;h;63;8d8203=z{?:96=4={<7ea?75i27=<>4>c69~w0`a2909w08?3;31e>;2no0:o:5rs723>5<5s4?mj7?=b:?545<6k>1v;>>:18183an3;9o6390082g2=z{?>i6=4={<471?75i27=8n4>c69~w3212909w08;c;31e>;15<5s41v;:7:181803>3;9o6394982g2=z{?>26=4={<47=?7d?27=854>2c9~w32f2909w08;a;3`3>;1<10:>n5rs770>5<5s41v;:j:181802<3;9m6394d82g2=z{?>m6=4={<47a?75j27=8k4>c69~w3372909w08;e;31g>;1=90:o:5rs772>5<5s4<>=7?l7:?515<6:k1v;;=:181802:3;h;63951826f=z{?<;6=4={<461?75i27=:<4>c69~w33a2909w08:e;31e>;1=o0:o:5rs77f>5<4s4<>i7?l7:?23:1>v3960826d=:><<1=n94}r463?6=:r7=9;4>2c9>201=9j=0q~8:8;296~;1=?0:>n5264:95f157>52z?51<<6k>16:86513`8yv02i3:1>v395`82g2=:><21=?m4}r46f?6=:r7=9o4>c69>20>=9:=0q~8:c;296~;1=j0:o:5264:9514h7>52z?51a<6k>16:8651578yv7003:1?v3>6e826d=:9>k1=?m4=05:>4e03ty::h4?:3y>52g=9;h01<8j:0a4?xu6?h0;6?u216c95f1<58=26<7183>7}:9?o1=?m4=053>4e03ty:;<4?:3y>527=9j=01<9?:00a?xu6jk0;6>u2160957d<58=96<{tll0;6>u2161957d<58=86<4e03ty:;>4?:3y>525=9j=01<9?:014?xu6?=0;6?u216695f1<58=;6<:=;|q230<72;q6=:;51b58941728>>7p}>7783>7}:9><1=n94=053>4213ty:;:4?:3y>521=9j=01<9?:064?xu6i90;6>u2187957g<58k96<{t9h81<74e034;j=7?=a:p5<1=838p1<79:00a?87>?3;h;6s|18:94?4|583=6<28i<70?68;31f>{tl?0;6>u218c957d<583j6<4e03ty:5l4?:3y>50;6>u218`957d<583i6<{t90i1<74e034;247?;2:p503;?96s|18g94?4|583n62wx=4h50;0x94?a28i<70?68;373>{t;1k1<744f3493n7?l7:p72`=83kp1>9i:0a4?85?<3;9o63<84826f=:;121=?m4=2;2>4503492>7?<7:?0=g<6;>16?4m5125896g2289<70=n6;303>{t;131<744f349357?l7:p7=6=838p1>6m:00b?85?83;h;6s|39394?4|5:2;6<7288h70=72;3`3>{t;191<74e03493>7?=b:p7=2=838p1>6;:0a4?85?:3;9o6s|39794?4|5:2>6028i<70=79;31f>{t;1i1<79t=2:;>4503493o7?l7:?0=5<6;>16?4l5150896?d28>970=n5;376>;4i?0:8?5rs2;5>5<2s49347?;2:?0=3<6k>16?4o5125896g228>>70=n6;371>{t;h:1<7=t=2:;>422349j<7?l7:?0e1<6;>1v>67:18185?03;h;63<88826f=z{:386=4<{<1;g?75i278584>2b9>7<2=9j=0q~=7d;296~;41<0:>o5239f95f152z?0=0<6k>16?4:513c8yv5?m3:1>v3<8e826g=:;1o1=n94}r1;b?6=:r784i4>2b9>7=`=9j=0q~=60;296~;4190:o:5239d957d52z?0=4<6k>16?5h513a8yv5>:3:1>v3<9382g2=:;1l1=>94}r1:`?6=;r785;4>2`9>7<`=9;i01>7j:0a4?xu41>0;6?u238d957d<5:3<67}:;0=1=?l4=2;;>4e03ty8544?:3y>7<1=9;i01>76:0a4?xu41h0;6?u238c95f1<5:326<288h7p}<9b83>7}:;0i1=n94=2;:>4503ty?05d=9;k019??:0a4?xu38j0;6?u2402957g<5=:h67}:<9i1=?m4=52f>4e03ty:454?:5y>05c=9;h019>j:00`?87?03;h;63>91826f=z{?9<6=4={<407?75i27=?54>c69~w3532909w08<8;31e>;1;=0:o:5rs716>5<5s4<887?=b:?570<6k>1v;=9:181804<3;9o6393782g2=z{?9o6=4={<40=?75i27=?h4>c69~w35f2909w08;1;h0:o:5rs71a>5<5s4<8m7?=b:?57g<6k>1v;=l:181804i3;9o6393b82g2=z{?81<75<4s4?2642134>ij7?=b:p1d<72;q6:>4>2`9>1d<6k>1v8l50;0x90g=9;h018l51b58yv3d2909w0;n:00`?83d28i<7p}:d;296~;2l3;h;63:c;31f>{t=l0;6?u25d82g2=:=j0:>n5rs4d94?4|54e034?h6<:=;|q55?6=:r7==7?l7:?6g?73=2wxn54?:3y>f1<6:h16n44>c69~wg3=838p1o7513c89g3=9j=0q~l9:1818d2288i70l9:0a4?xue?3:1>v3m5;31g>;e?3;h;6s|18194?4|58236<{t91k1<744e34;3m7?l7:p5=d=838p1<66:00`?87?j3;h;6s|19a94?4|582h6<850;1x94>c288i70?7d;31g>;59?0:o:5rs0:g>5<5s4;3h7?l7:?28c8272=z{82m6=4={<3;b?7d?27:4o4>439~w4?72909w0?60;3`3>;60k0:885rs0;2>5<5s4;2=7?l7:?2:3;h;63>8c8202=z{8kn6=4={<3b7?75i27:mk4>c69~w4g4290>w0?n3;3`3>;6i00:?:521`c9561<5=?86<{t9h?1<744e34;j97?l7:p5d0=838p13;h;6s|e783>6}:9h=1=?l4=0c4>44d34o=6o521`:957e<5ln1=n94}r3bc69>5d0=9;i0q~?n9;296~;6i00:o:521`4956152z?2ed<6k>16=l851508yv7fj3:1>v3>ac82g2=:9h<1=9;4}r3bg?6=:r7:mn4>c69>5d0=9=<0q~?nd;296~;6im0:o:521`4951152z?1=<<6:h16>4k51b58yv4>i3:1>v3=9d826d=::0k1=n94}r0:f?6=:r795l4>2c9>6n5228a95f153z?0e5<6:h16?l7513a896g?28i<7p}7}:;h31=?l4=2c2>4e03ty8m44?:3y>7d?=9j=01>o7:00b?xu4i;0;6?u23`3957d<5:k967}:;h>1=n94=2c0>44e3ty8m84?:3y>7d3=9j=01>o<:00`?xu4i?0;6?u23`495f1<5:k86<=8;|q0e`<72;q6?lo513c896ga28i<7p}7}:;hl1=?o4=2ca>4e03ty8mn4?:3y>7dd=9;h01>ol:0a4?xu4im0;6?u23``957e<5:ko6{t;jl1<78t=2ae>4e0349o?7?;2:?0`1<6=70;{t;m21<744f349o47?l7:p7a6=838p1>jm:00a?85c83;h;6s|3e`94?4|5:ni6{t;m81<744d349o>7?l7:p7a5=838p1>j<:0a4?85c:3;9n6s|3e694?4|5:n?6{t;m<1<74e0349o>7?;2:p05?=838p19>::00b?827i3;h;6s|41494?4|5=:j6<{t<921<744d34>;47?l7:p04g=838p19?>:00b?826j3;h;6s|40394?5|5=;:6?h4>439~w1752909w0:>b;31e>;39;0:o:5rs530>5<5s4>:>7?=b:?756<6k>1v9?;:181826:3;9o63;1582g2=z{=;>6=4={<621?7d?27?=94>2c9~w1712909w0:>6;3`3>;39=0:>n5rs534>5<5s4>:;7?l7:?751<6;>1v9?7:18182603;h;63;158207=z{=;26=4={<62=?7d?27?=94>449~w1332909w0::0;31e>;3=<0:o:5rs572>5<5s4>>97?=a:?714<6k>1v9;=:18182293;9n63;5382g2=z{=?86=4={<665?75k27?9>4>c69~w13f2909w0::6;31e>;3=k0:o:5rs574>5<5s4>>n7?=a:?712<6k>1v9;7:181822?3;9n63;5982g2=z{=?26=4={<663?75k27?944>c69~w3>=838p1;:513c893?=9j=0q~8;:18180328i<70:mf;31g>{t><0;6?u268826d=:><0:o:5rs7494?4|5??1=?l4=7495f144d34<<6f683>7}::9;1=?o4=0d4>4e03ty:j54?:3y>5c1=9;h01{t9ok1<74e034;m57?=b:p5cd=838p1{t9oo1<74e034;m57?;5:p5c`=838p1l>50;0x97g3288j70{t:h;1<744e348j=7?l7:p6d4=838p1?o?:00`?84f:3;h;6s|3b594?4|5:ho6<{t;ko1<744f349ii7?l7:p7g`=838p1>lj:00a?85en3;h;6s|3b294?4|5:hn6<{t;j91<74e0349h:7?=c:p7f2=838p1>m;:0a4?85d>3;8;6s|3b794?4|5:i>650;0x913d288j70:91;3`3>{t<4e034>h47?=c:p00b=838p198>:00b?822l3;h;6s|44g94?4|5=?o6<{t44f34>=h7?l7:p03>=838p1987:0a4?84203;9o6s|47;94?4|5=288i70:9a;3`3>{t44d34>=n7?l7:p1d0=838p187k:00b?83f?3;h;6s|58f94?5|5<3o62b9~w0g22909w0;n1;31e>;2i<0:o:5rs4;f>5<5s4?j;7?=a:?6=`<6k>1v87i:18183>m3;9n63:9g82g2=z{m=4>c69~w0g52909w0;n2;3`3>;2i<0:>o5rs4c0>5<5s4?j?7?l7:?6e0<6:j1v8o;:18183f<3;h;63:a48272=z{?;o6=4={<42=?75i27==h4>c69~w37>2908w08>9;3`3>;2?j0:>o5256a957e52z?55`<6:h16:v391`826g=:>8h1=n94}r42g?6=:r7==l4>2b9>24e=9j=0q~8=b;296~;19o0:>l5263a95f153z?55c<6k>169:j513`8901c288h7p}92`83>7}:>;<1=?o4=70b>4e03ty=>=4?:3y>27e=9;k01;513a8934528i<7p}92283>7}:>;91=n94=701>44e3ty:=>4?:2y>272=9;h01;<;:00`?876;3;h;6s|63694?4|5?8?6{t>;=1<74e034<9m7?=b:p27>=838p1;<7:0a4?805i3;9o6s|63;94?4|5?826=650;0x9765288j70{t:991<744f348;?7?l7:p652=838p1?><:00a?847<3;h;6s|21794?4|5;:86<=850;0x976128i<70{t:9=1<74e0348;97?=c:p65`=838p1?>6:00b?847n3;h;6s|21c94?4|5;:m6<=l50;0x976f288i70{t:9i1<744d348;o7?l7:p65b=838p1?>k:0a4?847k3;9n6s|21g94?4|5;:n6?j50;0x9740288j70<=d;3`3>{t:;21<744f348947?l7:p67?=838p1?<7:00a?84513;h;6s|23c94?4|5;836<?l50;0x974e28i<70<=a;31f>{t:;i1<74e03489m7?=c:p66>=838p1?>:50;1x975328i<70<:4;31f>;5==0:>n5rs30e>5<5s48847?=a:?16c<6k>1v?=?:181845n3;9n63=3182g2=z{;9:6=4={<01b?75k279?<4>c69~w7552909w0<<2;3`3>;5;80:>o5rs310>5<5s488?7?l7:?174<6:j1v?=::181844=3;h;63=36826g=z{;9=6=4={<002?7d?279?:4>2b9~w7232909w0<<9;31e>;5<=0:o:5rs360>5<5s488h7?=a:?106<6k>1v?=n:181843<3;9m63=3`82g2=z{;9i6=4={<00e?75j279?o4>c69~w75d2909w0<;5;j0:o:5rs31f>5<5s488i7?l7:?106<6:k1v?=i:181844n3;h;63=42826f=z{;>;6=4={<074?7d?2798>4>369~w7262909w0<;1;3`3>;5<:0:8?5rs361>5<5s48?>7?l7:?106<6<<1v?;?:181843=3;9m63=5182g2=z{;>m6=4={<07=?75i2798k4>c69~w7212909w0<:0;31e>;55<5s48?:7?=b:?102<6k>1v?:7:181843>3;9o63=4982g2=z{;>j6=4={<07e?7d?2798k4>2c9~w72e2909w0<;b;3`3>;5n5rs36`>5<5s48?o7?l7:?10c<6;>1v?:k:181843l3;h;63=4g8207=z{;>n6=4={<07a?7d?2798k4>449~w73d2909w0<:1;31e>;5=j0:o:5rs37a>5<5s48>97?=a:?11g<6k>1v?;=:181842k3;9m63=5382g2=z{;?86=4={<066?75j2799>4>c69~w7332909w0<:2;31g>;5==0:o:5rs375>5<5s48>:7?l7:?11g<6:k1v?;8:181842?3;h;63=5c826f=z{;?36=4={<06369~w73>2909w0<:9;3`3>;5=k0:8?5rs37b>5<5s48>m7?l7:?11g<6<<1v?8<:181842l3;9m63=6282g2=z{;?n6=4={<057?75i2799h4>c69~w73a2909w0<:e;31f>;5=o0:o:5rs343>5<5s48>i7?=c:?125<6k>1v?8>:18184193;h;63=61826g=z{;<96=4={<056?7d?279:=4>2b9~w70f2909w0<94;31e>;5>h0:o:5rs346>5<5s48=m7?=a:?120<6k>1v?89:181841=3;9n63=6782g2=z{;<<6=4={<051?75k279::4>c69~w70?2909w0<98;3`3>;5>>0:>o5rs34:>5<5s48=57?l7:?122<6:j1v?9>:181841j3;9m63=7082g2=z{;c69~w70c2909w0<9c;31f>;5>m0:o:5rs34f>5<5s48=o7?=c:?12`<6k>1v?8i:181841n3;h;63=6d826g=z{;=;6=4={<044?7d?279:h4>2b9~w0e52909w0;m8;31e>;2k;0:o:5rs4a2>5<5s4?io7?=a:?6g4<6k>1v8l6:18183d:3;9m63:b882g2=z{nl4>c69~w0de2909w0;m9;31g>;2jk0:o:5rs4`g>5<5s4?ih7?l7:?6g4<6:k1v8lj:18183em3;h;63:c0826f=z{o<4>369~w0e72909w0;l0;3`3>;2k80:8?5rs5a3>5<5s4>io7?=a:?7g5<6k>1v9lk:18182d83;9m63;be82g2=z{=hn6=4={<6a`?75j27?nh4>c69~w1da2909w0:md;31g>;3jo0:o:5rs432>5<5s4?;:7?=a:?657<6k>1v8>8:181836:3;9m63:0682g2=z{<:36=4={<733?75j27><54>c69~w06>2909w0;?7;31g>;2800:o:5rs42b>5<5s4?;m7?l7:?64<<6:k1v8>m:181837j3;h;63:08826f=z{<:h6=4={<73g?7d?27><44>369~w4602908w0;?d;31f>;28m0:>n5211595f152z?64a<6k>169=751508yv31k3:1?v3:0d826g=:=9o1=?m4=44`>4e03ty>15c=9j=018>6:066?xu28o0;6?u251d95f1<5<:26<:9;|q655<72;q69<>51b58906>28><7p}:3083>7}:=;21=?o4=411>4e03ty>?=4?:3y>17e=9;k018=?:0a4?xu2:00;6?u2520957g<5<8267}:=;31=?m4=40a>4e03ty>>i4?:3y>17b=9j=018=?:00a?xu2:l0;6?u253g95f1<5<9;6<7}:=?21=?o4=457>4e03ty>;?4?:3y>13e=9;k0189=:0a4?xu2>00;6?u2566957g<5<<267}:=?31=?m4=44a>4e03ty:13d=9;h0188m:00`?877m3;h;6s|57f94?4|5<{t=?l1<74e034?<>7?<7:p126=838p189?:0a4?830:3;?>6s|56394?4|5<=:6{t9j:1<744f34;h<7?l7:p5g1=838p1{t9k31<744e34;i57?l7:p5gg=838p1{t9ko1<74e034;h<7?<7:p5g`=838p16s|20`94?4|5;;;6<a;3`3>{t:8;1<744f348:=7?l7:p644=838p1??>:00a?846:3;h;6s|20194?4|5;;:6<<:50;0x977328i<70<>3;31f>{t:8?1<74e0348:?7?=c:p641=838p1??8:0a4?846i3;9n6s|20:94?4|5;;36<750;0x977>28i<70<>a;303>{t44f34{t44e34>h97?l7:p0f0=838p19m;:00`?82d>3;h;6s|4b594?4|5=i<6{t44f34h86<{t4e034n<6<44d3ty?i=4?:3y>0`>=9;k019k?:0a4?xu3m80;6?u24d2957d<5=o:6513a891c528i<7p};e283>7}:44e3ty?i94?:3y>0`2=9j=019k=:00`?xu2<90;6?u2527957g<5<>;6m513c8905a28i<7p}:3b83>6}:=:i1=n94=465>44e34??:7?=c:p160=838p18:?:00b?834>3;h;6s|52594?4|5<9=6<650;0x9051288h70;<8;3`3>{t=:31<74e034?847?=b:p16g=838p18=n:0a4?83403;9o6s|52`94?4|5<9i6j50;0x905c28i<70;{t=:o1<74e034?8j7?=c:p11c=838p18:<:00b?833m3;h;6s|55f94?4|5<><6<{t==?1<744e34??97?l7:p110=838p18:;:00`?833>3;h;6s|55:94?4|5<>3628i<70;;d;31g>{t==k1<74e034??h7?<7:p11d=838p18:m:0a4?833l3;?>6s|55a94?4|5<>h650;0x9010288j70;70;3`3>{t=>l1<744f34?=838p186?:00b?83003;h;6s|56;94?4|5<=36<{t=>i1<74e034?4288j70;7c;3`3>{t=1h1<744f34?3n7?l7:p1=2=838p186l:00b?83?<3;h;6s|59794?4|5<2?6<3288h70;76;3`3>{t=121<74e034?3n7?=b:p1=?=838p1866:0a4?83?j3;9o6s|59c94?4|5<2j6{t=k>1<744f34?i87?l7:p1dd=838p18l::00b?83fj3;h;6s|5`a94?4|5{t9991<7=t=4cg>44e34?jh7?=c:?246<6k>1v8oi:18183fn3;h;63:b5826g=z{n94>2b9~w0d62909w0;m1;3`3>;2j=0:?:5rs4`1>5<5s4?i>7?l7:?6f1<6<;1v8l<:18183e;3;h;63:b58200=z{j<1<75<5s4i=6<4e03tyh87>52z?`7?75j27h87?l7:pg0<72;q6o>4>2b9>g0<6k>1vij50;0x9a0=9;k01ij51b58yvb02909w0j8:0a4?8bc288i7p}k8;296~;c03;h;63kd;31g>{tl00;6?u2d882g2=:lm0:?:5rsec94?4|5mk1=n94=ef95144e034no6<::;|qgg?6=:r7oo7?l7:?g`?73>2wxi84?:3y>``<6:h16i84>c69~wa`=838p1ih51b589`3=9;h0q~k?:1818c728i<70k::00`?xub93:1>v3j1;3`3>;b=3;8;6s|e383>7}:m;0:o:52e48207=z{l91<75<5s4o?64213tyno7>52z?f2?75i27no7?l7:pa2<72;q6i:4>c69>af<6:k1vh650;0x9`>=9j=01hm513a8yvc>2909w0k6:0a4?8cd289<7p}ja;296~;bi3;h;63jc;376>{tmk0;6?u2ec82g2=:mj0:885rsg194?4|5ln1=?o4=g195f14e034l86<b5<6k>16j>4>369~wc7=838p1k?51b589c5=9=80q~h=:1818`528i<70h<:066?xuai3:1>v3i4;31e>;ai3;h;6s|f483>7}:n<0:o:52f`826g=z{o<1<75<5s4l<64503tym47>52z?ec69>bd<6<<1v<>=:1818`e288j70??2;3`3>{tnj0;6?u2fb82g2=:9981=?l4}rdg>5<5s4lo6b`<6k>16==<51258yv`a2909w0hi:0a4?877:3;?>6s|11294?4|58:;6{t99<1<744f34;;:7?l7:p552=838p1<>;:0a4?877>3;9n6s|11794?4|58:>6{t9921<74e034;;h7?=b:p55?=838p1<>6:0a4?877l3;9o6s|11c94?4|58:j6{t99i1<74e034;;h7?;5:p544=838p1<>j:00b?876:3;h;6s|11d94?4|58:m650;0x947728i<70?>2;31g>{t98;1<74e034;:>7?<7:p54g=838p1a;31g>{t98<1<74e034;:m7?<7:p541=838p16s|10:94?4|58;3628i<70?>a;372>{t9;;1<744f34;9=7?l7:p54e=838p1{t98l1<74e034;9=7?;2:p576=838p1<l>=6=4>{|l5a11=83;pqc8j4983>4}zf?o?57>51zm2`2f290:wp`9e5`94?7|ug5<6std=i9h50;3xyk0b=90;6l?=6=4>{|l5a01=83;pqc8j5983>4}zf?o>57>51zm2`3f290:wp`9e4`94?7|ug5<6std=i8h50;3xyk0b>90;6l<=6=4>{|l5a31=83;pqc8j6983>4}zf?o=57>51zm2`0f290:wp`9e7`94?7|ug5<6std=i;h50;3xyk0b?90;691<7?t}o4f31<728qvb;k85;295~{i>l==6=4>{|l5a21=83;pqc8j7983>4}zf?o<57>51zm2`1f290:wp`9e6`94?7|ug5<6std=i:h50;3xyk0b090;6l2=6=4>{|l5a=1=83;pqc8j8983>4}zf?o357>51zm2`>f290:wp`9e9`94?7|ug5<6std=i5h50;3xyk0b190;6l3=6=4>{|l5a<1=83;pqc8j9983>4}zf?o257>51zm2`?f290:wp`9e8`94?7|ugl3:1=vsa6d;f>5<6std=i4h50;3xyk0bi90;6lk=6=4>{|l5ad1=83;pqc8ja983>4}zf?oj57>51zm2`gf290:wp`9e``94?7|ug5<6std=ilh50;3xyk0bj90;6lh=6=4>{|l5ag1=83;pqc8jb983>4}zf?oi57>51zm2`df290:wp`9ec`94?7|ug5<6std=ioh50;3xyk0bk90;6li=6=4>{|l5af1=83;pqc8jc983>4}zf?oh57>51zm2`ef290:wp`9eb`94?7|ug5<6std=inh50;3xyk0bl90;6ln=6=4>{|l5aa1=83;pqc8jd983>4}zf?oo57>51zm2`bf290:wp`9ee`94?7|ug5<6std=iih50;3xyk0bm90;6lo=6=4>{|l5a`1=83;pqc8je983>4}zf?on57>51zm2`cf290:wp`9ed`94?7|ug5<6std=ihh50;3xyk0bn90;6ll=6=4>{|l5ac1=83;pqc8jf983>4}zf?om57>51zm2``f290:wp`9eg`94?7|ug5<6std=ikh50;3xyk0a890;6=:182xh1n991<7?t}o4e41<728qvb;h?5;295~{i>o:=6=4>{|l5b51=83;pqc8i0983>4}zf?l;57>51zm2c6f290:wp`9f1`94?7|ug5<6std=j=h50;3xyk0a990;65;295~{i>o;=6=4>{|l5b41=83;pqc8i1983>4}zf?l:57>51zm2c7f290:wp`9f0`94?7|ug5<6std=jo8=6=4>{|l5b71=83;pqc8i2983>4}zf?l957>51zm2c4f290:wp`9f3`94?7|ugn4?:0y~j3`5l3:1=vsa6g0f>5<6std=j?h50;3xyk0a;90;6o9=6=4>{|l5b61=83;pqc8i3983>4}zf?l857>51zm2c5f290:wp`9f2`94?7|ug5<6std=j>h50;3xyk0a<90;6o>=6=4>{|l5b11=83;pqc8i4983>4}zf?l?57>51zm2c2f290:wp`9f5`94?7|ug5<6std=j9h50;3xyk0a=90;6o?=6=4>{|l5b01=83;pqc8i5983>4}zf?l>57>51zm2c3f290:wp`9f4`94?7|ug5<6std=j8h50;3xyk0a>90;6o<=6=4>{|l5b31=83;pqc8i6983>4}zf?l=57>51zm2c0f290:wp`9f7`94?7|ug5<6std=j;h50;3xyk0a?90;691<7?t}o4e31<728qvb;h85;295~{i>o==6=4>{|l5b21=83;pqc8i7983>4}zf?l<57>51zm2c1f290:wp`9f6`94?7|ug5<6std=j:h50;3xyk0a090;6o2=6=4>{|l5b=1=83;pqc8i8983>4}zf?l357>51zm2c>f290:wp`9f9`94?7|ug5<6std=j5h50;3xyk0a190;6o3=6=4>{|l5b<1=83;pqc8i9983>4}zf?l257>51zm2c?f290:wp`9f8`94?7|ugl3:1=vsa6g;f>5<6std=j4h50;3xyk0ai90;6ok=6=4>{|l5bd1=83;pqc8ia983>4}zf?lj57>51zm2cgf290:wp`9f``94?7|ug5<6std=jlh50;3xyk0aj90;6oh=6=4>{|l5bg1=83;pqc8ib983>4}zf?li57>51zm2cdf290:wp`9fc`94?7|ug5<6std=joh50;3xyk0ak90;6oi=6=4>{|l5bf1=83;pqc8ic983>4}zf?lh57>51zm2cef290:wp`9fb`94?7|ug5<6std=jnh50;3xyk0al90;6on=6=4>{|l5ba1=83;pqc8id983>4}zf?lo57>51zm2cbf290:wp`9fe`94?7|ug5<6std=jih50;3xyk0am90;6oo=6=4>{|l5b`1=83;pqc8ie983>4}zf?ln57>51zm2ccf290:wp`9fd`94?7|ug5<6std=jhh50;3xyk0an90;6ol=6=4>{|l5bc1=83;pqc8if983>4}zf?lm57>51zm2c`f290:wp`9fg`94?7|ug5<6std=jkh50;3xyk17890;6=:182xh08991<7?t}o5341<728qvb:>?5;295~{i?9:=6=4>{|l4451=83;pqc9?0983>4}zf>:;57>51zm356f290:wp`801`94?7|ug=;5<6std<<=h50;3xyk17990;6>5;295~{i?9;=6=4>{|l4441=83;pqc9?1983>4}zf>::57>51zm357f290:wp`800`94?7|ug=;=n4?:0y~j266l3:1=vsa713f>5<6std<<=5;295~{i?98=6=4>{|l4471=83;pqc9?2983>4}zf>:957>51zm354f290:wp`803`94?7|ug=;>n4?:0y~j265l3:1=vsa710f>5<6std<<5;295~{i?99=6=4>{|l4461=83;pqc9?3983>4}zf>:857>51zm355f290:wp`802`94?7|ug=;?n4?:0y~j264l3:1=vsa711f>5<6std<<>h50;3xyk17<90;6;5;295~{i?9>=6=4>{|l4411=83;pqc9?4983>4}zf>:?57>51zm352f290:wp`805`94?7|ug=;8n4?:0y~j263l3:1=vsa716f>5<6std<<9h50;3xyk17=90;6:5;295~{i?9?=6=4>{|l4401=83;pqc9?5983>4}zf>:>57>51zm353f290:wp`804`94?7|ug=;9n4?:0y~j262l3:1=vsa717f>5<6std<<8h50;3xyk17>90;695;295~{i?9<=6=4>{|l4431=83;pqc9?6983>4}zf>:=57>51zm350f290:wp`807`94?7|ug=;:n4?:0y~j261l3:1=vsa714f>5<6std<<;h50;3xyk17?90;691<7?t}o5331<728qvb:>85;295~{i?9==6=4>{|l4421=83;pqc9?7983>4}zf>:<57>51zm351f290:wp`806`94?7|ug=;;n4?:0y~j260l3:1=vsa715f>5<6std<<:h50;3xyk17090;675;295~{i?92=6=4>{|l44=1=83;pqc9?8983>4}zf>:357>51zm35>f290:wp`809`94?7|ug=;4n4?:0y~j26?l3:1=vsa71:f>5<6std<<5h50;3xyk17190;665;295~{i?93=6=4>{|l44<1=83;pqc9?9983>4}zf>:257>51zm35?f290:wp`808`94?7|ug=;5n4?:0y~j26>l3:1=vsa71;f>5<6std<<4h50;3xyk17i90;6n5;295~{i?9k=6=4>{|l44d1=83;pqc9?a983>4}zf>:j57>51zm35gf290:wp`80``94?7|ug=;mn4?:0y~j26fl3:1=vsa71cf>5<6std<m5;295~{i?9h=6=4>{|l44g1=83;pqc9?b983>4}zf>:i57>51zm35df290:wp`80c`94?7|ug=;nn4?:0y~j26el3:1=vsa71`f>5<6std<l5;295~{i?9i=6=4>{|l44f1=83;pqc9?c983>4}zf>:h57>51zm35ef290:wp`80b`94?7|ug=;on4?:0y~j26dl3:1=vsa71af>5<6std<k5;295~{i?9n=6=4>{|l44a1=83;pqc9?d983>4}zf>:o57>51zm35bf290:wp`80e`94?7|ug=;hn4?:0y~j26cl3:1=vsa71ff>5<6std<j5;295~{i?9o=6=4>{|l44`1=83;pqc9?e983>4}zf>:n57>51zm35cf290:wp`80d`94?7|ug=;in4?:0y~j26bl3:1=vsa71gf>5<6std<i5;295~{i?9l=6=4>{|l44c1=83;pqc9?f983>4}zf>:m57>51zm35`f290:wp`80g`94?7|ug=;jn4?:0y~j26al3:1=vsa71df>5<6std<=:182xh09991<7?t}o5241<728qvb:??5;295~{i?8:=6=4>{|l4551=83;pqc9>0983>4}zf>;;57>51zm346f290:wp`811`94?7|ug=:5<6std<==h50;3xyk16990;65;295~{i?8;=6=4>{|l4541=83;pqc9>1983>4}zf>;:57>51zm347f290:wp`810`94?7|ug=:=n4?:0y~j276l3:1=vsa703f>5<6std<={|l4571=83;pqc9>2983>4}zf>;957>51zm344f290:wp`813`94?7|ug=:>n4?:0y~j275l3:1=vsa700f>5<6std<=?h50;3xyk16;90;6{|l4561=83;pqc9>3983>4}zf>;857>51zm345f290:wp`812`94?7|ug=:?n4?:0y~j274l3:1=vsa701f>5<6std<=>h50;3xyk16<90;6=6=4>{|l4511=83;pqc9>4983>4}zf>;?57>51zm342f290:wp`815`94?7|ug=:8n4?:0y~j273l3:1=vsa706f>5<6std<=9h50;3xyk16=90;6{|l4501=83;pqc9>5983>4}zf>;>57>51zm343f290:wp`814`94?7|ug=:9n4?:0y~j272l3:1=vsa707f>5<6std<=8h50;3xyk16>90;6{|l4531=83;pqc9>6983>4}zf>;=57>51zm340f290:wp`817`94?7|ug=::n4?:0y~j271l3:1=vsa704f>5<6std<=;h50;3xyk16?90;691<7?t}o5231<728qvb:?85;295~{i?8==6=4>{|l4521=83;pqc9>7983>4}zf>;<57>51zm341f290:wp`816`94?7|ug=:;n4?:0y~j270l3:1=vsa705f>5<6std<=:h50;3xyk16090;6{|l45=1=83;pqc9>8983>4}zf>;357>51zm34>f290:wp`819`94?7|ug=:4n4?:0y~j27?l3:1=vsa70:f>5<6std<=5h50;3xyk16190;6{|l45<1=83;pqc9>9983>4}zf>;257>51zm34?f290:wp`818`94?7|ug=:5n4?:0y~j27>l3:1=vsa70;f>5<6std<=4h50;3xyk16i90;6{|l45d1=83;pqc9>a983>4}zf>;j57>51zm34gf290:wp`81``94?7|ug=:mn4?:0y~j27fl3:1=vsa70cf>5<6std<=lh50;3xyk16j90;6{|l45g1=83;pqc9>b983>4}zf>;i57>51zm34df290:wp`81c`94?7|ug=:nn4?:0y~j27el3:1=vsa70`f>5<6std<=oh50;3xyk16k90;6{|l45f1=83;pqc9>c983>4}zf>;h57>51zm34ef290:wp`81b`94?7|ug=:on4?:0y~j27dl3:1=vsa70af>5<6std<=nh50;3xyk16l90;6{|l45a1=83;pqc9>d983>4}zf>;o57>51zm34bf290:wp`81e`94?7|ug=:hn4?:0y~j27cl3:1=vsa70ff>5<6std<=ih50;3xyk16m90;6{|l45`1=83;pqc9>e983>4}zf>;n57>51zm34cf290:wp`81d`94?7|ug=:in4?:0y~j27bl3:1=vsa70gf>5<6std<=hh50;3xyk16n90;6{|l45c1=83;pqc9>f983>4}zf>;m57>51zm34`f290:wp`81g`94?7|ug=:jn4?:0y~j27al3:1=vsa70df>5<6std<=kh50;3xyk15890;6=:182xh0:991<7?t}o5141<728qvb:{|l4651=83;pqc9=0983>4}zf>8;57>51zm376f290:wp`821`94?7|ug=95<6std<>=h50;3xyk15990;65;295~{i?;;=6=4>{|l4641=83;pqc9=1983>4}zf>8:57>51zm377f290:wp`820`94?7|ug=9=n4?:0y~j246l3:1=vsa733f>5<6std<>{|l4671=83;pqc9=2983>4}zf>8957>51zm374f290:wp`823`94?7|ug=9>n4?:0y~j245l3:1=vsa730f>5<6std<>?h50;3xyk15;90;6{|l4661=83;pqc9=3983>4}zf>8857>51zm375f290:wp`822`94?7|ug=9?n4?:0y~j244l3:1=vsa731f>5<6std<>>h50;3xyk15<90;6=6=4>{|l4611=83;pqc9=4983>4}zf>8?57>51zm372f290:wp`825`94?7|ug=98n4?:0y~j243l3:1=vsa736f>5<6std<>9h50;3xyk15=90;6{|l4601=83;pqc9=5983>4}zf>8>57>51zm373f290:wp`824`94?7|ug=99n4?:0y~j242l3:1=vsa737f>5<6std<>8h50;3xyk15>90;6{|l4631=83;pqc9=6983>4}zf>8=57>51zm370f290:wp`827`94?7|ug=9:n4?:0y~j241l3:1=vsa734f>5<6std<>;h50;3xyk15?90;691<7?t}o5131<728qvb:<85;295~{i?;==6=4>{|l4621=83;pqc9=7983>4}zf>8<57>51zm371f290:wp`826`94?7|ug=9;n4?:0y~j240l3:1=vsa735f>5<6std<>:h50;3xyk15090;6{|l46=1=83;pqc9=8983>4}zf>8357>51zm37>f290:wp`829`94?7|ug=94n4?:0y~j24?l3:1=vsa73:f>5<6std<>5h50;3xyk15190;6{|l46<1=83;pqc9=9983>4}zf>8257>51zm37?f290:wp`828`94?7|ug=95n4?:0y~j24>l3:1=vsa73;f>5<6std<>4h50;3xyk15i90;6{|l46d1=83;pqc9=a983>4}zf>8j57>51zm37gf290:wp`82``94?7|ug=9mn4?:0y~j24fl3:1=vsa73cf>5<6std<>lh50;3xyk15j90;6{|l46g1=83;pqc9=b983>4}zf>8i57>51zm37df290:wp`82c`94?7|ug=9nn4?:0y~j24el3:1=vsa73`f>5<6std<>oh50;3xyk15k90;6{|l46f1=83;pqc9=c983>4}zf>8h57>51zm37ef290:wp`82b`94?7|ug=9on4?:0y~j24dl3:1=vsa73af>5<6std<>nh50;3xyk15l90;6{|l46a1=83;pqc9=d983>4}zf>8o57>51zm37bf290:wp`82e`94?7|ug=9hn4?:0y~j24cl3:1=vsa73ff>5<6std<>ih50;3xyk15m90;6{|l46`1=83;pqc9=e983>4}zf>8n57>51zm37cf290:wp`82d`94?7|ug=9in4?:0y~j24bl3:1=vsa73gf>5<6std<>hh50;3xyk15n90;6{|l46c1=83;pqc9=f983>4}zf>8m57>51zm37`f290:wp`82g`94?7|ug=9jn4?:0y~j24al3:1=vsa73df>5<6std<>kh50;3xyk14890;6>=:182xh0;991<7?t}o5041<728qvb:=?5;295~{i?::=6=4>{|l4751=83;pqc9<0983>4}zf>9;57>51zm366f290:wp`831`94?7|ug=85<6std?=:182xh0;891<7?t}o5051<728qvb:=>5;295~{i?:;=6=4>{|l4741=83;pqc9<1983>4}zf>9:57>51zm367f290:wp`830`94?7|ug=8=n4?:0y~j256l3:1=vsa723f>5<6std<=:182xh0;;91<7?t}o5061<728qvb:==5;295~{i?:8=6=4>{|l4771=83;pqc9<2983>4}zf>9957>51zm364f290:wp`833`94?7|ug=8>n4?:0y~j255l3:1=vsa720f>5<6std==:182xh0;:91<7?t}o5071<728qvb:=<5;295~{i?:9=6=4>{|l4761=83;pqc9<3983>4}zf>9857>51zm365f290:wp`832`94?7|ug=8?n4?:0y~j254l3:1=vsa721f>5<6stdh50;3xyk14<90;6:=:182xh0;=91<7?t}o5001<728qvb:=;5;295~{i?:>=6=4>{|l4711=83;pqc9<4983>4}zf>9?57>51zm362f290:wp`835`94?7|ug=88n4?:0y~j253l3:1=vsa726f>5<6std;=:182xh0;<91<7?t}|~DEE|?1<<6i<=1b::gxFGJr:vLM^t}AB \ No newline at end of file diff --git a/cpld/XC95144XL/WarpSE.ngc b/cpld/XC95144XL/WarpSE.ngc index 573d5ad..6111de6 100644 --- a/cpld/XC95144XL/WarpSE.ngc +++ b/cpld/XC95144XL/WarpSE.ngc @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6e -$5f:4=792;:>6?=:NWWTPR=ZH6:=7>111922?OIX\^1M1<<:9<24>462@D[YY4N<3395;733;91EC^ZT;C\BVD;::0:2k5<:HLSQQ49B8439=2K7=;0:;@>23;3G;97?0M169B877=97?0M1<>>49B8749?2K7>>47>49B8759<2K7>3:4A=1=0>G;<7>0M1;14:C?2;2Pmtz345668m1J@H>Pmtz34565l2KGI=Qbuy23455c3HFNGKM9Ufyu>?015g?DJB8Vg~t=>?09f8EIC7Wds<=>?959BH@7d3HFN=RQ`r1235a=FDL;TSb|?01320>GIL;>0MCJ<4:CM@1286OAD668EKB?<2KX~kj4ARpe[FjlW@D]=:5NSsd\J5eGTzoUecy>?003:?DYA[K6:<374A^DPF976601JSK]M<00==>GXNZH7=>06;@]EWG:6<730MRH\B=36:<=FWOYI0<819:C\BVD;9>427LQISC>2<;?99B[CUE48427LQISC>14;?>89B[CUE4;85n6OPFR@?66<6601JSK]M<31=<>GXNZH7>364A^DPF95902KTJ^L34?:8EZ@TJ5?546OPFR@?2;>GXNZHT==QFBTDg?DYA[KU:=RGMUGf8EZ@TJV;9SDLZFe9B[CUEW89TEO[Id:C\BVDX9=UBNXHk;@]EWGY6=VCIYKj4A^DPFZ71W@H^Ji5N_GQA[41XAK_Mh6OPFR@\5=YNJ\Lo7LQISC]2=ZOE]Oi0MRH\B^3\MGSAl2KTJ^LP21]JFP@c3HUM_OQ=1^KAQCbGXNZHT>RGMUGa8EZ@TJV9TEO[Ic:C\BVDXGh}}ENi6O`uuMF[hs89:;j6O`uuMF[hs89:;=k5NotvLAZkrp9:;;f:ClqqIBWds<=>?5g9BkprHMVg~t=>?0768FDES=2HJOY?:;CC@P730O<8B9:A22HYNJ\L=7NET>2Igil|>f:Aoadt6WVkeh=>?009G2>BSIJAyi6J[ABIq[kis89::j6J[ABIq[kis89::=:5Kauc?4;12OMmab=5:GP85823LY7=3;4ER>1:0=B[59586K\_1g8AVY7W9Uecy>?01d8AVY7W9Uecy>?013g?@UX8VUjbi>?01g8AVY7WVkeh=>?00g8AVY7WVkeh=>?03g8AVY7WVkeh=>?02g8AVY7WVkeh=>?05g8AVY7WVkeh=>?04g8AVY7WVkeh=>?0768AVY6l2OXSi5JS^0\[dhc89:;i6K\_3]\ekb789::i6K\_3]\ekb789:986K\_2f8AVY4WVkeh=>?0d9FWZ5XWhdo<=>?1d9FWZ5XWhdo<=>?20d8AVYJimnTSljk0123[H~hzVXnxb{<0<2b>CTWDkohRQnde2345YJpfxT^h}zlu>1:4`CTWDkohRQnde2345YXign;<=>>189FWZKflmUTmij?012\[dhc89::=l5JS^Ob`aYXimn;<=>P_`lg45669m1N_R_P1^mq4567m2OXS\Q>_np34566n2OXS\Q>_np345668o1N_R_P1^mq456798o0I^Q^_0]lv5678;o0I^Q^_0]lv5678:o0I^Q^_0]lv5678=o0I^Q^_0]lv5678o0I^Q^_0]lv56781o0I^Q^_0]lv56780o0I^QPaef3456;97o0I^QPaef3456;:7o0I^QPaef3456;;7<0IRGMUG08Aw3CuW9>0IQ>4:D@HN?0JIMJ3:DGT6=A[K;0K>5HNE38M7=N8;1B=?5F239J77=N<;1B9?5F639J31=NIJY>7DOLS068MGSA12CEEY][AUG0?LHQ:2CD96G@ABVg?LIFK]Ufyu>?01g8MJGD\Vg~t=>?00d8MJGD\Vg~t=>?002e?LIFK]Ufyu>?0132b>OHIJ^Taxv?01226c=NGHI_S`{w012356`o1BCLM[_lw{45679>o0EBOLT^ov|5678;o0EBOLT^ov|5678:o0EBOLT^ov|5678=o0EBOLT^ov|5678o0EBOLT^ov|56781o0EBOLT^ov|56780<0EBOLTs48MJDBZ[o0EBLJRS]mkq6788l0EBLJRS]mkq6788;?7DAMM59JKGU13@EIYKK;;HM@W0=NGJY::6G@CR332>OHKZ;::6G@CR312>OHKZ;896G@CR06?LID[:?0EBM\449JKFU2=2CDO^8:;HM@W23OHLFDNh6G@DNLF[jt789:n7DAKOOG\kw6789;n7DAKOOG\kw67898n7DAKOOG\kw67899n7DAKOOG\kw6789>n7DAKOOG\kw6789?n7DAKOOG\kw6789OHD9Ufyu>?01f8MJJ7Wds<=>?1d9JKI6Xe|r;<=>>0d9JKI6Xe|r;<=>>1d9JKI6Xe|r;<=>>2d9JKI6Xe|r;<=>>3d9JKI6Xe|r;<=>>4d9JKI6Xe|r;<=>>5e9JKI6Xe|r;<=>=d:KLH5Yj}q:;<==k;HMO4Zkrp9:;<9j4INN3[hs89:;9i5FOM2\ip~789:=h6G@L1]nq}6789=o7DAC0^ov|56781n0EBB?_lw{45671=1BCA?l;HMO5Zhh|9:;=i5FOM3\jjr789;:96G@LEQ:?LIIX[ojht?>;HMMTWcflpUTc>?0131?LIIX[ojhtQPos234576:2CDB]\jae{\[jt789:9=?5FOORQadb~WVey<=>?3008MJHWZlkouRQ`r12341753@EE\_kndx]\kw6789?=7DA_WBQ:?LIW_[ojhto4INRTV`gcq8=0EB\KRDSe?LIUL[OZSl`k012355=NG[NYI\Qnne23457682CD^I\JQ^cm`5678;;;7DA]DSGR[dhc89:;?k5FOSFQATYj}q:;<=??;HMQ@WCVWds<=>?1038MJTCZL[Taxv?0122447>3038MJTCZL[Taxv?0122046?5028MJTCZL[Taxv?012555=NG[NYI\Qbuy23451682CD^I\JQ^ov|56781;;7DA]DSGR[hs89:;555FOSFQATt13@EYI\|8;HMQATt6?2CD^H_}269JKWCVz:?0EB\X1e9JKWQ6Whdo<=>?e:KLVR7Xign;<=>>e:KLVR7Xign;<=>=e:KLVR7Xign;<=>;e:KLVR7Xign;<=>:e:KLVR7Xign;<=>9e:KLVR7Xign;<=>84:KLW5eOH[9Ujbi>?013g?LIT8Vkeh=>?03f8MJU7Whdo<=>?3b9JKV6Xe|r;<=>k;HMP4Zkrp9:;<i5FOR2\ip~789:8h6G@S1]nq}6789>o7DA\0^ov|5678m1BC^>Pmtz34560l2CD_=Qbuy2345>c3@EXOH[VLXARHId3d8MJUXNZGTJKj=-Hl24>OH[VLXARHId3/Jj4773@EXSK]B_GDg6(Oi:8:0EB]PFRO\BCb5%@d8o6G@S^DPIZ@Al:l0EB]PFRO\BCb4%@d:<6G@S^DPIZ@Al:'Bbh7DA\_GQN[C@c=j1BC^QISL]EBa0a3@EXSK]B_GDg2(Oi991BC^QISL]EBa0*Ag;:<6G@S^DPIZ@Al?'Bb???;HMP[CUJWOLo: Ga3028MJUXNZGTJKj9-Hl755=NGZUM_@QIFe4.Mk3d3@EXSK]B_GDg3c=NGZUM_@QIFe5.Mk773@EXSK]B_GDg3(Oi98:0EB]PFRO\BCb0%@d9==5FOR]EWHYANm=&Ec=>0:KLWZ@TEVLMh:#Fn533?LITWOYFSKHk7,Km11=NG\:h7DAZ0^ov|5678m1BCX>Pmtz34566m2CDY=Qbuy234577m2CDY=Qbuy234576m2CDY=Qbuy234575m2CDY=Qbuy234574m2CDY=Qbuy234573m2CDY=Qbuy234572l2CDY=Qbuy23454c3@E^OH]9Ufyu>?014g?LIR8Vg~t=>?06f8MJS7Wds<=>?8e9JKP6Xe|r;<=>64:KLQ4eOH]8Uecy>?0036?LIRLZ=0EBY]RDSe?LIPZ[OZS`{w012355=NG^XYI\Qbuy23457692CD[_\JQ^ov|56788::=6G@WSPFUZkrp9:;<1:KLSWTBYVg~t=>?00025>OH_[XN]Rczx123445692CD[_\JQ^ov|56788>:=6G@WSPFUZkrp9:;<<;>0:KLSWTBYVg~t=>?0333?LIPZ[OZS`{w0123746?7028MJQUZL[Taxv?012;55=NG^XYI\Qbuy2345??3@E\^_K^r59JWQE23@Y_Oo5FS^DPIZ@Al;o0E^QISL]EBa4*Agl0E^QISL]EBa4*Ag;m7D]PFRO\BCb5%@d9j6G\_GQN[C@c:$Ce?k5FS^DPIZ@Al;'Bb9h4IR]EWHYANm8&Ec;m;HQ\BVKXG|~;o6G\_GQN[Jss88i0E^QISL]Lqq65l2CXSnc_ds3456b3BON\RFFESCQGM_03EELENOCa:NSIZ^HZ;U:56B[ilgq858f3E^bah|3111:<=K\`gn~1=19:NWmhcu4=427AZfmdp?1;?89OPlkbz5=556B[ilgq8=8>3E^bah|39?:8HQojm{^H=>5CThofvQEXkdzTi|>?0137?IRnelx_ORmbp^gr456798>0@YgbesV@[fkwWl{;<=>=159OPlkbz]ITo`~Pep234556<2F_e`k}TB]`iuYby9:;<9?;;MVji`tSKVif|Rk~0123142?2038HQojm{U;S`{w0123747?6038HQojm{U;S`{w01233==K\`gn~R?6;MVji`tX99;97AZfmdp\55YXign;<=>>3:NWmhcuW8:TSl`k01235450^]bja67898:=6B[ilgq[46XWfx;<=>>2:NWmhcuW8:TSb|?0122=>JSadoyS2:NWmhcuW8;TSl`k012356=K\`gn~R?>_^cm`56788;87AZfmdp\54YXign;<=>=129OPlkbzV;To`~Pep2345733E^bah|P1^antZcv89:;=<;4LUknawY6Wjg{Sh?0122443_bos[`w789::=<;4LUknawY6Wjg{Sh?0122643_bos[`w789::?<;4LUknawY6Wjg{Sh?0122043_bos[`w789::9<:4LUknawY6Wjg{Sh?012151=K\`gn~R?Pclr\at67899:86B[ilgq[4YdeyUn}=>?0537?IRnelxT=Rmbp^gr4567=8>0@Ygbes]2[fkwWl{;<=>9159OPlkbzV;To`~Pep234516<2F_e`k}_0]`iuYby9:;<5?;;MVji`tX9Vif|Rk~0123=46_lw{4567981GXdcjr^3\ip~789::=<5CThofvZ7Xe|r;<=>=109OPlkbzV;Taxv?012054=K\`gn~R?Pmtz34563981GXdcjr^3\ip~789:>=<5CThofvZ7Xe|r;<=>9109OPlkbzV;Taxv?012454=K\`gn~R?Pmtz3456?991GXdcjr^3\jjr789;:=6B[ilgq[4Yig}:;<1:NWmhcuW8Uecy>?00025>JSadoyS:=6B[ilgq[4Yig}:;<<;>1:NWmhcuW8Uecy>?004;?IRnelxT>?0008HQojm{U9SRoad12344753E^bah|P2^]bja6789837AZfmdp\747?010;?IRnelxT8?0008HQojm{U?SRoad12344753E^bah|P4^]bja6789837AZfmdp\147?010;?IRnelxT:?0008HQojm{U=SRoad12344753E^bah|P6^]bja6789837AZfmdp\347?010;?IRnelxT4?0008HQojm{U3SRoad12344753E^bah|P8^]bja6789837AZfmdp\=47?0106?Iifl8o0@bok1^]bja6789;h7@okd^]b`a6789UFtb|PRdqvhq:668n0Aljk_^cg`5679VGscQ]erwop97768n0Aljk_^cg`5679VGscQ]erwop97668i0Aljk_^cg`5679VGscQ]erwop9499j1FmijP_`fg4566WDrd~R\jstnw8686k2GjhiQPaef3457XEqeyS_k|umv?0;7d3DkohRQnde2344YJpfxT^h}zlu>6:4eKflmUTmij?013\I}iuW[oxyaz38?3`?HgclVUjhi>?00]N|jtXZly~`y26>048IdbcWVkoh=>?1^]bja6789;<7@okd^]b`a6788UTmcj?012253=JimnTSljk0122[Zgil9:;=<94M`fg[Zgcl9:;=RQnne234476>2GjhiQPaef3457XWhdo<=>=169NeabXWhno<=>>_^cm`567:8;=7@okd^]b`a6788UTmcj?01123>KflmUTmij?013\[dhc89:8=<84M`fg[Zgcl9:;=RQnne2341703DkohRQnde2344YXign;<=:>179NeabXWhno<=>>_^cm`567=8=0Aljk_^cg`5679VUjbi>?04322>KflmUTmij?013\[dhc89:==:5Baef\[dbc89::SRoad12324713DkohRQnde2344YXign;<=9>7:Ob`aYXimn;<=?P_`lg456098<0Aljk_^cg`5679VUjbi>?0934?HgclVUjhi>?00]\ekb7892:=;5Baef\[dbc89::SRoad123=41IE]O?0CO[IE29LV75Wh[[ojhtQ`r12344763XeX^hoky^mq456799;:7\a\Rdcg}Ziu89:;=;PmPV`gcqVey<=>?1332?TiTZlkouRa}012356773XeX^hoky^mq4567:8:0]b]]e`fz[jt789:8==5^oRPfeaXg{:;<=:>0:SlWWcflpUd~=>?0433?TiTZlkouRa}01232463:3=UI5;;255]A=32>5813[K7=<0:;SC?5;3TF4:4::6\N<2<12>TF4:48:6\N<2<71>TF4=4>7_O35?78VD:16<1YM1915:PB8=823[K753=4R@O6?WGJKZ=0^LCLS1Z;?WGJKZ:S=55]ALAP4]4?3[KFO^>W379QEHET911YM@\jae{24>TFE[ojhtQbuy2345763[KF^hoky^ov|56788;:7_OBRdcg}Zkrp9:;;SCNV`gcqVg~t=>?0232?WGJZlkouRczx12341763[KF^hoky^ov|5678<;:7_OBRdcg}Zkrp9:;<;?>;SCNV`gcqVg~t=>?0678VDUBDm1YM^KC_`lg4567m2XJ_HBPaof34566l2XJ_HBPmtz3456b3[KXIAQbuy23457b3[KXIAQbuy23454b3[KXIAQbuy23455b3[KXIAQbuy2345223[KXICj4R@QFJZkrp9:;h5]ARGM[hs89:;?h5]ARGM[hs89:;8h5]ARGM[hs89:;985]ARpe<>TF[{lTB=74R@QqbZH79<1YM^|}d:PBWwtXe|r;<=>j;SCPvwYj}q:;<=?j;SCPvwYj}q:;<=TFW8:TCO[Id:PB[46Xe|r;<=>j;SC\55Yj}q:;<=?j;SC\55Yj}q:;<=TFW8Ufyu>?013g?WGX9Vg~t=>?03f8VDY6Wds<=>?3e9QEZ7Xe|r;<=>;9:PB[7YHJ\Lh7_OP2^ov|5678m1YMRRczx12346bVg~t=>?00f8VDY1Wds<=>?2e9QEZ0Xe|r;<=>TFW1UDNXHl;SC\TFW0Ufyu>?01f8VDY>Wds<=>?1e9QEZ?Xe|r;<=>=d:PB[n;SQ3pjTbn8;j7_]?tnPfb44f3[Y;xb\jf01:?WU7|fXnj?74RR2wkWca;01Y_=z`Rdd7=>TT8}eYik;6;SQ3pjTbn?30^^>{oSge3<=U[9~d^hh79:PP4qiUmo3i7_]PFRO\BCb6j2XXSK]B_GDg6g=U[VLXARHId2`8VVYA[DUMJi:j;SQ\BVKXNOn?!D`i;SQ\BVKXNOn?!D`>b:PP[CUJWOLo9h5]S^DPIZ@Al<'Bbk5]S^DPIZ@Al<'Bb!D`'Bbk5]S^DPIZ@Al>'Bb0g9QWZ@TEVLMh5#Fn3d8VVYA[DUMJi6"Io1e?WUXNZGTJKj7-Hl7b>TTWOYFSKHk8,Km1c=U[VLXARHId9/Jj3`2Xnmiw=6:Pfea4>2Xnmiw;6:Pfea2>2Xnmiw96:Pfea0?2XnjIaae028V``CggoTSl`k012354=UmoNdbhQPaof34566n2XnjIaae^]lv56788:0^hhKoog\[jt789::==5]egFlj`YXg{:;<=<>0:PfbAiimVUd~=>?0233?WcaLfdnSRa}01230462Xnj_k~8:PfbWcvAgo0^hh]ep]bja6789l0^hh]ep]bja6789;m7_kiRds\ekb789:9j6\jfSgr[dhc89:;?k5]egPfuZgil9:;<9h4RddQatYffm:;<=;j;SgeV`wXff~;<=>i;SgeV`wXff~;<=>>6:PfbPt`?2XnjX|h169QacSuo;20^hhZrfKm`>Tbn\xlSb|?012f?Wca]{mTc>?013f?Wca]{mTc>?010f?Wca]{mTc>?0115?Wct}e~=7^AZRBG6?VQ;97?0_Z2=>69PS95=97?0_Z2<>59Pakrd3ZoexRQ`r1234a=Tmg~TSb|?0122`>Ubf}UTc>?010g?Vci|VUd~=>?02f8W`hsWVey<=>?4e9PakrXWfx;<=>:d:QfjqYXg{:;<=8k;RgmpZYhz9:;<:j4Sdlw[Ziu89:;4l5\nePBIFUPZk1Xbi\NMBQTV4e<[gnYM@M\WS33g>Uil[KFO^Y]10a8WkbUIDIX[_?=c:Qm`WGJKZ]Y=>m4SofQEHET_[;?o6]adSCNGVQU9n6]adSCNGVQU>k1Xbi\NMBQTV2d<[gnYM@M\WS:a?VhcZHGH_Z\68:Qm`WIJKZ30_cj]OLAP5d=TfmXDAN]>0`9PjaTHEJY:=l5\nePLIFU6:h1Xbi\@MBQ27d=TfmXDAN]>4`9PjaTHEJY:945\nePLIFU512Yeh_ABCR1:?VhcZFGH_974SofQKHET=01Xbi\@MBQ5=>Uil[EFO^96;RlgVJKD[130_cj]OLAP=1=SZ\Oi7Y]PFRO\BCb6m2^XSK]B_GDg5(Oin2^XSK]B_GDg5(Oi9o1__RH\M^DE`4+Nf;h0X^QISL]EBa4b3]YTJ^CPFGf1)Lha3]YTJ^CPFGf1)Lh6991__RH\M^DE`7+Nf8:m7Y]PFRO\BCb5%@d9j6Z\_GQN[C@c:$Ce?k5[S^DPIZ@Al;'Bb9h4TR]EWHYANm8&Ec;i;UQ\BVKXNOn9!D`9f:VP[CUJWOLo> Ga7g9WWZ@TEVLMh?#Fn9d8PVYA[DUMJi<"Io;a?QUXNZGTCxz?c:VP[CUJWF<<\`gn~1<18:Vji`t;;7=0XdcjrUA26>Rnelx_ORmbp^gr45679:1_e`k}TB]`iuYby9:;<;129Wmhcu\JUha}Qjq123401<\`gn~R>>0:Vji`tX8VUjbi>?0132?Qojm{U;SRoad12344763]cfiQ?_^cm`5678;;:7Ygbes]3[Zgil9:;<>94ThofvZ7682^bah|P1^]bja6789;:7Ygbes]2[Zgil9:;<;UknawY6WVkeh=>?0358PlkbzV8:<6Zfmdp\6ZYffm:;<=?>;UknawY5WVkeh=>?0032?Qojm{U9SRoad123471<\`gn~R=>0:Vji`tX;VUjbi>?0132?Qojm{U8SRoad12344763]cfiQ<_^cm`5678;897Ygbes]NeabXWhno<=>?_LzlvZTb{|f0<0=2:Vji`tXEhnoSRokd1234ZKg{UYi~{ct=0=67=SadoyS@okd^]b`a6789UFtb|PRdqvhq:468i0Xdcjr^Ob`aYXimn;<=>P_`lg45679m1_e`k}_Lcg`ZYflm:;<=QPaof345669j1_e`k}_Lcg`ZYflm:;<=QPaof34576l2^bah|PM`fg[Zgcl9:;1:Vji`tXWhno<=>?<2?01g8PlkbzVkeh=>?00a8QVCUW_CXEOBJ3:T@G<=QAL]TXT^J4:TSEwe<^YKySca{0122`>PWI{Uecy>?003a?SocZHGH_Z\>c:Tj`WGJKZ]Y==l4VhfQEHET_[8i7[gkR@O@WRT4j2\bh_OBCRUQ0g=QamXJAN]XR4`8RlbUIDIX[_8m;WkgVDKD[^XQT4;4>7Z]33?68SVY7l2]XS=QPaof3456b3^YT?0d9TWZ4XWhdo<=>?159TWZ5c3^YT?RQnne2345c<_ZU8SRoad123447a3^YTAljk_^cg`5678VGscQ]erwop9799o1\_RCnde]\eab789:TAua}_Sgpqir;:7;m7Z]PM`fg[Zgcl9:;P_`lg45679h1\_RCnde]\eab789:TSl`k012354?<_ZUFmijP_`fg4567WVkeh=>?10c8SVYJimnTSljk0123[Zgil9:;=3k4WR]\eab789:7?384W`psW@7c3QCGECV"XE@#4+7'[]_I,= > @Q@ML2^T\VMEH:5WSU]UGF0^h494:?6V|tc9\eab789:7=3m4_`fg456648:5o6Qnde2344:697h0Sljk0122878e3Vkoh=>?1=1=f>Yflm:;<<2;>c9\eab789;793l4_`fg45664?4i7Rokd1235919j2Ujhi>?00>;:g=Ximn;<=?39?18gkr53jy87k}m4:klfh2;oCGkprKM9Ud~=>?0332?kGCg|~GI=Q`r12346?5aAR58jDUXNZHh7cO\_GQA[LDRN>1eM^QFOCf8jDUXAFHTCO[IE`9mEVYNGKe~x;5aARmvp<=iIZe~xR@?a:lBWjssWG::i6`NSnww[kis89::j6`NSnww[kis89::=<>4n@QlqqYig}:;<>2g9mEVir|Vddx=>?12d8jDUh}}Uecy>?006e?kGTg|~Tbbz?0136b>hF[fSca{01222c=iIZe~xR``t12352`a3gKXcxzPnnv3457>?2dJcxz@E99mEjssGL;h7cO`uuMF[JDRN<1eNH\]9:lAAWTXNZHn7cLJRS]EWGYHJ\L:=6`MESP\BVDXWfx;<=>>2:lAAWTXNZHTSb|?012254=iJLXYSK]M_^mq45669;1eNH\]_GQA[Ziu89::=<<4nCGQVZ@TJVUd~=>?1331?kDBZ[UM_OQPos23445>3gHN^_QFOCg8jGCUZVCDNRGMUG58jGAXAFH<7cL]_HMAg>hEZVCDNRAMUGd8jGTXAFHTaxv?01224>hEZVCDNRczx12344773gHYSDAM_lw{4567:8:0bO\PIN@\ip~789:8==5aBS]JKGYj}q:;<=:>0:lAVZOHJVg~t=>?0433?kDUW@EIS`{w0123246hDIZUfyu>?016g?kEF[Vg~t=>?04f8jFGTWds<=>?6e9mGDUXe|r;<=>8d:l@EVYj}q:;<=6k;oABWZkrp9:;<484nEVBGNg?f:lGPDELWhdo<=>?1g9m@QGDCVkeh=>?03d8jARFKBUjbi>?011f?kBSIJATbbz?013e?kBSIJATbbz?01322>hCagFNn6`KioNF[JDRNm1eHd`CE^mq4567m2dOecBJ_np34566>2dOecAJ7:lGmkIB9k1eHd`@E^MAQCchCg|~DI4nEmvpJCXign;<=?>119m@jssGLUjbi>?00024>hCg|~DIRoad123563l5aIQN1[LDRN?1eE]B=r59mHAU?3gFO_RH\Be9mHAUXNZHTEO[I8:lO@VYNGKo0bAJ\_HMA[JDRNLh0bAJ\_HMAkprd3gFO_Road1236a=iDMYTmcj?0102`>hKLZUjbi>?030g?kJC[Vkeh=>?22f8jIBTWhdo<=>=4e9mHAUXign;<=<:d:lO@VYffm:;:j4nMFP[dhc89:94i5aLEQ\ekb78982o6`CDR]mkq6788n0bAJ\_omw45669=1e@ZK:;oNTA4ehK_LUjbi>?013g?kJPMVkeh=>?03f8jIQBWhdo<=>?329mK@>1:lLr`tkipUTmcj?01226>hH~lxgmtQPaof34566991eC{k}l`{\[jt789::=6`@vdpoe|YXg{:;<=?>1:lLr`tkipUTc>?01025>hH~lxgmtQPos23455692dDzh|cax]\kw6789>:=6`@vdpoe|YXg{:;<=;8;oPBIIQBk2dYM@BXE^MAQC11e^BCLS0`8jWIJKZUDNXH9;oPLIRC03gXDAZK>b:lQKHQBWFH^J95aUEQ;?kSC[VLXNi5aUEQ\BVDXAK_M46`ZDR]JKGc?1e9mQAUXign;<=?>d:lV@VYffm:;<<j4nTFP[dhc89::8i5aUEQ\ekb789;>h6`ZDR]bja6788n0bXJ\_`lg45660m1eYI]Paof3457>k2d^H^Qaou2344b7c[XE0a8jPQBWhdo<=>?d:lVS@Yffm:;<=?k;oWTAZgil9:;hQEHUTc>?016g?kPJIVUd~=>?04f8jSKFWVey<=>?6e9mRHGXWfx;<=>8d:lUIDYXg{:;<=6l;oTNEZgil9:;C<528qX4;466g4k5b?3;0b>k7:79'7`0=;l;0q^6::2gb>73e289?n<>i:044ag=c;l:1<7?51zQ;2?5bi38>n7?<4c33b?71?l=0zY=;8;295?7=;?op_5853dc960d=9:>i==h5175f3>"4j>0:o;5+24a90a>de|@:h>7)=7c;1f4>\0?39p>7;56;'5f3=;>20'97<:188m2d=831d8>k50;9j053=831d8nm50;9j0<`=831d84l50;9l3f<722e?4o4?::k761<722e?4:4?::m774<722e?>:4?::k:5<5<5<5<>i3880;66g;6183>>o3:?0;66a;9983>>i3<10;66a;6383>>i3j>0;66a;4b83>>i3=<0;66g;d483>>i39j0;66a=7283>!42m38<>6`=5e83?>i5?80;6)<:e;046>h5=m0:76a=8183>!42m38<>6`=5e81?>i5?o0;6)<:e;046>h5=m0876a=7d83>!42m38<>6`=5e87?>i5?m0;6)<:e;046>h5=m0>76a=7b83>!42m38<>6`=5e85?>i5?k0;6)<:e;046>h5=m0<76a=7783>!42m38<>6`=5e8;?>i5?<0;6)<:e;046>h5=m0276a=7583>!42m38<>6`=5e8b?>i5?90;6)<:e;046>h5=m0i76g;b;29 73b2=k0b?;k:198m1?=83.99h4;a:l11a<632c?47>5$37f>1go3=3:1(?;j:5c8j73c2=10e9:50;&11`<3i2d99i4:;:k77?6=,;?n69o4n37g>3=h5=m0<76g;1;29 73b2=k0b?;k:998m16=83.99h4;a:l11a<>32c8j7>5$37f>1go4l3:1(?;j:5c8j73c2j10e>m50;&11`<3i2d99i4k;:k67?6=,;?n69o4n37g>`=h5=m0m76g:1;29 73b2=k0b?;k:028?l37290/>8k54`9m60b=9810e9h50;&11`<3i2d99i4>2:9j0`<72-8>i7:n;o06`?7432c?h7>5$37f>1g5<#:h5=m0::65f35a94?"5=l088o5a24f94>=n;=k1<7*=5d800g=i:=e;l>1<7?50;2x 6>d2mk0D>k<;I1a1>ic13:17pl4<729q/?5m53c48L6c43A9i96a=5`83>>{e;o=1<7?k:5g927}O;k?0(>6l:958^21=9r91q)?l5;14<>o?:3:17b87:188k4632900e9j;:188k7>52900c?k7:188k`2=831b=:4?:%06a?713g8>h7>4;h36>5<#:=n9=0;6)<:e;35?k42l3807d?=:18'60c=9?1e>8j53:9j55<72-8>i7?9;o06`?2<3`l1<7*=5d822>h5=m0>76gj:18'60c=9?1e>8j56:9j`?6=,;?n6<84n37g>2=2d99i46;:kb>5<#:=n13:1(?;j:048j73c2k10e54?:%06a?713g8>h7m4;h594?"5=l0::6`=5e8g?>o6n3:1(?;j:048j73c2l10e2d99i4i;:`0a1<7280;6=u+39a9`d=O;l90D>l:;nf:>5<55;294~"40j0o:6F42900e:650;9jt$2:`>`><@:o87E=m5:kgf?6=3f8>87>5;|`1<0<72;0;6=u+39a9a==O;l90D>l:;hfa>5<N4m:1C?o;4iea94?=nlm0;66a=5583>>{e:1=1<7=50;2x 6>d2:;0D>k<;I1a1>ock3:17djk:188k7332900qo:70;297?6=8r.84n4=569K7`5<@:h>7djl:188mab=831d>8:50;9~f7cb29096=4?{%1;g?c?3A9n?6Ft$2:`>`><@:o87E=m5:kgf?6=3f8>87>5;|`1b5<72;0;6=u+39a9a==O;l90D>l:;hfa>5<N4m:1C?o;4iea94?=nlm0;66gke;29?lba2900c?;;:188ygc229026=4?{%1;g?513A9n?6F5;hff>5<>ob93:17dk=:188m`5=831d>8:50;9~f6de290>6=4?{%1;g?543A9n?6F5;hff>5<6<729q/?5m52458L6c43A9i96gkc;29?lbc2900c?;;:188yg5en3:1>7>50z&05G3c78mad=831d>8:50;9~f6e729096=4?{%1;g?c?3A9n?6Ft$2:`>`><@:o87E=m5:kgf?6=3f8>87>5;|`0g7<72:0;6=u+39a974=O;l90D>l:;hf`>5<6<729q/?5m5309K7`5<@:h>7djl:188mab=831d>8:50;9~f6dd290?6=4?{%1;g?553A9n?6F5;hff>5<N4m:1C?o;4ie`94?=h:<>1<75rb3gb>5<5290;w)=7c;g;?M5b;2B8n85fdc83>>i5==0;66sm2d`94?4=83:p(>6l:d:8L6c43A9i96gkb;29?j42<3:17pl=eb83>7<729q/?5m5e99K7`5<@:h>7djm:188k7332900qo7djl:188mab=831d>8:50;9~f7`429086=4?{%1;g?563A9n?6F5;n060?6=3th9j94?:583>5}#;1i1??5G3d18L6d23`nh6=44ief94?=nll0;66a=5583>>{e;?31<7;50;2x 6>d2:90D>k<;I1a1>ock3:17djk:188mac=831bhk4?::m111<722wi?:>50;194?6|,:2h6>?4H2g0?M5e=2coo7>5;hfg>5<N4m:1C?o;4ie`94?=h:<>1<75rb251>5<5290;w)=7c;g;?M5b;2B8n85fdc83>>i5==0;66sm36194?4=83:p(>6l:d:8L6c43A9i96gkb;29?j42<3:17pl<7583>7<729q/?5m5e99K7`5<@:h>7djm:188k7332900qo=85;296?6=8r.84n4j8:J0a6=O;k?0eil50;9l602=831vn>99:181>5<7s-93o7k7;I1f7>N4j<1bho4?::m111<722wi?:950;094?6|,:2h6h64H2g0?M5e=2con7>5;n060?6=3th8:l4?:383>5}#;1i1i55G3d18L6d23`ni6=44o377>5<53;294~"40j099:5G3d18L6d23`nh6=44ief94?=h:<>1<75rb24`>5>ocl3:17djj:188ma`=831bi=4?::kf5?6=3`o96=44o377>5<53;294~"40j08=6F>i5==0;66sm37g94?5=83:p(>6l:238L6c43A9i96gkc;29?lbc2900c?;;:188yg51n3:187>50z&05G3c78mae=831bhi4?::kga?6=3f8>87>5;|`7<7<72:0;6=u+39a974=O;l90D>l:;hf`>5<>{e<1>1<7<50;2x 6>d2l20D>k<;I1a1>ocj3:17b<:4;29?xd30<0;6>4?:1y'7=e=;81C?h=4H2`6?lbd2900eij50;9l602=831vn969:180>5<7s-93o7<:7:J0a6=O;k?0eim50;9j`a<722e9994?::a70>=8331<7>t$2:`>60<@:o87E=m5:kgg?6=3`no6=44ieg94?=nlo0;66gj0;29?lc62900eh<50;9ja6<722e9994?::a736=8391<7>t$2:`>7303A9n?6F5;n060?6=3th8:<4?:483>5}#;1i1?>5G3d18L6d23`nh6=44ief94?=nll0;66gkf;29?j42<3:17pl<6383>7<729q/?5m5e99K7`5<@:h>7djm:188k7332900qo=93;296?6=8r.84n4j8:J0a6=O;k?0eil50;9l602=831vn>8;:181>5<7s-93o7k7;I1f7>N4j<1bho4?::m111<722wi?;;50;094?6|,:2h6h64H2g0?M5e=2con7>5;n060?6=3th8:;4?:383>5}#;1i1i55G3d18L6d23`ni6=44o377>5<52;294~"40j0n46F>{e;d2:90D>k<;I1a1>ock3:17djk:188mac=831bhk4?::m111<722wi?8l50;194?6|,:2h6>?4H2g0?M5e=2coo7>5;hfg>5<l:;hf`>5<50z&05G3c78mae=831bhi4?::m111<722wi?8h50;194?6|,:2h6>?4H2g0?M5e=2coo7>5;hfg>5<l:;hf`>5<>{e99h1<7;50;2x 6>d2:90D>k<;I1a1>ock3:17djk:188mac=831bhk4?::m111<722wi==m50;194?6|,:2h6>?4H2g0?M5e=2coo7>5;hfg>5<l:;hf`>5<>{e99l1<7=50;2x 6>d2;?<7E=j3:J0f0=nlj0;66gkd;29?j42<3:17pl>1183>6<729q/?5m5309K7`5<@:h>7djl:188mab=831d>8:50;9~f47629086=4?{%1;g?563A9n?6F5;n060?6=3th:<;4?:283>5}#;1i1?<5G3d18L6d23`nh6=44ief94?=h:<>1<75rb024>5<4290;w)=7c;063>N4m:1C?o;4iea94?=nlm0;66a=5583>>{e9921<7=50;2x 6>d2:;0D>k<;I1a1>ock3:17djk:188k7332900qo??9;297?6=8r.84n4=569K7`5<@:h>7djl:188mab=831d>8:50;9~w2<72=qU;63<688gg>;4>80oo63<638gf>{t03:18vP7;<15=?bc349==7jk;<157?be3ty26=4;{_;896152mh01>8>:eg896032mh0q~o50;7xZd=:;ko1hn5237;9``=:;<21hn5211f9`f=z{k0;6;uQb:?0f`53z\`?850;3ni70=:8;fg?xuc290=wSj4=d79`f=:;kh1hi523629`f=:;<21hh5211`9`f=z{l0;6;uQe:?f1?bc349in7jl;<144?bc349>47ji;<33f?bc3tym6=49{_d89`3=ll16?ol5dd9>722=lk16?865e09>55d=ll1v<>50;4xZ46<5l?1hk523c`9`c=:;>?1ho5234:9a5=:99h1hk5rs0094??|V8801?kj:e`89`3=m916?oj5db9>6`g=lk16?:85dc9>70>=m;16==;5de9>55e=lj1v<:50;;xZ42<5;om6il4=d79a4=:;kn1hi522d`9`g=:;>=1ho5234:9a6=:99?1hn5211a9`a=z{8?1<77t^07897>32mh01?h>:ea89`3=m;16?oh5dc9>6c4=lj16?;j5db9>730=lk16=ad<5;l;6il4=d79a6=:;j:1ho522da9`g=:;?k1ho523759`g=:99=1hn5rs0g94?5|V8o01>8m:ea896072mi0q~?i:180[7a349<=7jm;<154?bc3ty54z\47>;3090oh63;838gg>;30:0on6s|8383>7}Y0;168:k5799~w`2=838pRh:4=d7960252z\241=:9931>8:4}r331?6=:r7:<84=559>55`=lj1v<>9:181877>38>863>098g`>{t99=1<773334;;57jl;|q24=<72;q6==652468946>2mn0q~??a;296~;68h09995211d9`a=z{8:i6=4={<33f?42<27:<54kc:p55e=838p1<>l:377?877>3nh7p}>0e83>7}:99n1>8:4=033>ae52z?24`<5==16=<>5de9~w46a2909w0??f;060>;6980oh6s|10294?4|58;;6?;;;<332?bc3ty:=<4?:3y>547=:<>01<>8:ef8yv74i3:1>vP>3`9>7ge=:<>0q~<:1;296~;4m=0o563;7d8;7>{t:181<786s|29194?5|V;2870<76;060>;50>0oo6s|29694?4|5;2?6?;;;<0;2?bd3ty9484?:3y>6=3=:<>01?69:ef8yv4b03:1>vP=e99>6c2=:<>0q~7}::li1>8:4=3d0>ab53z\1aa=::o;1>8:4=5:1>ab52z?1a`<5==16>k?5de9~w7ca2909w0;5n80oi6s|2g294?4|5;l;6?;;;<0e5?ba3ty9j?4?:3y>6c4=:<>01?h;:ef8yv4a;3:1>v3=f28111=::o>1hh5rs274>5<5sW9>;63<5g8111=z{:?36=4={<16;6:377?852m3no7p}<5`83>7}:;8:4=27`>abn7>52z?01g<5==16?8j5dd9~w63d2909w0=:c;060>;4=m0oo6s|34f94?4|5:?o6?;;;<16a?bd3ty89h4?:3y>70c=:<>01>;i:ef8yv5183:1>v3<618111=:;5<5s49==7<:4:?01f:0;6?u23719602<5:?j6ij4}r150?6=:r78:94=559>70g=ll1v>8::181851=38>863<5`8gb>{t;?<1<7733349>n7jl;|q022<72;q6?;952468963e2mn0q~=98;296~X4>116?;h52468yv5113:1>v3<688111=:;?l1hi5rs24b>5<5s49=m7<:4:?02fj0;6?u237a9602<5:73c=lm1v>8j:181851m38>863<6g8gg>{t;>:1<7733349=i7jl;|q034<72;q6?:?52468960e2mn0q~=82;296~;4?;09995237a9`f=z{:=86=4={<147?42<278:n4kd:p722=838p1>9;:377?851k3nn7p}<7483>7}:;>?1>8:4=24`>a`52z?033<5==16?;m5e09~w6102909w0=87;060>;4>j0n<6s|3c`94?4|5:hi6?;;;<1ag?bc3ty8ni4?:3y>7gb=:<>01>m;:ea8yv5em3:1>v35<5s49ij7<:4:?0g750;0x96e72;??70=l3;f`?xu4k80;6?u23b39602<5:i86ij4}r1`6?6=:r78o?4=559>7f2=lm1v>m<:18185d;38>863{t;j>1<7733349io7jl;|q73`<72=q68:k5272897>02mn01?k6:e`8946f2mh0q~:8f;296~;3?l03m63;818111=z{=2:6=4={<64a?>634>3:7<:4:p0=4=838p196=:377?82?>3nh7p};8283>7}:<191>8:4=5:6>ae387>52z?7<1<5==1685;5de9~w1>22909w0:75;060>;30?0oh6s|48194?5|V=3870:70;f`?82?<3ni7p};d583>1}Y01>m>:e`8963>2mh01<>j:e`8yxd3l>0;6>;59e8aa~N4j<1/?5m52938^21=:r8187s+1b7972>>i3?>0;66g;5g83>>o4;?0;66g;d583>>o31l0;66g=8383>>i3110;66g=e983>>i5010;66a;7083>>i5?:0;6)<:e;046>h5=m0;76a=7083>!42m38<>6`=5e82?>i5090;6)<:e;046>h5=m0976a=7g83>!42m38<>6`=5e80?>i5?l0;6)<:e;046>h5=m0?76a=7e83>!42m38<>6`=5e86?>i5?j0;6)<:e;046>h5=m0=76a=7c83>!42m38<>6`=5e84?>i5??0;6)<:e;046>h5=m0376a=7483>!42m38<>6`=5e8:?>i5?=0;6)<:e;046>h5=m0j76a=7183>!42m38<>6`=5e8a?>o6<3:1(?;j:018j73c2910e<<50;&11`<6;2d99i4>;:k24?6=,;?n6<=4n37g>7=5<#:5a24f91>=nk3:1(?;j:018j73c2?10eo4?:%06a?743g8>h794;hc94?"5=l0:?6`=5e8;?>o>290/>8k5129m60b=121b47>5$37f>45!42m3;87c<:d;`8?l7a290/>8k5129m60b=k21b=h4?:%06a?743g8>h7j4;h3g>5<#:5a24f9a>=n9j0;6)<:e;30?k42l3l07d?m:18'60c=9:1e>8j51198m4g=83.99h4>3:l11a<6921b=44?:%06a?743g8>h7?=;:k245<3`;:6=4+24g956=i:5<6290;w)=7c;fb?M5b;2B8n85`d883>>{e;;i1<7;50;2x 6>d2m<0D>k<;I1a1>o?93:17d6<:188m2>=831b4l4?::m125<722wi>5650;194?6|,:2h6i:4H2g0?M5e=2c<47>5;h:b>5<N4m:1C?o;4i6:94?=n0h0;66a=6183>>{e0k0;6>4?:1y'7=e=l=1C?h=4H2`6?l1?2900e5o50;9l636=831vn:850;194?6|,:2h6i:4H2g0?M5e=2c<47>5;h:b>5<N4m:1C?o;4$d594>o003:17d6n:188k7072900qo5<53;294~"40j0o86Ff2900c?8?:188yg4f83:1?7>50z&05G3c78 `1=82c<47>5;h:b>5<N4m:1C?o;4i6:94?=n0h0;66a=6183>>{e;991<7=50;2x 6>d2m>0D>k<;I1a1>"b?3:0e:650;9jt$2:`>a2<@:o87E=m5:&f3?621<75f8`83>>i5>90;66sm31794?5=83:p(>6l:e68L6c43A9i96*j7;28m2>=831b4l4?::m125<722wi?=850;194?6|,:2h6i:4H2g0?M5e=2.n;7>4i6:94?=n0h0;66a=6183>>{e;931<7=50;2x 6>d2m>0D>k<;I1a1>"b?3:0e:650;9jt$2:`>a2<@:o87E=m5:&f3?621<75f8`83>>i5>90;66sm30194?5=83:p(>6l:e68L6c43A9i96*j7;28m2>=831b4l4?::m125<722wi?<650;194?6|,:2h6i:4H2g0?M5e=2.n;7?4i6:94?=n0h0;66a=6183>>{e<031<7<50;2x 6>d2l20D>k<;I1a1>ocj3:17b<:4;29?xd3j80;6?4?:1y'7=e=m11C?h=4H2`6?lbe2900c?;;:188yg20:3:1>7>50z&05G3c78mad=831d>8:50;9~f62729096=4?{%1;g?c?3A9n?6Ft$2:`>67<@:o87E=m5:kgg?6=3`no6=44o377>5<>{e;921<7=50;2x 6>d2:;0D>k<;I1a1>ock3:17djk:188k7332900qo5<7s-93o7<:7:J0a6=O;k?0eim50;9j`a<722e9994?::a77c=8391<7>t$2:`>7303A9n?6F5;n060?6=3th9584?:283>5}#;1i1?<5G3d18L6d23`nh6=44ief94?=h:<>1<75rb3;5>5<5290;w)=7c;g;?M5b;2B8n85fdc83>>i5==0;66sm28594?5=83:p(>6l:238L6c43A9i96gkc;29?lbc2900c?;;:188yg4>03:1?7>50z&01C?h=4H2`6?lbd2900eij50;9l602=831vn?o::180>5<7s-93o7=>;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`1e3<72:0;6=u+39a9601<@:o87E=m5:kgg?6=3`no6=44o377>5<53;294~"40j099:5G3d18L6d23`nh6=44ief94?=h:<>1<75rb3c;>5<4290;w)=7c;12?M5b;2B8n85fdb83>>ocl3:17b<:4;29?xd5i00;6>4?:1y'7=e=:<=0D>k<;I1a1>ock3:17djk:188k7332900qo<6b;296?6=8r.84n4j8:J0a6=O;k?0eil50;9l602=831vn?7l:181>5<7s-93o7k7;I1f7>N4j<1bho4?::m111<722wi>4j50;194?6|,:2h6>?4H2g0?M5e=2coo7>5;hfg>5<N4m:1C?o;4iea94?=nlm0;66a=5583>>{e:0l1<7:50;2x 6>d2;?37E=j3:J0f0=nlj0;66gkd;29?lbb2900c?;;:188yg4?i3:1?7>50z&01C?h=4H2`6?lbd2900eij50;9l602=831vn?6m:181>5<7s-93o7k7;I1f7>N4j<1bho4?::m111<722wi>5m50;094?6|,:2h6h64H2g0?M5e=2con7>5;n060?6=3th94i4?:283>5}#;1i1?<5G3d18L6d23`nh6=44ief94?=h:<>1<75rb3:f>5<4290;w)=7c;12?M5b;2B8n85fdb83>>ocl3:17b<:4;29?xd50o0;6>4?:1y'7=e=:<=0D>k<;I1a1>ock3:17djk:188k7332900qo<60;290?6=8r.84n4=599K7`5<@:h>7djl:188mab=831bhh4?::m111<722wi8>:50;194?6|,:2h6?;8;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`770<72:0;6=u+39a974=O;l90D>l:;hf`>5<>{e<:=1<7=50;2x 6>d2;?<7E=j3:J0f0=nlj0;66gkd;29?j42<3:17pl;3983>7<729q/?5m5e99K7`5<@:h>7djm:188k7332900qo:<9;297?6=8r.84n4=569K7`5<@:h>7djl:188mab=831d>8:50;9~f15f29086=4?{%1;g?563A9n?6F5;n060?6=3th??o4?:283>5}#;1i1?<5G3d18L6d23`nh6=44ief94?=h:<>1<75rb51`>5<2290;w)=7c;06=>N4m:1C?o;4iea94?=nlm0;66gke;29?lba2900c?;;:188yg2e;3:1>7>50z&05G3c78mad=831d>8:50;9~f1d329096=4?{%1;g?c?3A9n?6F1<7>t$2:`>64<@:o87E=m5:kgg?6=3`no6=44ieg94?=h:<>1<75rb557>5<5290;w)=7c;g;?M5b;2B8n85fdc83>>i5==0;66sm46794?4=83:p(>6l:d:8L6c43A9i96gkb;29?j42<3:17pl;7783>1<729q/?5m5339K7`5<@:h>7djl:188mab=831bhh4?::m111<722wi>4=50;694?6|,:2h6><4H2g0?M5e=2coo7>5;hfg>5<7<729q/?5m5e99K7`5<@:h>7djm:188k7332900qot$2:`>7303A9n?6F5;n060?6=3th9n44?:283>5}#;1i1?<5G3d18L6d23`nh6=44ief94?=h:<>1<75rb3`b>5<5290;w)=7c;g;?M5b;2B8n85fdc83>>i5==0;66sm2c`94?5=83:p(>6l:238L6c43A9i96gkc;29?lbc2900c?;;:188yg4ek3:1?7>50z&01C?h=4H2`6?lbd2900eij50;9l602=831vn?m9:180>5<7s-93o7=>;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`1g2<72;0;6=u+39a9a==O;l90D>l:;hfa>5<N4m:1C?o;4iea94?=nlm0;66a=5583>>{e:j31<7=50;2x 6>d2;?<7E=j3:J0f0=nlj0;66gkd;29?j42<3:17pl=cb83>6<729q/?5m5309K7`5<@:h>7djl:188mab=831d>8:50;9~f7ec29096=4?{%1;g?c?3A9n?6Ft$2:`>67<@:o87E=m5:kgg?6=3`no6=44o377>5<53;294~"40j099:5G3d18L6d23`nh6=44ief94?=h:<>1<75rb3f1>5<4290;w)=7c;12?M5b;2B8n85fdb83>>ocl3:17b<:4;29?xd5l:0;6?4?:1y'7=e=m11C?h=4H2`6?lbe2900c?;;:188yg4c<3:1?7>50z&05G3c78mae=831bhi4?::m111<722wi>i;50;194?6|,:2h6?;8;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`1`=<72:0;6=u+39a974=O;l90D>l:;hf`>5<>{e:mk1<7=50;2x 6>d2:;0D>k<;I1a1>ock3:17djk:188k7332900qo7djl:188mab=831d>8:50;9~f7bb29086=4?{%1;g?563A9n?6F5;n060?6=3th9hk4?:383>5}#;1i1i55G3d18L6d23`ni6=44o377>5<53;294~"40j08=6F>i5==0;66sm2d394?5=83:p(>6l:374?M5b;2B8n85fdb83>>ocl3:17b<:4;29?xd5m=0;6>4?:1y'7=e=;81C?h=4H2`6?lbd2900eij50;9l602=831vn?k::181>5<7s-93o7k7;I1f7>N4j<1bho4?::m111<722wi>h850;194?6|,:2h6>?4H2g0?M5e=2coo7>5;hfg>5<l:;hf`>5<7<729q/?5m5e99K7`5<@:h>7djm:188k7332900qot$2:`>7303A9n?6F5;n060?6=3th9n?4?:283>5}#;1i1?<5G3d18L6d23`nh6=44ief94?=h:<>1<75rb3`0>5<5290;w)=7c;g;?M5b;2B8n85fdc83>>i5==0;66sm2c694?5=83:p(>6l:238L6c43A9i96gkc;29?lbc2900c?;;:188yg4e=3:1?7>50z&01C?h=4H2`6?lbd2900eij50;9l602=831vn?98:180>5<7s-93o7=>;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`13=<72;0;6=u+39a9a==O;l90D>l:;hfa>5<N4m:1C?o;4iea94?=nlm0;66a=5583>>{e:>k1<7=50;2x 6>d2;?<7E=j3:J0f0=nlj0;66gkd;29?j42<3:17pl<1`83>7<729q/?5m5e99K7`5<@:h>7djm:188k7332900qo=>c;296?6=8r.84n4j8:J0a6=O;k?0eil50;9l602=831vn>?k:181>5<7s-93o7k7;I1f7>N4j<1bho4?::m111<722wi?5;n060?6=3th8=k4?:383>5}#;1i1i55G3d18L6d23`ni6=44o377>5<53;294~"40j08=6F>i5==0;66sm33394?2=83:p(>6l:37;?M5b;2B8n85fdb83>>ocl3:17djj:188k7332900qo==2;297?6=8r.84n4=569K7`5<@:h>7djl:188mab=831d>8:50;9~f644290?6=4?{%1;g?553A9n?6F5;hff>5<l:;hf`>5<6=4<:183!5?k39:7E=j3:J0f0=nlj0;66gkd;29?j42<3:17pl<1783>6<729q/?5m5309K7`5<@:h>7djl:188mab=831d>8:50;9~f67029086=4?{%1;g?42?2B8i>5G3c78mae=831bhi4?::m111<722wi?<>50;794?6|,:2h6>=4H2g0?M5e=2coo7>5;hfg>5<>i5==0;66sm30394?4=83:p(>6l:d:8L6c43A9i96gkb;29?j42<3:17pl<1383>6<729q/?5m5309K7`5<@:h>7djl:188mab=831d>8:50;9~f66e29096=4?{%1;g?c?3A9n?6Ft$2:`>67<@:o87E=m5:kgg?6=3`no6=44o377>5<53;294~"40j099:5G3d18L6d23`nh6=44ief94?=h:<>1<75rb213>5<2290;w)=7c;06=>N4m:1C?o;4iea94?=nlm0;66gke;29?lba2900c?;;:188yg5493:1>7>50z&05G3c78mad=831d>8:50;9~f65529096=4?{%1;g?c?3A9n?6Ft$2:`>67<@:o87E=m5:kgg?6=3`no6=44o377>5<53;294~"40j099:5G3d18L6d23`nh6=44ief94?=h:<>1<75rb55b>5<4290;w)=7c;12?M5b;2B8n85fdb83>>ocl3:17b<:4;29?xd3?k0;6?4?:1y'7=e=m11C?h=4H2`6?lbe2900c?;;:188yg20k3:1>7>50z&05G3c78mad=831d>8:50;9~f11c290?6=4?{%1;g?4202B8i>5G3c78mae=831bhi4?::kga?6=3f8>87>5;|`7l:;hfa>5<N4m:1C?o;4ie`94?=h:<>1<75rb5:f>5<5290;w)=7c;g;?M5b;2B8n85fdc83>>i5==0;66sm49d94?4=83:p(>6l:d:8L6c43A9i96gkb;29?j42<3:17pl;9183>1<729q/?5m524:8L6c43A9i96gkc;29?lbc2900eik50;9l602=831vn97>:187>5<7s-93o7==;I1f7>N4j<1bhn4?::kg`?6=3`nn6=44o377>5<52;294~"40j0n46F>{e:oi1<7<50;2x 6>d2l20D>k<;I1a1>ocj3:17b<:4;29?xd5nm0;6>4?:1y'7=e=;81C?h=4H2`6?lbd2900eij50;9l602=831vn?hj:181>5<7s-93o7k7;I1f7>N4j<1bho4?::m111<722wi>kh50;094?6|,:2h6h64H2g0?M5e=2con7>5;n060?6=3th8<=4?:383>5}#;1i1i55G3d18L6d23`ni6=44o377>5<54;294~"40j09955G3d18L6d23`nh6=44ief94?=nll0;66a=5583>>{e;981<7=50;2x 6>d2:;0D>k<;I1a1>ock3:17djk:188k7332900qo=8391<7>t$2:`>67<@:o87E=m5:kgg?6=3`no6=44o377>5<53;294~"40j099:5G3d18L6d23`nh6=44ief94?=h:<>1<75rb3db>5<4290;w)=7c;12?M5b;2B8n85fdb83>>ocl3:17b<:4;29?xu02909wS94=3`a>ae6f>=lj1v44?:3y]=>;5kl0oo6s|a;296~Xf348o87jl;|qa>5<5sWh01?jn:ea8yve=838pRn522d49`f=z{m0;6?uQd:?1g452z\f?84e<3nh7p}i:181[`<5;o;6im4}r33>5<5sW;;70<89;f`?xu693:1>vP>1:?1ef=1hi5rs0694?4|V8>01?jj:ef8yv7?2909wS?7;<0a=?bc3ty:57>52z\2=>;5k?0oh6s|1`83>7}Y9h16>nm5de9~w4d=838pRabd;297~X6l279nk4kd:?1f71hi5rs0d94?4|V8l01?oj:ea8yv142903wS9<;<55>=g<5;386im4=23g>ad<5:;;6im4=55`>ad<5;li6il4=3dg>ae70734>7>513y]<7=:;;i1;55229:93==:<>=1;5528c84<>;0>3=370<61;5;?84f;3=370<69;5;?857;3=370=?4;5;?857=3=370=?6;5;?85713=370=?e;5;?856;3=370=>8;5;?84f:3ni7p}7b;291~;?j38=<63<098gg>;3;<0oo63<0c8gf>;3?h0oo6s|8b83>7}:0k03m637d;060>{t:<;1<7a?<5:8h65=4}r044?6=:rT9;=522`d960252z\134=::k?1>8:4}r040?6=:rT9;9522ca960252z\130=::j81>8:4}r042?6=;rT9;;5Q2618971f2;??7p}=7683>7}::>=1>8:4=35b>ae52z?13=<5==16>:75de9~w71>2909w0<89;060>;5?h0oh6s|26`94?4|V;=i70{t:>i1<786s|26f94?4|V;=o70{t:>o1<786s|26d94?4|V;=m70{t:1:1<786s|29094?3|V;2970<63;fg?856k3ni70=>0;ff?82?k3ni7p}=8283>6}Y:1901?h9:e`897`a2mh0q~<78;296~X50116>5652728yv4?13:1>v3=898;e>;51909995rs3:b>5<5s483m7<:4:?1=55l50;0x97>e2;??70<7d;fg?xu50j0;6?u229a9602<5;2n6ij4}r0;`?6=:r794i4=559>6=`=lm1v?6j:18184?m38>863=918ga>{t:1l1<77333482<7jl;|q1=4<7283p1?7>:343?82e=3no70:86;fg?84fk3nh703nh70u22879`f=::h<1hn52281960252z?1=4;51>0oo6s|28494?4|5;3=6?;;;<0:3?bc3ty95:4?:3y>6<1=:<>01?77:ef8yv4>13:1:v3=988125=::091hh5230g9`g=:;8:1hk5249f9`g=::oi1ho5rs3;b>5<5s482576n;<0:b?42<2wx>4l50;0x97?e2;??70<6d;fg?xu51j0;6?u228a9602<5;3n6ij4}r0:`?6=:r795i4=559>6<`=lj1v?7j:18184>m38>863=9g8ga>{t:h:1<770734>3j7jm;|q1e4<72:q6>l>5799>067=?116>l<52468yv4f;3:1>v3=a28125=:<1o1ho5rs3c7>5<5s48j?76n;<0b=?42<2wx>l;50;0x97g22;??706d?=lj1v?o7:18184f038>863=a88g`>{t:hh1<7733348jj7jl;|q1ef<72;q6>lj5246897gb2mn0q~7}::k>1>8:4=3`6>ab52z?1f<<5==16>om5db9~w7d>2909w0;5jk0oh6s|2cc94?4|5;hi6?;;;<0ag?bc3ty9nh4?:3y>6g`=:<>01?m=:ea8yv4en3:1>v3=c18111=::j;1hi5rs3a3>5<5s48h=7<:4:?1g7n;50;0x97e12;??706f?=lm1v?mm:18184dk38>863=cg8gg>{t:ji1<7733348hi7jk;|q1ga<72;q6>nk5246897ea2mn0q~7}::m21>8:4=3fa>ae52z?1`<<5==16>io5de9~w7b>2909w0;5lk0oh6s|2ef94?4|5;nn6?;;;<0f5?bd3ty9hh4?:3y>6a`=:<>01?k?:ef8yv4cn3:1>v3=e18111=::l;1hi5rs3g0>5<5s48n87<:4:?1a2h:50;0x97c22;??706=46{<0:2?be348j:7jk;<0:g?be3483o7jm;<602?be349:j7jm;<121?bd349:=7jm;<0ee?42<2wx>k850;0x97`12;??70=?1;fg?xu5n>0;6?u22g59602<5;l26im4}r0e6c?=lm1v?h6:18184a138>863=f`8gg>{t:oh1<7733349;=7jl;|q1bf<72;q6>km5246896662mo0q~7}:;9:1>8:4=3db>ab52z?044<5==16>k95de9~w6652909w0=?2;060>;5n10oh6s|31194?0|5::86?8?;<0:b?bc3483j7jl;<60=?bd3499>7jl;<104?bb3ty8<94?:5y>755=0h16?=:5272891532mi01>=?:ea8yv57=3:18v3<058;e>;48<09:=524269`a=:;::1hi5rs225>5<0s49;:7<90:?1e0>9:9c8966?2;??7p}<0883>0}:;931>;>4=22;>ab<5;2j6im4=516>ab<5::h6im4}r13e?6=:r78<447a:?04a<5==1v>>m:181857j38>863<0b8g`>{t;9i1<7733349;h7jk;|q04`<72l>58`9>75c=:?:01?77:ea897>f2mn01>>k:ea8yv57n3:1>v3<0d8;e>;49;09995rs233>5<5s49:<7<:4:?0572;fg?xu49:0;68u23179;>4=3c4>ae<5=9<6im4=213>a`52z?0565;060>;49>0oo6s|30494?4|5:;=6?;;;<123?bc3ty8=54?:8y>74>=:?:01?7::ef897g?2mi01?7j:ea897>b2mi019=n:ea896442mn01>?::ef896772mn0q~=>9;296~;49103m63<1c8111=z{:;j6=4={<12e?42<278>=4kd:p74e=838p1>?l:377?85593no7p}<1e83>7}:;8n1>8:4=202>ae52z?05`<5==16???5dd9~w67a2909w0=>f;060>;4::0oi6s|33294?4|5:8;6?;;;<116?bc3ty8><4?:3y>777=:<>01><<:ea8yv55:3:1>v3<238111=:;8h1hn5rs200>5<5s499?7<:4:?05g7}:;;i14l5233g960252z?06f;4;=0oo6s|32394?4|5:9:6?;;;<107?bd3ty8??4?:3y>764=:<>01>=<:ef8yv54;3:1>v3<328111=:;:>1hi5rs215>5<3sW98:63<2d8g`>;4;80on63=fe8g`>{t;:l1<79t=262>733348j97jl;<0:f?be3483n7jm;<60716=:<>01>:>:ef8yv53:3:19vP<439>717=lj16??k5db9>764=lk16>k95db9~w1632908wS:?4:?7=f;<605?4182wx8>=50;0x915621k019=l:377?xu3;=0;6?u24269602<5=9h6im4}r601?6=:r7??84=559>06?=lm1v9=9:181824>38>863;3`8g`>{t<:=1<773334>8n7jl;|q77=<72;q68>652468915e2mn0q~:<9;296~;3;009995242a9`a=z{=9j6=4={<60e?42<27??n4ke:p06d=838p19=m:377?824k3nm7p};3e83>7}Y<:n015j5dc9~w13a2909wS::f:?730<>7<:4:p025=838p199=:e`891112;??7p};7583>7}:<>>1>8:4=555>ae<97>52z?730<5==168:85dd9~w1102909wS:87:?732<5>91v996:181820?32j70:8d;060>{t<>k1<773334>;31809995rs5:`>5<5s4>3o7<:4:?7=5c2;??70:60;ff?xu30l0;6?u249g9602<5=3:6im4}r6;b?6=:r7?4k4=559>0<7=ll1v97?:18182>838>863;908g`>{t<021<7138>86s|48`94?4|V=3i70:6c;060>{t<0o1<77}Y:377?xu3j;0;6?u24c39`g=:8:4}r6a7?6=:r7?n>4=559>0g3=lj1v9l;:18182e<38>863;b48ga>{t1<7;t^5f7?82>k3nh70:m3;fa?820<3ni70:8b;fa?x{e;ok1<7?9:9396l:021?!7d=39<46g72;29?l`b2900e<=n:188m`g=831b=5<:183!5?k3nj7E=j3:J0f0=hl00;66sm40a94?3=83:p(>6l:e48L6c43A9i96g71;29?l>42900e:650;9jt$2:`>a0<@:o87E=m5:&f3?6>o003:17d6n:188k7072900qo5<7s-93o7j9;I1f7>N4j<1b4<4?::k;7?6=3`=36=44i9c94?=h:?:1<75rb9:94?5=83:p(>6l:e68L6c43A9i96g88;29?l>f2900c?8?:188yg4483:1?7>50z&05G3c78m2>=831b4l4?::m125<722wi=>=50;794?6|,:2h6i84H2g0?M5e=2c3=7>5;h:0>5<21<75f8`83>>i5>90;66sm2183>6<729q/?5m5d59K7`5<@:h>7d97:188m=g=831d>;>50;9~f4>c29086=4?{%1;g?b33A9n?6F5;n054?6=3th:=h4?:283>5}#;1i1h95G3d18L6d23`=36=44i9c94?=h:?:1<75rb0c1>5<4290;w)=7c;f7?M5b;2B8n85f7983>>o?i3:17b<90;29?xd6;m0;6>4?:1y'7=e=l=1C?h=4H2`6?l1?2900e5o50;9l636=831vn5<7s-93o7j;;I1f7>N4j<1b;54?::k;e?6=3f8=<7>5;|`e`?6=;3:1N4m:1C?o;4$d594>o003:17d6n:188k7072900qo?6e;291?6=8r.84n4k6:J0a6=O;k?0e5?50;9j<6<722c<47>5;h:b>5<N4m:1C?o;4i9394?=n0:0;66g88;29?l>f2900c?8?:188yg72>3:197>50z&02B8i>5G3c78m=7=831b4>4?::k45<53;294~"40j0o86Ff2900c?8?:188yg50l3:1?7>50z&05G3c78 `1=82c<47>5;h:b>5<N4m:1C?o;4ie`94?=h:<>1<75rb56g>5<5290;w)=7c;g;?M5b;2B8n85fdc83>>i5==0;66sm12394?4=83:p(>6l:d:8L6c43A9i96gkb;29?j42<3:17pl>a183>7<729q/?5m5e99K7`5<@:h>7djm:188k7332900qo:>f;296?6=8r.84n4j8:J0a6=O;k?0eil50;9l602=831vn>>50;194?6|,:2h6?;8;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`0l:;hfa>5<N4m:1C?o;4iea94?=nlm0;66a=5583>>{e<<:1<7=50;2x 6>d2:;0D>k<;I1a1>ock3:17djk:188k7332900qo::1;296?6=8r.84n4j8:J0a6=O;k?0eil50;9l602=831vn9;=:181>5<7s-93o7k7;I1f7>N4j<1bho4?::m111<722wi88=50;194?6|,:2h6>?4H2g0?M5e=2coo7>5;hfg>5<N4m:1C?o;4iea94?=nlm0;66a=5583>>{e9:l1<7=50;2x 6>d2:;0D>k<;I1a1>ock3:17djk:188k7332900qo?;0;296?6=8r.84n4j8:J0a6=O;k?0eil50;9l602=831vn<:>:180>5<7s-93o7=>;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`03c<72;0;6=u+39a9a==O;l90D>l:;hfa>5<l:;hf`>5<7<729q/?5m5e99K7`5<@:h>7djm:188k7332900qo=74;296?6=8r.84n4j8:J0a6=O;k?0eil50;9l602=831vn>6::180>5<7s-93o7=>;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`0<3<72:0;6=u+39a974=O;l90D>l:;hf`>5<;6F>i5==0;66sm39:94?5=83:p(>6l:238L6c43A9i96gkc;29?lbc2900c?;;:188yg5?83:1?7>50z&01C?h=4H2`6?lbd2900eij50;9l602=831vn>9m:180>5<7s-93o7=>;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`03f<72:0;6=u+39a9601<@:o87E=m5:kgg?6=3`no6=44o377>5<9=7>53;294~"40j08=6F>i5==0;66sm43094?4=83:p(>6l:d:8L6c43A9i96gkb;29?j42<3:17pl;2283>6<729q/?5m52458L6c43A9i96gkc;29?lbc2900c?;;:188yg74=3:1?7>50z&05G3c78mae=831bhi4?::m111<722wi=>850;094?6|,:2h6h64H2g0?M5e=2con7>5;n060?6=3th:?:4?:383>5}#;1i1i55G3d18L6d23`ni6=44o377>5<53;294~"40j08=6F>i5==0;66sm12;94?5=83:p(>6l:374?M5b;2B8n85fdb83>>ocl3:17b<:4;29?xd6<:0;6>4?:1y'7=e=;81C?h=4H2`6?lbd2900eij50;9l602=831vn<:6:181>5<7s-93o7k7;I1f7>N4j<1bho4?::m111<722wi=9o50;094?6|,:2h6h64H2g0?M5e=2con7>5;n060?6=3th:8o4?:283>5}#;1i1?<5G3d18L6d23`nh6=44ief94?=h:<>1<75rb06`>5<4290;w)=7c;12?M5b;2B8n85fdb83>>ocl3:17b<:4;29?xd67>50z&05G3c78mad=831d>8:50;9~f42a29096=4?{%1;g?c?3A9n?6Ft$2:`>7303A9n?6F5;n060?6=3th:894?:283>5}#;1i1?<5G3d18L6d23`nh6=44ief94?=h:<>1<75rb066>5<4290;w)=7c;12?M5b;2B8n85fdb83>>ocl3:17b<:4;29?xd64?:1y'7=e=:<=0D>k<;I1a1>ock3:17djk:188k7332900qo?;7;290?6=8r.84n4<2:J0a6=O;k?0eim50;9j`a<722coi7>5;n060?6=3th:854?:283>5}#;1i1>894H2g0?M5e=2coo7>5;hfg>5<N4m:1C?o;4iea94?=nlm0;66a=5583>>{e9hh1<7<50;2x 6>d2l20D>k<;I1a1>ocj3:17b<:4;29?xd6ij0;6?4?:1y'7=e=m11C?h=4H2`6?lbe2900c?;;:188yg7fl3:1>7>50z&05G3c78mad=831d>8:50;9~f4gb29096=4?{%1;g?c?3A9n?6Ft$2:`>`><@:o87E=m5:kgf?6=3f8>87>5;|`2f5<72;0;6=u+39a9a==O;l90D>l:;hfa>5<N4m:1C?o;4ie`94?=h:<>1<75rb0`1>5<4290;w)=7c;063>N4m:1C?o;4iea94?=nlm0;66a=5583>>{e9h?1<7=50;2x 6>d2:;0D>k<;I1a1>ock3:17djk:188k7332900qo?n6;290?6=8r.84n4<2:J0a6=O;k?0eim50;9j`a<722coi7>5;n060?6=3th:m:4?:283>5}#;1i1?<5G3d18L6d23`nh6=44ief94?=h:<>1<75rb0c;>5<4290;w)=7c;063>N4m:1C?o;4iea94?=nlm0;66a=5583>>{e9h31<7:50;2x 6>d2:80D>k<;I1a1>ock3:17djk:188mac=831d>8:50;9~f4gf29086=4?{%1;g?42?2B8i>5G3c78mae=831bhi4?::m111<722wi=8650;194?6|,:2h6>?4H2g0?M5e=2coo7>5;hfg>5<l:;hf`>5<>{e9d2l20D>k<;I1a1>ocj3:17b<:4;29?xd6=j0;6>4?:1y'7=e=;81C?h=4H2`6?lbd2900eij50;9l602=831vn<;k:180>5<7s-93o7=>;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`21`<72=0;6=u+39a977=O;l90D>l:;hf`>5<>i5==0;66sm19d94?5=83:p(>6l:238L6c43A9i96gkc;29?lbc2900c?;;:188yg7>>3:1>7>50z&05G3c78mad=831d>8:50;9~f4?029096=4?{%1;g?c?3A9n?6F=8391<7>t$2:`>7303A9n?6F5;n060?6=3th:544?:383>5}#;1i1i55G3d18L6d23`ni6=44o377>5<52;294~"40j0n46F>{e90i1<7=50;2x 6>d2:;0D>k<;I1a1>ock3:17djk:188k7332900qo?6d;296?6=8r.84n4j8:J0a6=O;k?0eil50;9l602=831vn<7?:187>5<7s-93o7==;I1f7>N4j<1bhn4?::kg`?6=3`nn6=44o377>5<54;294~"40j08>6F>ocm3:17b<:4;29?xd61;0;6>4?:1y'7=e=;81C?h=4H2`6?lbd2900eij50;9l602=831vn<7<:180>5<7s-93o7<:7:J0a6=O;k?0eim50;9j`a<722e9994?::a5<2=8391<7>t$2:`>67<@:o87E=m5:kgg?6=3`no6=44o377>5<54;294~"40j09955G3d18L6d23`nh6=44ief94?=nll0;66a=5583>>{e9;:1<7=50;2x 6>d2:;0D>k<;I1a1>ock3:17djk:188k7332900qo?=7;296?6=8r.84n4j8:J0a6=O;k?0eil50;9l602=831vn<<7:181>5<7s-93o7k7;I1f7>N4j<1bho4?::m111<722wi=?750;194?6|,:2h6?;8;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`26d<72;0;6=u+39a9a==O;l90D>l:;hfa>5<N4m:1C?o;4ie`94?=h:<>1<75rb00`>5<5290;w)=7c;g;?M5b;2B8n85fdc83>>i5==0;66sm13f94?5=83:p(>6l:238L6c43A9i96gkc;29?lbc2900c?;;:188yg75m3:1>7>50z&05G3c78mad=831d>8:50;9~f446290?6=4?{%1;g?553A9n?6F5;hff>5<N4m:1C?o;4iea94?=nlm0;66gke;29?j42<3:17pl>2283>6<729q/?5m5309K7`5<@:h>7djl:188mab=831d>8:50;9~f44329086=4?{%1;g?42?2B8i>5G3c78mae=831bhi4?::m111<722wi=?;50;194?6|,:2h6>?4H2g0?M5e=2coo7>5;hfg>5<l:;hf`>5<>i5==0;66sm35d94?4=83:p(>6l:d:8L6c43A9i96gkb;29?j42<3:17pl<5183>7<729q/?5m5e99K7`5<@:h>7djm:188k7332900qo=:1;296?6=8r.84n4j8:J0a6=O;k?0eil50;9l602=831vn>;=:181>5<7s-93o7k7;I1f7>N4j<1bho4?::m111<722wi?8=50;194?6|,:2h6>?4H2g0?M5e=2coo7>5;hfg>5<l:;hf`>5<6=4;:183!5?k3997E=j3:J0f0=nlj0;66gkd;29?lbb2900c?;;:188yg52>3:1?7>50z&01C?h=4H2`6?lbd2900eij50;9l602=831v?>50;0xZ76<5;:1>;>4}r0f>5k3no70?=c;fa?875l3no70=:1;fa?xu5n3:1>v3=e;:2?8572;??7p}83;29e~X0;2788i47a:?2762><5:>o6:64=3g93==:010<463=3184<>;6;:0<463=0;5;?87?l3=370?>e;5;?87f:3=370?201<201>96:6:8961c2>20q~67:1818>?2;<;70=?:ea8yv>>2909w067:9c896>e2;??7p}ja;296~Xbi27mh76n;|qe`?6==r7mh7<90:?03c;3=90oo63>3g8gg>;40;0oo63>598g`>;4=<0oh6s|10a94?4|V8;h70?>d;:b?xu69m0;6?u210f9636<589>6im4}r32a?6=ab52z?25`;4=559~w4472909w0?=0;060>;6:?0oh6s|13394?4|588:6?;;;<310?bd3ty:>?4?:3y>574=:<>01<<;:ef8yv75;3:1>v3>228111=:9;<1hh5rs007>5<5s4;987<:4:?2600;6?u21359602<588:6im4}r3154=559>577=ll1v<<6:181875138>863>238g`>{t9;k1<773334;9>7jl;|q26g<72;q6=?l5246894452mo0q~?=c;296~;6:j0999521379`a=z{88o6=4={<31`?42<27:>>4kc:p57c=838p1<2g83>7}:9;l1>;>4=00g>ae52z?26c91v<=;:181874;32:70?<9;060>{t9:?1<773334;847jl;|q273<72;q6=>852468945?2mn0q~?<7;296~;6;>09995212;9`a=z{8936=4={<30pR<=n;<302?be34;>o7jl;<160?bd3ty:?o4?:3y]56d<589h6?;;;|q27a<72:qU=>j4=01g>70734;??7jl;|q27`<72>q6=9?52468942d2mi01v3>3g8111=:9=;1hn5rs063>5<5s4;?<7<:4:?204>6im4}r370?6=:r7:894=559>510=lm1v<:::181873=38>863>498gg>{t9=<1<773334;?;7jk;|q202<72;q6=9952468942?2mn0q~?;9;296~;6<00999521429`f=z{8>j6=4={<37e?42<27:9=4kd:p51d=838p1<:m:377?873>3nh7p}>4b83>7}:9=i1>8:4=067>ae52z?20a<5==16=9:5de9~w42b2909w0?;e;060>;6<>0oo6s|15d94?4|58>m6?;;;<373?bb3ty:9=4?:3y>506=:<>01<:::ef8yv72>3:1?v3>578125=:9=h1hi521`f9`g=z{8?<6=4={<36=838p1<;6:377?872k3no7p}>5883>7}:98:4=07g>aem7>52z?21g<5==16=8j5de9~w43e2909w0?:c;060>;6=l0oo6s|14a94?4|58?o6?;;;<36a?bb3ty:4i4?:5y]5=b<582o6?8?;<3;b?bd34;2<7jk;|q2<`<72;q6=5j58`9>5<3=:<>0q~?7f;296~;60o0999521879`a=z{83;6=4={<3:4?42<27:5>4kc:p5<7=838p1<7>:377?87>;3no7p}>9383>7}:9081>8:4=0;6>ac52z?2=6<5==16=4:5db9~w4?32909w0?64;060>;61<0oo6s|18494?4|583=6?;;;<3:4?bd3ty:5:4?:3y>5<1=:<>01<7?:eg8yv7>03:1>v3>998111=:90;1hi5rs0;:>5<5s4;257<:4:?2=45<4=lj1v<7k:18187>l38>863>938g`>{t90o1<770734;2o7jl;|q2=c<72;q6=4k58`9>5d6=:<>0q~?n2;297~X6i;16=l<5272894g32mi0q~?n3;296~;6i;03m63>a`8111=z{8k?6=4={<3b0?42<27:m:4kc:p5d3=838p1a783>7}:9h<1>8:4=0c;>ab52z?2e2<5==16=lo5db9~w4g?2909w0?n8;060>;6i00oh6s|1`;94?4|58k26?;;;<3be?bc3ty:mo4?:3y>5dd=:<>01v3>ab8111=:9k81hi5rs0cg>5<5s4;jh7<:4:?2e05d?=lj1v:18187e938>863>a88ga>{t9k81<773334;j;7jk;|q175<72h47a:?175<5>916=4k5809>57`=0816?=4kd:p667=839p1?=?:9c8943121;01<;j:377?xu5=80;65u23d69`<=:<8i14>5235f9<6=::l03?63>328;7>;61l03?63>2g8;7>;6=?03?6s|2d:94?4|V;o370::2;fa?xu4;6il4=2:0>ad<5=8:6im4=016>ab<58?i6il4}r17a?6=:r788i471:?013<5==1v>:i:181853n38>863<528gg>{t;<:1<7733349>?7jk;|q014<72;q6?8?5246896322mo0q~=:2;296~;4=;0999523449`a=z{:?86=4={<167?42<278994kd:p702=838p1>;;:377?852=3nh7p}<5483>7}:;8:4=275>ae511y>72?=:?:01>6n:e`896>32mh01>9m:ea8942f2mh01<:j:e`894gd2mh012mn01<6i:ef894?f2mh01<7k:e`894472mn01<;?:e`8yv50i3:1>v3<788;e>;4?j09995rs25a>5<5s499k:343?85?j3nh70=71;fg?85?=3nh70=8c;f`?873;3no70?;f;fa?87f<3no70?m1;fa?87213nh70?67;fa?87>03nh70?=7;fa?87513nh70=;f;fa?xu4?l0;6?u236f98:4}r14b?6=:r78;k4=559>7=3=lm1v>6>:18185?938>863<868gg>{t;181<77333493:7jl;|q0<6<72;q6?5=5246896>12mn0q~=74;296~;40=09995239:9`a=z{:2>6=4={<1;1?42<2784=4kc:p7=0=838p1>69:377?85??3no7p}<8683>7}:;1=1>8:4=2:;>ae52z?0<=<5==16?5>5de9~w6>>2909w0=7a;060>;40k0oh6s|41694?4|V=:?70::1;fa?xu39j0;6?uQ40a8917d2;<;7p};1d83>7}:<8i14l5240d96029<7>52z?75f>4=559~w1462909w0:=1;060>;3::0oo6s|43094?4|5=896?;;;<617?bc3ty?8n4?:3y]01e<5=>o6?;;;|q70c<72;q689j5dc9>002=:<>0q~::0;296~;3=90999524469`f=z{=?:6=4={<665?42<27?9>4kc:p004=838p19;=:377?822;3no7p};5283>7}:<<91>8:4=577>ab>j7>53z\71c=:9:;1ho5213c9`g=z{=3n6=4<{_6:a>;6i90on63>988gf>{t1<7;t^5f7?872>32j70::0;fg?873k3no70?nf;fa?x{e;o21<7j51582a~N4j<1/?5m5d99'5f3=;>20c9mm:188m45f2900c9=k:188m45e2900e9>;:188k25=831bh?4?::k124<722c94?4?::k1a=<722cn87>5;h0;5;c60`?6=;3:1N4m:1C?o;4i6:94?=n0h0;66a=6183>>{e;>0;6>4?:1y'7=e=l=1C?h=4H2`6?!c0291b;54?::k;e?6=3f8=<7>5;|`7gg<72=0;6=u+39a9`2=O;l90D>l:;h5;>5<6l:d:8L6c43A9i96gkb;29?j42<3:17pl85;297?6=8r.84n4=569K7`5<@:h>7djl:188mab=831d>8:50;9~f6d=8381<7>t$2:`>`><@:o87E=m5:kgf?6=3f8>87>5;|`702<72;0;6=u+39a9a==O;l90D>l:;hfa>5<N4m:1C?o;4ie`94?=h:<>1<75rb2:94?4=83:p(>6l:d:8L6c43A9i96gkb;29?j42<3:17pl;4283>6<729q/?5m5309K7`5<@:h>7djl:188mab=831d>8:50;9~f12329096=4?{%1;g?c?3A9n?6Ft$2:`>67<@:o87E=m5:kgg?6=3`no6=44o377>5<o=7>54;294~"40j08>6F>ocm3:17b<:4;29?xd4:<0;6>4?:1y'7=e=;81C?h=4H2`6?lbd2900eij50;9l602=831vn><9:181>5<7s-93o7k7;I1f7>N4j<1bho4?::m111<722wi??950;194?6|,:2h6?;8;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`06=<72:0;6=u+39a974=O;l90D>l:;hf`>5<;6F>i5==0;66sm33c94?5=83:p(>6l:238L6c43A9i96gkc;29?lbc2900c?;;:188yg55j3:1?7>50z&01C?h=4H2`6?lbd2900eij50;9l602=831v>950;0x961=:?:01:;5db9~w6?=838p1>95799>7=<5==1v>o50;0x961=0h16?o4=559~w25=83>pR:=4=679602<5=>86im4=5f2>ab73334=>6ij4}rf1>5<3sWn970:o=7jj;|q276<72;qU=>=4=20a>ae52z\27d=:;;<1ho5rs01a>5<5sW;8n63<268gg>{t:?;1<77}Y:1801><::ea8yv4?03:1>vP=899>773=lm1v?k7:181[4b0278>44kc:p772=839p19:<:ef891b62mi01>;4=559>771=lm1v><8:181855?38>863<298g`>{t;;21<7733349957jk;|q06<<72;q6??752468964f2mn0q~==a;296~;4:h09995233`9`a=z{=:?6=4;{_630>;3kk099k52758gf>;4j3ni7p};3e83>7}Y<:n019=k:343?xu3<;0;6?u24559`g=:<=?1>8:4}r677?6=:r7?8>4=559>013=lj1v9:;:181823<38>863;448g`>{t<=<1<7=g<5=><6?;;;|q7gg<72;qU8nl4=5aa>7073ty?h=4?:3y>0a5=lk168i?52468yv2c:3:1>v3;cc8;e>;3l:09995r}c1e=?6=9?0i57m:{I1a1>"40j0:<<5+1b7972>;3:17b?>2;29?l1b2900e<=k:188m2?=831d88h50;9j564=831b:o4?::m7=`<722c:m<4?::k2e7<722c?=o4?::m70=<722e?984?::m14?6=3f>i:7>5;c1f0?6=93:1N4m:1C?o;4oe;94?=zj=h=6=4::183!5?k3n=7E=j3:J0f0=n080;66g73;29?l1?2900e5o50;9l636=831vno<50;194?6|,:2h6i:4H2g0?M5e=2c<47>5;h:b>5<5<4290;w)=7c;f7?M5b;2B8n85f7983>>o?i3:17b<90;29?xdfi3:1?7>50z&05G3c78m2>=831b4l4?::m125<722wim=4?:283>5}#;1i1h95G3d18L6d23`=36=44i9c94?=h:?:1<75rb3294?5=83:p(>6l:e68L6c43A9i96g88;29?l>f2900c?8?:188ygcf29086=4?{%1;g?b33A9n?6F5;n054?6=3th:9k4?:283>5}#;1i1h95G3d18L6d23`=36=44i9c94?=h:?:1<75rb0`0>5<4290;w)=7c;f7?M5b;2B8n85f7983>>o?i3:17b<90;29?xd6=80;6>4?:1y'7=e=l=1C?h=4H2`6?l1?2900e5o50;9l636=831vn5>50;194?6|,:2h6i:4H2g0?M5e=2c<47>5;h:b>5<N4m:1C?o;4i6:94?=n0h0;66a=6183>>{e<d2m>0D>k<;I1a1>o003:17d6n:188k7072900qo:?a;297?6=8r.84n4k4:J0a6=O;k?0e:650;9j=8391<7>t$2:`>a2<@:o87E=m5:k45<6<729q/?5m5d59K7`5<@:h>7d97:188m=g=831d>;>50;9~fcc=83>1<7>t$2:`>a3<@:o87E=m5:k;7?6=3`=36=44i9c94?=h:?:1<75rb031>5<3290;w)=7c;f6?M5b;2B8n85f8283>>o003:17d6n:188k7072900qo7?:180>5<7s-93o7j;;I1f7>N4j<1/i:4?;h5;>5<0;7d97:188m=g=831d>;>50;9~f41729086=4?{%1;g?b33A9n?6F5<4290;w)=7c;f7?M5b;2B8n85+e683?l1?2900e5o50;9l636=831vn<9k:180>5<7s-93o7j;;I1f7>N4j<1/i:4?;h5;>5<0;7d97:188m=g=831d>;>50;9~f41a29086=4?{%1;g?b33A9n?6F5<4290;w)=7c;f7?M5b;2B8n85+e682?l1?2900e5o50;9l636=831vno650;195?5|@:h>7)=7c;3`3>o?m3:17d6i:188k6202900n>k6:180>5<7s-93o7=j2:J0a6=O;k?0eim50;9j`a<722e9994?::p<`<72;qU4h523d;9`a=z{1l1<72mi0q~=;7;296~X4<>16?h752468yxde?3:1?7?53zJ0f0=#;1i1=n94i9g94?=n0o0;66a<4683>>d4m00;6>4?:1y'7=e=;l80D>k<;I1a1>ock3:17djk:188k7332900q~6j:181[>b349n57jk;|q;b?6=:rT3j63{t;==1<786srbc494?5=939pD>l:;%1;g?7d?2c3i7>5;h:e>5<<6=44b2g:>5<4290;w)=7c;1f6>N4m:1C?o;4iea94?=nlm0;66a=5583>>{t0l0;6?uQ8d9>7`?=lm1v5h50;0xZ=`<5:o26im4}r173?6=:rT88:523d;96025}#;1i1i55G3d18L6d23`ni6=44o377>5<?7>52;294~"40j0n46F>{e9d2:;0D>k<;I1a1>ock3:17djk:188k7332900qo:;b;297?6=8r.84n4=569K7`5<@:h>7djl:188mab=831d>8:50;9~f6df29096=4?{%1;g?c?3A9n?6Ft$2:`>`><@:o87E=m5:kgf?6=3f8>87>5;|`735<72;0;6=u+39a9a==O;l90D>l:;hfa>5<N4m:1C?o;4ie`94?=h:<>1<75rb5`a>5<5290;w)=7c;g;?M5b;2B8n85fdc83>>i5==0;66smb583>7<729q/?5m5e99K7`5<@:h>7djm:188k7332900qol::180>5<7s-93o7=>;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`a4?6=:3:1N4m:1C?o;4ie`94?=h:<>1<75rbc394?5=83:p(>6l:238L6c43A9i96gkc;29?lbc2900c?;;:188yggd29096=4?{%1;g?c?3A9n?6Fl:;hf`>5<d2l20D>k<;I1a1>ocj3:17b<:4;29?xdei3:1?7>50z&05G3c78mae=831bhi4?::m111<722winn4?:283>5}#;1i1?<5G3d18L6d23`nh6=44ief94?=h:<>1<75rb52`>5<5290;w)=7c;g;?M5b;2B8n85fdc83>>i5==0;66sm17394?4=83:p(>6l:d:8L6c43A9i96gkb;29?j42<3:17pl>6383>7<729q/?5m5e99K7`5<@:h>7djm:188k7332900qo?93;290?6=8r.84n4<2:J0a6=O;k?0eim50;9j`a<722coi7>5;n060?6=3th:454?:283>5}#;1i1>894H2g0?M5e=2coo7>5;hfg>5<N4m:1C?o;4ie`94?=h:<>1<75rb0:b>5<4290;w)=7c;063>N4m:1C?o;4iea94?=nlm0;66a=5583>>{e91h1<7=50;2x 6>d2:;0D>k<;I1a1>ock3:17djk:188k7332900qo?7c;297?6=8r.84n4=569K7`5<@:h>7djl:188mab=831d>8:50;9~f4>629086=4?{%1;g?563A9n?6F5;n060?6=3th:4?4?:383>5}#;1i1i55G3d18L6d23`ni6=44o377>5<52;294~"40j0n46F8483>6<729q/?5m5309K7`5<@:h>7djl:188mab=831d>8:50;9~f41029096=4?{%1;g?c?3A9n?6F=8381<7>t$2:`>`><@:o87E=m5:kgf?6=3f8>87>5;|`23<<72:0;6=u+39a974=O;l90D>l:;hf`>5<;6F>i5==0;66sm16`94?5=83:p(>6l:238L6c43A9i96gkc;29?lbc2900c?;;:188yg70k3:1?7>50z&01C?h=4H2`6?lbd2900eij50;9l602=831vn<9=:180>5<7s-93o7<:7:J0a6=O;k?0eim50;9j`a<722e9994?::a525=8381<7>t$2:`>`><@:o87E=m5:kgf?6=3f8>87>5;|`231<72=0;6=u+39a977=O;l90D>l:;hf`>5<>i5==0;66sm4b494?3=83:p(>6l:218L6c43A9i96gkc;29?lbc2900eik50;9j`c<722e9994?::a0f1=8381<7>t$2:`>`><@:o87E=m5:kgf?6=3f8>87>5;|`7g=<72;0;6=u+39a9a==O;l90D>l:;hfa>5<N4m:1C?o;4iea94?=nlm0;66a=5583>>{ed2:;0D>k<;I1a1>ock3:17djk:188k7332900qo7=:186>5<7s-93o7<:9:J0a6=O;k?0eim50;9j`a<722coi7>5;hfe>5<5<4290;w)=7c;12?M5b;2B8n85fdb83>>ocl3:17b<:4;29?xd><3:1>7>50z&05G3c78mad=831d>8:50;9~f<3=8391<7>t$2:`>67<@:o87E=m5:kgg?6=3`no6=44o377>5<7djl:188mab=831d>8:50;9~f10129086=4?{%1;g?42?2B8i>5G3c78mae=831bhi4?::m111<722wi8;950;094?6|,:2h6h64H2g0?M5e=2con7>5;n060?6=3th?:54?:283>5}#;1i1?<5G3d18L6d23`nh6=44ief94?=h:<>1<75rb54:>5<5290;w)=7c;g;?M5b;2B8n85fdc83>>i5==0;66sm47c94?5=83:p(>6l:238L6c43A9i96gkc;29?lbc2900c?;;:188yg21j3:1?7>50z&05G3c78mae=831bhi4?::m111<722wi8;m50;194?6|,:2h6?;8;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`72a<72=0;6=u+39a960><@:o87E=m5:kgg?6=3`no6=44ieg94?=h:<>1<75rb54f>5<4290;w)=7c;12?M5b;2B8n85fdb83>>ocl3:17b<:4;29?xd3i<0;6>4?:1y'7=e=:<=0D>k<;I1a1>ock3:17djk:188k7332900qo:n6;296?6=8r.84n4j8:J0a6=O;k?0eil50;9l602=831vn9o8:180>5<7s-93o7=>;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`7e=<72;0;6=u+39a9a==O;l90D>l:;hfa>5<N4m:1C?o;4iea94?=nlm0;66a=5583>>{ed2:;0D>k<;I1a1>ock3:17djk:188k7332900qo:nb;297?6=8r.84n4=569K7`5<@:h>7djl:188mab=831d>8:50;9~f1gd290?6=4?{%1;g?4202B8i>5G3c78mae=831bhi4?::kga?6=3f8>87>5;|`7ea<72:0;6=u+39a974=O;l90D>l:;hf`>5<5<7s-93o7k7;I1f7>N4j<1bho4?::m111<722wi8oh50;094?6|,:2h6h64H2g0?M5e=2con7>5;n060?6=3th?o=4?:383>5}#;1i1i55G3d18L6d23`ni6=44o377>5<h=7>52;294~"40j0n46F6<729q/?5m52458L6c43A9i96gkc;29?lbc2900c?;;:188yg2d<3:187>50z&05G3c78mae=831bhi4?::kga?6=3f8>87>5;|`251<72;0;6=u+39a9a==O;l90D>l:;hfa>5<N4m:1C?o;4ie`94?=h:<>1<75rb035>5<5290;w)=7c;g;?M5b;2B8n85fdc83>>i5==0;66sm10594?4=83:p(>6l:d:8L6c43A9i96gkb;29?j42<3:17pl>1983>7<729q/?5m5e99K7`5<@:h>7djm:188k7332900qo?>9;296?6=8r.84n4j8:J0a6=O;k?0eil50;9l602=831vn5<7s-93o7=;;I1f7>N4j<1bhn4?::kg`?6=3`nn6=44ied94?=nm90;66a=5583>>{e98h1<7:50;2x 6>d2;?37E=j3:J0f0=nlj0;66gkd;29?lbb2900c?;;:188yg71=3:1>7>50z&05G3c78mad=831d>8:50;9~f40029096=4?{%1;g?c?3A9n?6F=8381<7>t$2:`>`><@:o87E=m5:kgf?6=3f8>87>5;|`22<<72;0;6=u+39a9a==O;l90D>l:;hfa>5<N4m:1C?o;4ie`94?=h:<>1<75rb04a>5<5290;w)=7c;g;?M5b;2B8n85fdc83>>i5==0;66sm17a94?4=83:p(>6l:d:8L6c43A9i96gkb;29?j42<3:17pl>6e83>6<729q/?5m5309K7`5<@:h>7djl:188mab=831d>8:50;9~f40b29036=4?{%1;g?523A9n?6F5;hff>5<>ob93:17dk=:188k7332900qo?96;290?6=8r.84n4=599K7`5<@:h>7djl:188mab=831bhh4?::m111<722wi>94?:483>5}#;1i1>874H2g0?M5e=2coo7>5;hfg>5<>i5==0;66sm2783>6<729q/?5m5309K7`5<@:h>7djl:188mab=831d>8:50;9~f71=8381<7>t$2:`>`><@:o87E=m5:kgf?6=3f8>87>5;|`1N4m:1C?o;4ie`94?=h:<>1<75rb3;94?4=83:p(>6l:d:8L6c43A9i96gkb;29?j42<3:17pl=a;296?6=8r.84n4j8:J0a6=O;k?0eil50;9l602=831vn?l50;194?6|,:2h6>?4H2g0?M5e=2coo7>5;hfg>5<5<2290;w)=7c;10?M5b;2B8n85fdb83>>ocl3:17djj:188ma`=831d>8:50;9~f7b=8391<7>t$2:`>7303A9n?6F5;n060?6=3th997>53;294~"40j099:5G3d18L6d23`nh6=44ief94?=h:<>1<75rbda94?5=83:p(>6l:374?M5b;2B8n85fdb83>>ocl3:17b<:4;29?xda=3:1>7>50z&05G3c78mad=831d>8:50;9~fc0=8381<7>t$2:`>`><@:o87E=m5:kgf?6=3f8>87>5;|`e3?6=;3:1N4m:1C?o;4iea94?=nlm0;66a=5583>>{en10;6?4?:1y'7=e=m11C?h=4H2`6?lbe2900c?;;:188yg`>29096=4?{%1;g?c?3A9n?6Fl:;hfa>5<5<5290;w)=7c;g;?M5b;2B8n85fdc83>>i5==0;66smfb83>7<729q/?5m5e99K7`5<@:h>7djm:188k7332900qokk:180>5<7s-93o7=>;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`fa?6=;3:1N4m:1C?o;4iea94?=nlm0;66a=5583>>{emo0;6;4?:1y'7=e=;=1C?h=4H2`6?lbd2900eij50;9j``<722coj7>5;hg3>5<5<4290;w)=7c;063>N4m:1C?o;4iea94?=nlm0;66a=5583>>{en80;6>4?:1y'7=e=:<=0D>k<;I1a1>ock3:17djk:188k7332900qoh=:180>5<7s-93o7=>;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`e7?6=;3:1l:;hf`>5<1<7:50;2x 6>d2;?37E=j3:J0f0=nlj0;66gkd;29?lbb2900c?;;:188yg27m3:1?7>50z&01C?h=4H2`6?lbd2900eij50;9l602=831vn9??:181>5<7s-93o7k7;I1f7>N4j<1bho4?::m111<722wi85;n060?6=3th?=?4?:383>5}#;1i1i55G3d18L6d23`ni6=44o377>5<:?7>52;294~"40j0n46F>{e<8?1<7=50;2x 6>d2;?<7E=j3:J0f0=nlj0;66gkd;29?j42<3:17pl;1783>6<729q/?5m5309K7`5<@:h>7djl:188mab=831d>8:50;9~f170290?6=4?{%1;g?553A9n?6F5;hff>5<N4m:1C?o;4iea94?=nlm0;66a=5583>>{e<<31<7=50;2x 6>d2:;0D>k<;I1a1>ock3:17djk:188k7332900qo::a;297?6=8r.84n4=569K7`5<@:h>7djl:188mab=831d>8:50;9~f13e29086=4?{%1;g?563A9n?6F5;n060?6=3thii7>52;294~"40j0n46Fd2:;0D>k<;I1a1>ock3:17djk:188k7332900qom=:181>5<7s-93o7k7;I1f7>N4j<1bho4?::m111<722wio>4?:383>5}#;1i1i55G3d18L6d23`ni6=44o377>5<>{ek<0;6?4?:1y'7=e=m11C?h=4H2`6?lbe2900c?;;:188yge129086=4?{%1;g?563A9n?6F5;n060?6=3thh;7>53;294~"40j08=6F>i5==0;66smc983>6<729q/?5m52458L6c43A9i96gkc;29?lbc2900c?;;:188ygda290?6=4?{%1;g?553A9n?6F5;hff>5<5<4290;w)=7c;063>N4m:1C?o;4iea94?=nlm0;66a=5583>>{ei=0;6?4?:1y'7=e=m11C?h=4H2`6?lbe2900c?;;:188ygg2290?6=4?{%1;g?4202B8i>5G3c78mae=831bhi4?::kga?6=3f8>87>5;|`b2?6=:3:1N4m:1C?o;4ie`94?=h:<>1<75rb`594?5=83:p(>6l:238L6c43A9i96gkc;29?lbc2900c?;;:188ygg?29086=4?{%1;g?563A9n?6F5;n060?6=3thj57>53;294~"40j099:5G3d18L6d23`nh6=44ief94?=h:<>1<75rs3294?5|V;:01?>5272897e=lj1v?=50;0x976=0h16>84=559~w72=838p1?:52468973=lj1v?850;0x970=:<>01?l5db9~w71=838p1?95246897d=lm1v?650;0x97>=:<>01?m5de9~w7?=838p1?75246897e=ll1v?o50;0x97g=:<>01?m5dg9~w7d=838p1?l5246897b=lj1v?m50;0x97e=:<>01?j5de9~w7b=838p1?j52468973=lm1v;l50;5xZ3d<58<86ij4=0::>ad<582:6ij4=349`f=:n>0oh63;5c8gg>{t?00;6<>t^6;8976=?116il488:?21c<0027:n>488:?214<00273<797;<;3>2><582><58=>6:64=05g>2><58=n6:64=05e>2><582=6:64=52`>ad3=3706?:9c89d4=lk1v5>50;`x9=6=:?:01<8>:e`894>?2mn01<6<:e`8941f2mi01<9<:e`89<2=lk168;75dc9>0d>=lk16j=4kc:?74`=5<5>916584kc:?71d=5=04?:3y>=6<5==165;4kc:p=1<72;q6594=559>=3=0<5==165:4kc:p=3<72;q65;4=559>=20gb=lj168ok5dc9>542=lk16o<4kc:?`6?be34k=6il4}rc2>5<>s4k;6:64=2`;>2><58;96:64=gg93==:km0<463m2;5;?8gb2>201lo5799>e7<5==1vl=50;0x9d6=0h16m44=559~wd2=838p1l:524689d1=lm1vl;50;0x9d3=:<>01l65db9~wd0=838p1l8524689d>=lm1vl950;0x9d1=:<>01l75db9~wd>=838p1l6524689d?=lm1v4j50;:x9dg=:?:01o858g9>fd6im4}rca>5<5s4kj65o4=`f960273334ko6ij4}r;f>5<>s4kn6?8?;<`4>=`<5ki1hn524b49`c=:;f=3no7p}nf;296~;fm32j70l>:377?xue83:1>v3m0;060>;e93no7p}6f;29<~;e:38=<63m8;:e?82d03ni70:l0;fa?876i3no70m>:ef89f5=lk16m84ke:pf6<72;q6n?47a:?a1?42<2wxn94?:3y>f1<5==16n84kd:pf<<72:q6n:47e:?ae?42<27io7jk;|qaf?6=:r7i476j;<``>7333tyih7>54z?a0?be34h;6il4=`a9`g=:k909995rscg94?4|5ko1>8:4=b49`a=z{kl1<78:4=b:9`f=z{j81<78:4=b59`a=z{j>1<78:4=cd9``=z{j<1<78:4=b:9`a=z{j21<7{tmh0;68uQe`9>ad<5>9168n85de9>0gb=ll16ik4kd:pag<72;q6il47a:?e0?42<2wxin4?:3y>af<5==16j94kd:paa<72;q6ii4=559>b5a`<5==16j<4kc:pac<72;q6ik4=559>b4b5<5==16j?4kd:pb4<72;q6j<4=559>b1b7<5==16j>4kd:pb6<72;q6j>4=559>b1b0<5==16ii4kc:pb3<72;q6j;4=559>aab2<5==16ih4kc:pb=<72;q6j54=559>a`b<<5==16ik4kc:pbd<72;q6jl4=559>acbg<5==16ik4kf:pbf<72;q6jn4=559>ac81hn52f48gf>{tno0;6?u2fd8;e>;68909995rs031>5<2sW;:>63>138125=:9>21ho521609`a=:n?0on6s|10194?4|58;965o4=03a>7333ty:=94?:3y>542=:<>01v3>148111=:98k1hh5rs035>5<5s4;::7<:4:?25da;g3?xu6910;6?u210:9602<58;i6im4}r32=?6=:r7:=44=559>54d=ll1v863>1c8g`>{t9:81<73e83>7}Y9:n01<;>:9c8yv7293:18v3>508125=:9<>1ho5247:9`f=:597<:4:?227ad<5=:n6im4=57:>ae?7>52z?216<5==16=8;5db9~w4332909w0?:4;060>;6=<0oh6s|14d94?5|58?m6?8?;<35a?bd34>>57jk;|q225<72;q6=;=5246894022mh0q~?91;296~;6>80999521719`f=z{8<96=4={<356?42<27::>4ke:p532=838p1<;i:9c894012;??7p}>6483>7}:9??1>8:4=04g>ab52z?222<5==16=;k5de9~w40?2909w0?98;060>;6>l0oi6s|17;94?4|58<26?;;;<35a?ba3ty::l4?:3y>53g=:<>01<8j:d38yv71j3:1>v3>6c8111=:9?o1i=5rs04`>5<5s4;=o7<:4:?22`l0;6?u217g9602<58<=6ik4}r35b?6==r7::k4=619>5=e=lj16=;85de9>6<526=:?:01<8n:e`897>=lk16jo4kb:p527=838p1<9?:9c894132;??7p}>7383>7}:9>81>8:4=057>ae52z?236<5==16=::5dd9~w412290hw0?85;054>;37c8gg>;6?=0oh6362;fe?821k3nh70:nb;f`?871j3ni70<;:ea89cg=lk16j?4kc:?7510;6?u21659602<58=26im4}r3452?=lm1v<96:181870138>863>7`8g`>{t9>k1<773334;i1hn52938ga>;3>?0oo63;a48gg>;6>00on63=4;fg?8`42mi019?<:e`8yv70m3:15v3>7e8;e>;6?l09:=52938g`>;3>?0oh63;a48g`>;6>10on63=4;fe?8cd2mi019?>:e`8yv70n3:15v3>7d8;e>;6?o09:=52938gg>;3>h0oo63;a88gg>;6>>0on63=4;ff?8cd2mn019?=:e`8yv7?83:1>v3>7g8;e>;60<09995rs0:2>5<5s4;3=7<:4:?2<052;??70?74;f`?xu60:0;6?u21919602<582?6ij4}r3;0?6=:r7:494=559>5=3=lm1v<69:18g87?>38=<63>8c8gg>;6080oo6363;f`?82103no70:n7;fg?871k3ni70?9d;f`?8412mn01?o5dc9>b25=0=0h16=5m52468yv7?03:1>v3>898111=:91k1hn5rs0::>5<5s4;357<:4:?2f2;??70?7b;fg?xu60k0;6?u219`9602<582h6ij4}r3b5?6=:rT:m<524`f9`f=z{8k96=4={_3b6>;6j:03m6s|1c194?5|58h86?8?;<367?be34386ij4}r065?6=:r78i94k9:?7f37}:;k214l523cc9602;m7>54z\74d=:<9k1>;>4=gg9<6=:98814>5rs52a>5<2s4>;m797;<6:a?1?34>>j797;<67;o7<:4:p05b=838p19>n:9c8916a2;??7p};0d83>7}:<9o1>8:4=536>ae:<7>52z?755<5==168<;5de9~w1762909w0:>1;060>;39>0oo6s|40094?4|5=;96?;;;<622?bd3ty?=>4?:3y>045=:<>019?9:ef8yv26<3:1>v3;158111=:<9l1hi5rs536>5<5s4>:97<:4:?7527;ff?xu39>0;6?u24059602<5=:m6im4}r62f?6=:rT?=o521129`g=z{=9o6=4={_60`>;6910on6s|45:94?4|V=>370:;8;054>{t<=k1<7=g<5=>i6?;;;|q710<72;qU88;4=575>7333ty?954?:3y>000=lk1688l52468yv2213:1>v3;588111=:<5<5s4>>m7<:4:?71g>j7<90:?722?0;6?u24749602<5=03g=lm1v987:181821038>863;6c8gg>{t73334>=n7jk;|q72d<72;q68;o52468910d2mn0q~:9b;296~;3>k09995247f9``=z{=7}:<2?7>52z\7=6=:9831ho5rs5;f>5<4sW>2i63;9d8125=:5<5s4>jj7jm;<6b`?42<2wx8l;50;0x91g22;??70:nc;fg?xu3i?0;6?u24`49602<5=k26ij4}r6b3?6=:r7?m:4=559>0dg=lj1v9o7:18182f038>863;a`8g`>{t73334>jn7jk;|q7ed<72;q68lo5246891gd2mo0q~:nb;296~;3ik0999524`a9`f=z{=kh6=4={<6bg?42<27?mi4kd:p0dc=838p197j:9c891ga2;??7p};b783>6}Y7}:io7>52z?7f3;3k:0oo6s|4cg94?4|5=hn6?;;;<6`6?bd3ty?nk4?:3y>0g`=:<>019m=:ef8yv2d83:1>v3;c18111=:1hn5rs5a2>5<5s4>h=7<:4:?7g138>863;c`8gg>{t73334>h57jl;|q7g=<72;q68n65246891e>2mn0q~:l9;296~;3k00999524bc9`a=z{=ii6=4={_6`f>;4jh0on6srb2d5>5d21>0(=::188m25=831d8?950;9j==<722c8:54?::k71f<722e?5:4?::m5f?6=3`>o87>5;n055?6=3`83>7>5;c1f0?6=93:1N4m:1C?o;4oe;94?=zj:n86=4<:183!5?k3n?7E=j3:J0f0=#m>0;7d97:188m=g=831d>;>50;9~f6b729086=4?{%1;g?b33A9n?6F5<4290;w)=7c;f7?M5b;2B8n85+e683?l1?2900e5o50;9l636=831vn>m6:180>5<7s-93o7j;;I1f7>N4j<1/i:4?;h5;>5<5<7s-93o7j9;I1f7>N4j<1b4<4?::k;7?6=3`=36=44i9c94?=h:?:1<75rb30`>5<2290;w)=7c;f5?M5b;2B8n85f8083>>o?;3:17d97:188m=g=831d>;>50;9~f74?290>6=4?{%1;g?b13A9n?6F5;h5;>5<5<7s-93o7j9;I1f7>N4j<1b4<4?::k;7?6=3`=36=44i9c94?=h:?:1<75rb33`>5<2290;w)=7c;f5?M5b;2B8n85f8083>>o?;3:17d97:188m=g=831d>;>50;9~f77?290>6=4?{%1;g?b13A9n?6F5;h5;>5<5<7s-93o7j9;I1f7>N4j<1b4<4?::k;7?6=3`=36=44i9c94?=h:?:1<75rb2c6>5<2290;w)=7c;f5?M5b;2B8n85+e683?l>62900e5=50;9j3=<722c3m7>5;n054?6=3th8m<4?:483>5}#;1i1h;5G3d18L6d23-o<6=5f8083>>o?;3:17d97:188m=g=831d>;>50;9~f6?c290>6=4?{%1;g?b13A9n?6F0<729q/?5m5d79K7`5<@:h>7)k8:19j<4<722c3?7>5;h5;>5<d2m>0D>k<;I1a1>o003:17d6n:188k7072900qoj?:180>5<7s-93o7j;;I1f7>N4j<1b;54?::k;e?6=3f8=<7>5;|`762<72:0;6=u+39a9`1=O;l90D>l:;h5;>5<t$2:`>a2<@:o87E=m5:k45<53;294~"40j0o86F21<75f8`83>>i5>90;66sm44g94?5=83:p(>6l:e68L6c43A9i96g88;29?l>f2900c?8?:188yg7ck3:197>50z&02B8i>5G3c78m=7=831b4>4?::k45<55;294~"40j0o:6F>o003:17d6n:188k7072900qo=61;291?6=8r.84n4k6:J0a6=O;k?0e5?50;9j<6<722c<47>5;h:b>5<N4m:1C?o;4i9394?=n0:0;66g88;29?l>f2900c?8?:188yg54n3:197>50z&02B8i>5G3c78m=7=831b4>4?::k45<53;294~"40j0o86Ff2900c?8?:188yg7ei3:1?7>50z&05G3c78 `1=82c<47>5;h:b>5<5<:8;<1f=?42<2wvn>on:180>4<4sA9i96*<8b82g2=n0l0;66g7f;29?j53?3:17o=j9;297?6=8r.84n47djl:188mab=831d>8:50;9~w=c=838pR5k4=2g:>ab7}Y;==01>k6:377?x{e;h31<7=51;1xL6d23-93o7?l7:k;a?6=3`2m6=44o264>5<6F>i5==0;66s|8d83>7}Y0l16?h75de9~w=`=838pR5h4=2g:>ae52z\002=:;l31>8:4}|`0`=<72:0:6>uG3c78 6>d28i<7d6j:188m=`=831d?9950;9a7`?=8391<7>t$2:`>6c53A9n?6F5;n060?6=3ty3i7>52z\;a>;4m00oh6s|8g83>7}Y0o16?h75db9~w6202909wS=;7:?0a<<5==1vqo=k7;297?7=;rB8n85+39a95f1>i4<>0;66l6<729q/?5m53d08L6c43A9i96gkc;29?lbc2900c?;;:188yv>b2909wS6j;<1f=?bc3ty3j7>52z\;b>;4m00oo6s|35594?4|V:><70=j9;060>{zj:n=6=4<:080M5e=2.84n4>c69j<`<722c3j7>5;n173?6=3k9n57>53;294~"40j08i?5G3d18L6d23`nh6=44ief94?=h:<>1<75rs9g94?4|V1o01>k6:ef8yv>a2909wS6i;<1f=?bd3ty88:4?:3y]711<5:o26?;;;|a663=8391=7=tH2`6?!5?k3;h;6g7e;29?l>a2900c>:8:188f6c>29086=4?{%1;g?5b:2B8i>5G3c78mae=831bhi4?::m111<722wx4h4?:3y]<`=:;l31hi5rs9d94?4|V1l01>k6:ea8yv53?3:1>vP<469>7`?=:<>0qpl=3783>6<62:qC?o;4$2:`>4e03`2n6=44i9d94?=h;==1<75m3d;94?5=83:p(>6l:2g1?M5b;2B8n85fdb83>>ocl3:17b<:4;29?xu?m3:1>vP7e:?0a<5<5sW9?;6353;397~N4j<1/?5m51b58m=c=831b4k4?::m002<722h8i44?:283>5}#;1i1?h<4H2g0?M5e=2coo7>5;hfg>5<5<5sW2n70=j9;fg?xu?n3:1>vP7f:?0a<"40j0:o:5f8d83>>o?n3:17b=;7;29?g5b13:1?7>50z&05<5sW2m70=j9;f`?xu4<>0;6?uQ355896c>2;??7psm22;94?5=939pD>l:;%1;g?7d?2c3i7>5;h:e>5<<6=44b2g:>5<4290;w)=7c;1f6>N4m:1C?o;4iea94?=nlm0;66a=5583>>{t0l0;6?uQ8d9>7`?=lm1v5h50;0xZ=`<5:o26im4}r173?6=:rT88:523d;96026}O;k?0(>6l:0a4?l>b2900e5h50;9l711=831i?h750;194?6|,:2h6>k=;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|q;a?6=:rT3i63{t0o0;6?uQ8g9>7`?=lj1v>:8:181[53?278i44=559~yg44j3:1?7?53zJ0f0=#;1i1=n94i9g94?=n0o0;66a<4683>>d4m00;6>4?:1y'7=e=;l80D>k<;I1a1>ock3:17djk:188k7332900q~6j:181[>b349n57jk;|q;b?6=:rT3j63{t;==1<786srb31`>5<42808wE=m5:&01b4h4?::k;b?6=3f9?;7>5;c1f=?6=;3:1l:;hf`>5<2mn0q~6i:181[>a349n57jl;|q002<72;qU?994=2g:>7333twi>>=50;195?5|@:h>7)=7c;3`3>o?m3:17d6i:188k6202900n>k6:180>5<7s-93o7=j2:J0a6=O;k?0eim50;9j`a<722e9994?::p<`<72;qU4h523d;9`a=z{1l1<72mi0q~=;7;296~X4<>16?h752468yxd5;=0;6>4>:2yK7g3<,:2h65<d2:o97E=j3:J0f0=nlj0;66gkd;29?j42<3:17p}7e;296~X?m278i44kd:p<6=4={_173>;4m009995r}c006?6=;3;1?vF5<:8;<1f=?42<2wvn4o50;094?6|,:2h6h64H2g0?M5e=2con7>5;n060?6=3th2n7>53;294~"40j08=6F>i5==0;66sm3c694?5=83:p(>6l:238L6c43A9i96gkc;29?lbc2900c?;;:188yg54m3:1>7>50z&05G3c78mad=831d>8:50;9~f77529096=4?{%1;g?c?3A9n?6Ft$2:`>67<@:o87E=m5:kgg?6=3`no6=44o377>5<52;294~"40j0n46F7<729q/?5m5e99K7`5<@:h>7djm:188k7332900qo<>b;297?6=8r.84n4<1:J0a6=O;k?0eim50;9j`a<722e9994?::a64c=8381<7>t$2:`>`><@:o87E=m5:kgf?6=3f8>87>5;|`15c<72:0;6=u+39a974=O;l90D>l:;hf`>5<>{e:;91<7=50;2x 6>d2:;0D>k<;I1a1>ock3:17djk:188k7332900qo<=6;296?6=8r.84n4j8:J0a6=O;k?0eil50;9l602=831vn?<8:180>5<7s-93o7=>;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`16d<72;0;6=u+39a9a==O;l90D>l:;hfa>5<N4m:1C?o;4iea94?=nlm0;66a=5583>>{e:;o1<7<50;2x 6>d2l20D>k<;I1a1>ocj3:17b<:4;29?xd5:o0;6>4?:1y'7=e=;81C?h=4H2`6?lbd2900eij50;9l602=831vn5<7s-93o7<:7:J0a6=O;k?0eim50;9j`a<722e9994?::a5`>=8381<7>t$2:`>`><@:o87E=m5:kgf?6=3f8>87>5;|`2a<<72:0;6=u+39a974=O;l90D>l:;hf`>5<>{e9ll1<7=50;2x 6>d2:;0D>k<;I1a1>ock3:17djk:188k7332900qo<:0;297?6=8r.84n4<1:J0a6=O;k?0eim50;9j`a<722e9994?::a61c=8391<7>t$2:`>67<@:o87E=m5:kgg?6=3`no6=44o377>5<53;294~"40j08=6F>i5==0;66sm25c94?5=83:p(>6l:238L6c43A9i96gkc;29?lbc2900c?;;:188yg4303:1?7>50z&05G3c78mae=831bhi4?::m111<722wi>9850;194?6|,:2h6>?4H2g0?M5e=2coo7>5;hfg>5<N4m:1C?o;4iea94?=nlm0;66a=5583>>{e:=81<7=50;2x 6>d2:;0D>k<;I1a1>ock3:17djk:188k7332900qo<;0;297?6=8r.84n4<1:J0a6=O;k?0eim50;9j`a<722e9994?::a66c=8391<7>t$2:`>67<@:o87E=m5:kgg?6=3`no6=44o377>5<53;294~"40j08=6F>i5==0;66sm3e094?5=83:p(>6l:238L6c43A9i96gkc;29?lbc2900c?;;:188yg5dn3:1?7>50z&05G3c78mae=831bhi4?::m111<722wi?nl50;094?6|,:2h6h64H2g0?M5e=2con7>5;n060?6=3th8on4?:283>5}#;1i1?<5G3d18L6d23`nh6=44ief94?=h:<>1<75rb2fb>5<4290;w)=7c;12?M5b;2B8n85fdb83>>ocl3:17b<:4;29?xd4lj0;6>4?:1y'7=e=;81C?h=4H2`6?lbd2900eij50;9l602=831vn>o8:181>5<7s-93o7k7;I1f7>N4j<1bho4?::m111<722wi?l650;194?6|,:2h6>?4H2g0?M5e=2coo7>5;hfg>5<N4m:1C?o;4ie`94?=h:<>1<75rb2c7>5<4290;w)=7c;12?M5b;2B8n85fdb83>>ocl3:17b<:4;29?xd41o0;6?4?:1y'7=e=m11C?h=4H2`6?lbe2900c?;;:188yg5f83:1?7>50z&05G3c78mae=831bhi4?::m111<722wi?4o50;094?6|,:2h6h64H2g0?M5e=2con7>5;n060?6=3th85o4?:383>5}#;1i1i55G3d18L6d23`ni6=44o377>5<53;294~"40j08=6F>i5==0;66sm3`f94?5=83:p(>6l:238L6c43A9i96gkc;29?lbc2900c?;;:188yg5fn3:1?7>50z&05G3c78mae=831bhi4?::m111<722wi=n<50;094?6|,:2h6h64H2g0?M5e=2con7>5;n060?6=3th:o>4?:283>5}#;1i1?<5G3d18L6d23`nh6=44ief94?=h:<>1<75rb0`6>5<3290;w)=7c;11?M5b;2B8n85fdb83>>ocl3:17djj:188k7332900qo=63;297?6=8r.84n4<1:J0a6=O;k?0eim50;9j`a<722e9994?::a7<2=8381<7>t$2:`>`><@:o87E=m5:kgf?6=3f8>87>5;|`0=0<72;0;6=u+39a9a==O;l90D>l:;hfa>5<N4m:1C?o;4iea94?=nlm0;66a=5583>>{e;0=1<7=50;2x 6>d2:;0D>k<;I1a1>ock3:17djk:188k7332900qo?k6;29=?6=8r.84n4<6:J0a6=O;k?0eim50;9j`a<722coi7>5;hfe>5<>ob:3:17dk<:188k7332900qo?k7;297?6=8r.84n4<1:J0a6=O;k?0eim50;9j`a<722e9994?::a5a>=8381<7>t$2:`>`><@:o87E=m5:kgf?6=3f8>87>5;|`2`<<72:0;6=u+39a974=O;l90D>l:;hf`>5<dc83>6<729q/?5m5309K7`5<@:h>7djl:188mab=831d>8:50;9~f4`629096=4?{%1;g?c?3A9n?6F=8381<7>t$2:`>`><@:o87E=m5:kgf?6=3f8>87>5;|`2b<<72;0;6=u+39a9a==O;l90D>l:;hfa>5<N4m:1C?o;4ie`94?=h:<>1<75rb0da>5<5290;w)=7c;g;?M5b;2B8n85fdc83>>i5==0;66sm1ga94?4=83:p(>6l:d:8L6c43A9i96gkb;29?j42<3:17pl>fe83>7<729q/?5m5e99K7`5<@:h>7djm:188k7332900qo?ie;296?6=8r.84n4j8:J0a6=O;k?0eil50;9l602=831vn5<7s-93o7k7;I1f7>N4j<1bho4?::m111<722wi=k<50;094?6|,:2h6h64H2g0?M5e=2con7>5;n060?6=3th:j>4?:383>5}#;1i1i55G3d18L6d23`ni6=44o377>5<52;294~"40j0n46F6=46:183!5?k39=7E=j3:J0f0=nlj0;66gkd;29?lbb2900eih50;9ja5<722cn=7>5;hg1>5<5<7s-93o7=>;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`07=<72:0;6=u+39a974=O;l90D>l:;hf`>5<>{e;:k1<7<50;2x 6>d2l20D>k<;I1a1>ocj3:17b<:4;29?xd4;k0;6>4?:1y'7=e=;81C?h=4H2`6?lbd2900eij50;9l602=831vn>=l:180>5<7s-93o7=>;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`2ff<72;0;6=u+39a9a==O;l90D>l:;hfa>5<N4m:1C?o;4ie`94?=h:<>1<75rb0`f>5<4290;w)=7c;12?M5b;2B8n85fdb83>>ocl3:17b<:4;29?xd6jo0;6>4?:1y'7=e=;81C?h=4H2`6?lbd2900eij50;9l602=831vn5<7s-93o7<:7:J0a6=O;k?0eim50;9j`a<722e9994?::a5g>=83>1<7>t$2:`>64<@:o87E=m5:kgg?6=3`no6=44ieg94?=h:<>1<75rb0`:>5<4290;w)=7c;063>N4m:1C?o;4iea94?=nlm0;66a=5583>>{e;=>1<7<50;2x 6>d2l20D>k<;I1a1>ocj3:17b<:4;29?xd4<<0;6?4?:1y'7=e=m11C?h=4H2`6?lbe2900c?;;:188yg53>3:187>50z&05G3c78mae=831bhi4?::kga?6=3f8>87>5;|`144<72;0;6=u+39a9a==O;l90D>l:;hfa>5<N4m:1C?o;4ie`94?=h:<>1<75rb320>5<5290;w)=7c;g;?M5b;2B8n85fdc83>>i5==0;66sm21694?4=83:p(>6l:d:8L6c43A9i96gkb;29?j42<3:17pl=0483>6<729q/?5m52458L6c43A9i96gkc;29?lbc2900c?;;:188yg47>3:1?7>50z&05G3c78mae=831bhi4?::m111<722wi>=950;194?6|,:2h6?;8;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`14=<72:0;6=u+39a974=O;l90D>l:;hf`>5<>{e9ml1<7<50;2x 6>d2l20D>k<;I1a1>ocj3:17b<:4;29?xd6m90;6?4?:1y'7=e=m11C?h=4H2`6?lbe2900c?;;:188yg7b93:187>50z&05G3c78mae=831bhi4?::kga?6=3f8>87>5;|`2a7<72:0;6=u+39a9601<@:o87E=m5:kgg?6=3`no6=44o377>5<53;294~"40j08=6F>i5==0;66sm1d694?5=83:p(>6l:374?M5b;2B8n85fdb83>>ocl3:17b<:4;29?xd1l3:1>7>50z&05G3c78mad=831d>8:50;9~f3c=8381<7>t$2:`>`><@:o87E=m5:kgf?6=3f8>87>5;|`5b?6=;3:1N4m:1C?o;4iea94?=nlm0;66a=5583>>{e?90;6>4?:1y'7=e=;81C?h=4H2`6?lbd2900eij50;9l602=831vn:?50;194?6|,:2h6?;8;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`46?6=;3:1N4m:1C?o;4iea94?=nlm0;66a=5583>>{e<;k1<7=50;2x 6>d2:;0D>k<;I1a1>ock3:17djk:188k7332900qo:=b;296?6=8r.84n4j8:J0a6=O;k?0eil50;9l602=831vn95<7s-93o7k7;I1f7>N4j<1bho4?::m111<722wi8?j50;194?6|,:2h6>?4H2g0?M5e=2coo7>5;hfg>5<l:;hf`>5<6<729q/?5m52458L6c43A9i96gkc;29?lbc2900c?;;:188yg41;3:197>50z&05G3c78mae=831bhi4?::kga?6=3`nm6=44o377>5<52;294~"40j0n46F>{e:?k1<7<50;2x 6>d2l20D>k<;I1a1>ocj3:17b<:4;29?xd5>k0;6?4?:1y'7=e=m11C?h=4H2`6?lbe2900c?;;:188yg41k3:1>7>50z&05G3c78mad=831d>8:50;9~f70c29086=4?{%1;g?42?2B8i>5G3c78mae=831bhi4?::m111<722wi>;k50;194?6|,:2h6>?4H2g0?M5e=2coo7>5;hfg>5<N4m:1C?o;4iea94?=nlm0;66a=5583>>{e:?>1<7=50;2x 6>d2;?<7E=j3:J0f0=nlj0;66gkd;29?j42<3:17pl=6483>6<729q/?5m52458L6c43A9i96gkc;29?lbc2900c?;;:188yg41>3:1?7>50z&05G3c78mae=831bhi4?::m111<722wi>;950;194?6|,:2h6?;8;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`14d<72:0;6=u+39a974=O;l90D>l:;hf`>5<7<729q/?5m5e99K7`5<@:h>7djm:188k7332900qo7djl:188mab=831d>8:50;9~f76b290?6=4?{%1;g?553A9n?6F5;hff>5<l:;hf`>5<37<5==1v;j50;0x93b=:<>01;h5de9~w3c=838p1;k52468926=lm1v;h50;0x93`=:<>01:?5db9~w26=838p1:>52468927=lm1v:?50;0x927=:<>01:<5de9~w25=833pR:=4=2f6>ae<5:n96im4=2ae>ae<5:ih6im4=322>ad<58o:6im4=345>ae<5;:i6im4}r:1>5<5:rT3>63;4l90<463;4k00<463>eb84<>;6m?0<463=2b84<>;5:10<463=2584<>;5:90<463=1b84<>;5910<463=1584<>;5990<463;4i80<463<9e84<>;4110<463k1;5;?8b72>2019<8:6:891?02>201;l5799>637=?116=i:5799>00c=?116=im5799>5`3=?116?4?5799>763=?116?>h5799>5g0=?116=oo5799~w<>=838pR464=e29=7<5:9>65?4=21e>=7<5:3365?4=2c6>=7<5:k:65?4=2;g>=7<50h1>8:4=2`7>ab<58h>6im4=32b>ae733343i6ij4}raf>5<4s4n:65o4=e29636<50k1ho5rsbd94?4|5m;1>;>4=8`9`f=z{8h?6=4;{<3a1?42<27:ni4kb:?2f`b88111=z{8h36=4={<3a65e=lk1v{t9ki1<773334;ii7jk;|q2fa<72;q6=oj5246894da2mn0q~?me;296~;6jl0999521b29`f=z{8hm6=4={<3ab?42<27:o=4kd:p5f7=838p1c583>46|5=3<65o4=331>ad<5;;=6il4=33b>ad<5;;n6il4=301>ad<5;8=6il4=30b>ad<5;8n6il4=0ga>ab<58o36il4=0gf>ad<58i86?;;;<030?be34;oi7jm;<3f5?bc3ty:h94?:3y>5a2=:?:01v3>d58;e>;6lk09995rs0f5>5<5s4;o:7<:4:?2`g5ag=lm1v863>dc8g`>{t9j21<79t=0f`>7073488>76j;<00a?bc34;o47jm;<3e5ae=0h16=h:52468yv7cm3:1>v3>dd8111=:9l81hn5rs0fe>5<5s4;oj7<:4:?2a750;0x94c72;??70?j3;fg?xu6m80;6?u21d39602<58o?6ij4}r3f6?6=:r7:i?4=559>5`5=lj1v863>e58gg>{t9jh1<78t=0g6>7073488>76i;<00a?bd34;o:7jl;<3e5?be348=o7jm;|q2g<<72v3>e78;e>;6m009995rs0g;>5<5s4;n47<:4:?2a<c`83>1}:9li1>;>4=317>=`<58n26im4=0d7>ad52z?2af;6mo0oh6s|1g294?2|58l<6?;;;<037?be34;oj7jm;<03`?bd3ty:j<4?:3y>5c7=:<>01v3>f38111=:9o<1hi5rs0d0>5<5s4;m?7<:4:?2b35c1=lm1v863>f48g`>{t9o31<773334;m97jj;|q2bd<72;q6=ko5246894`22ml0q~?ib;296~;6nk0999521g79a5=z{8lh6=4={<3eg?42<27:j84j1:p5cb=838p1fd83>7}:9oo1>8:4=0d6>`552z?2bc<5==16=k85db9~w7672909w0?j5;:b?847038>86s|21394?4|5;::6?;;;<031?bd3ty9654=:<>01?>::ef8yv47;3:1>v3=028111=::9<1hi5rs327>5<5s48;87<:4:?142=;50;0x97622;??7065>=lm1v?>6:18087ck32:70?j5;:2?847n38>86s|21c94?4|5;:j6?;;;<03b?bd3ty965d=:<>01?>k:ef8yv47k3:1>v3=0b8111=::9o1hh5rs32g>5<5s48;h7<:4:?14`=k50;0x976b2;??7065h4=363>ae<58n=6ij4=0d:>ad52z?1554=559~w7752909w0<>2;060>;59:0oh6s|1bf94?3|5;;?6?8?;<002?>a348?>7jl;<3g2?ba34;mn7jm;|q150<72;q6><:58`9>641=:<>0q~<>6;296~;59?0999522059`a=z{8in6=4:{<02<750;0x977?21k01??m:377?xu59h0;6?u220c9602<5;;i6ij4}r3`b?6==r79=n4=619>66>=0o16>985db9>5a0=m916=km5dc9~w77c2909w0<>c;:b?846n38>86s|20g94?4|5;;n6?;;;<02b?bc3ty:h=4?:4y>676=:?:01?=6:9d8972?2mi01>4kd:p5a7=83?p1?<;:343?844i32m70<;a;f`?87c>3o:70?id;fa?xu5:<0;6?u223698:4}r012?6=:r79>;4=559>671=lm1v;5d78f7>;6no0on6s|23;94?4|5;8365o4=30a>7333ty9>l4?:3y>67g=:<>01?f3489j7<:4:p67c=838p1?6}:::?14h522529`a=:::o1>8:4}r00b?6=;r79?;47e:?107{t:=91<7=t=31;>=c<5;>=6ij4=367>7333ty9884?:2y>66?=0l16>965de9>610=:<>0q~<;7;297~;5;h03i63=4`8g`>;5<109995rs36:>5<4s488n76j;<07g?bc348?m7<:4:p61d=839p1?=l:9g8972b2mn01?:l:377?xu5u22219<`=::<:1hi5225g960252z?171;6mj03?63>e78;7>;5:j03?63=298;7>;5:=03?63=218;7>;59j03?63=198;7>;59=03?63=118;7>;4i<03?63;41m03?63<998;7>;6lj03?63>e48;7>;41803?63<348;7>;4;o03?6s|27394?5|V;<:70<91;054>;5>m0oo6s|27094?4|5;<:65o4=344>7333ty9:>4?:3y>635=:<>01?8k:ef8yv41<3:1>v3=658111=::??1hi5rs346>5<5s48=97<:4:?123;850;0x97012;??70<97;f`?xu5>10;6?u227:9602<5;63c=lm1v?8n:181841i38>863=658g`>{t:?h1<7733348=j7jl;|q12f<72;q6>;m52468970a2mn0q~<9d;296~;5>m0999522779`f=z{;7}Y:1801?87:e`8yv54=3:1>vP<349>763=:?:0q~=<7;296~;4;l0on63<3b8111=z{:936=4={<10=6:377?854j3nh7p}<3`83>7}:;:k1>8:4=21a>ab52z?07g<5==16?>m5de9~w65c2909w0=<5;:b?854m38>86s|32d94?4|V:9m70={t;=91<7=g<5:>=6?;;;|q001<72;q6?9:5246896212mi0q~=;5;296~;4<<0999523549``=z{:?<6=4;{_163>;58;0on63>e08ga>;58k0oh6s|37:94?4|V:<370<99;fa?xu4180;69u23839636<5:h?6im4=0`6>ab<5;:j6ij4}r1:6?6=:r785<47a:?0=2<5==1v>7<:18185>;38>863<968gg>{t;0>1<77333492:7jl;|q0=0<72;q6?4;5246896?12mn0q~=66;296~;41?0999523859`a=z{:2o6=49{<1:77:9c896?d2;??7p}<9`83>7}:;0k1>8:4=2;`>ae52z?0=g<5==16?4m5de9~w6>b290=w0=6d;054>;4i003j63;41=0on63<398gg>;4<<0on6s|38g94?4|5:3o65o4=2c3>7333ty85k4?:3y>7<`=:<>01>o?:ef8yv5?n3:1:v31ho5rs2c1>5<5s49j=76n;<1b0?42<2wx?l=50;0x96g42;??70=n4;fg?xu4190;68u23`79636<5:ki65h4=2;0>ab<5:936ij4=265>ab52z?0e0;4i10oh6s|3`a94?5|5:kj65k4=2cg>733349jj7jk;|q0e`<72;q6?ll58d9>7d`=:<>0q~=m0;296~;4i0088:523`29`f=z{:h:6=4={<1be?53?278m94kc:p7g4=838p1>om:264?85f03nh7p}3}:;k>1>8:4=0ga>ae<5:k<6il4=2c0>ad<5:3m6il4=2;b>ad55z?0g<<5>916?i858d9>7fd=lk16?io5de9>635=lj1v>mn:18185d132j70=lc;060>{t;jh1<7733349ho7jk;|q0g3<72=q6?nj5272896b121l01>jn:ea897042mn0q~=le;296~;4km03m634ke:p7a7=838p1>j?:9c896b52;??7p}6}:;m91>;>4=2f;>=`<5;<86ih4}r1g0?6=:r78h>47a:?0`0<5==1v>j6:18085c?32n70=ka;060>;4lj0oh6s|3e`94?4|5:n365k4=2f`>7333ty8hi4?:3y>7a0=;==01>mi:ef8yv5cm3:1>v35<5s49o47=;7:?0`0<705``=lj1v>kj:181844=39?;63=128gg>{t;ll1<7620348:;7jl;|q0b5<72;q6>>953558977e2mi0q~=i1;296~;5;1088:5220d9`f=z{:l96=4={<00=?53?279>>4kc:p7c5=838p1?=n:264?845?3nh7p}7}:::h1?994=30a>ae52z?17f<4<>16>?h5db9~w140290>wS:=7:?762<5>916:h4kb:?76dh4kc:p07?=838p19<8:9c891572;??7p};2`83>7}:<;k1>8:4=513>ae9n7>52z?76g<5==168?j5db9~w14d2909w0:=c;060>;3:m0oh6s|43f94?4|5=8o6?;;;<61a?bc3ty?>h4?:3y>07c=:<>019v3;2g8111=:<::1hi5rs57`>5<5sW>>o63;5d8;e>{t<70734;i47jk;<61g?be3ty?5:4?:3y]0<1<5=3<6?8?;|q7`1<72;qU8i:4=34b>ad5}#;1i1i55G3d18L6d23`ni6=44o377>5<:57>52;294~"40j0n46F>{e;ol1<7<50;2x 6>d2l20D>k<;I1a1>ocj3:17b<:4;29?xd3890;6>4?:1y'7=e=;81C?h=4H2`6?lbd2900eij50;9l602=831vn979:181>5<7s-93o7k7;I1f7>N4j<1bho4?::m111<722wi8=850;094?6|,:2h6h84H2g0?M5e=2con7>5;n060?6=3th?m=4?:383>5}#;1i1i;5G3d18L6d23`ni6=44o377>5<997>52;294~"40j0n:6Fd2l<0D>k<;I1a1>ocj3:17b<:4;29?xdc;3:1>7>50z&02B8i>5G3c78mad=831d>8:50;9~f12629096=4?{%1;g?c13A9n?6Ft$2:`>`0<@:o87E=m5:kgf?6=3f8>87>5;|`7gc<72;0;6=u+39a9a3=O;l90D>l:;hfa>5<5<5290;w)=7c;g5?M5b;2B8n85fdc83>>i5==0;66sm7`83>7<729q/?5m5e79K7`5<@:h>7djm:188k7332900qo:91;296?6=8r.84n4j6:J0a6=O;k?0eil50;9l602=831vn9j9:181>5<7s-93o7k9;I1f7>N4j<1bho4?::m111<722wi:?4?:383>5}#;1i1i;5G3d18L6d23`ni6=44o377>5<>{e>90;6?4?:1y'7=e=m?1C?h=4H2`6?lbe2900c?;;:188yg3a29096=4?{%1;g?c13A9n?6Fl:;hfa>5<5<5290;w)=7c;g5?M5b;2B8n85fdc83>>i5==0;66sm5c83>7<729q/?5m5e79K7`5<@:h>7djm:188k7332900qo;n:181>5<7s-93o7k9;I1f7>N4j<1bho4?::m111<722wi944?:383>5}#;1i1i;5G3d18L6d23`ni6=44o377>5<>{e=>0;6?4?:1y'7=e=m?1C?h=4H2`6?lbe2900c?;;:188yg3129096=4?{%1;g?c13A9n?6Fl:;hfa>5<5<5290;w)=7c;g5?M5b;2B8n85fdc83>>i5==0;66sm6`83>7<729q/?5m5e79K7`5<@:h>7djm:188k7332900qo86:181>5<7s-93o7k9;I1f7>N4j<1bho4?::m111<722wi:54?:383>5}#;1i1i;5G3d18L6d23`ni6=44o377>5<>{e>?0;6?4?:1y'7=e=m?1C?h=4H2`6?lbe2900c?;;:188yg0229096=4?{%1;g?c13A9n?6Fl:;hfa>5<5<5290;w)=7c;g5?M5b;2B8n85fdc83>>i5==0;66sm5d83>7<729q/?5m5e79K7`5<@:h>7djm:188k7332900qo:64;290?6=8r.84n4>029K7`5<@:h>7djm:188m<>=831d>8:50;9la<<722wi8>h50;094?6|,:2h6?;:;I1f7>N4j<1bho4?::m111<722wi8nj50;094?6|,:2h6?;:;I1f7>N4j<1bho4?::m111<722wi84j50;094?6|,:2h6?;:;I1f7>N4j<1bho4?::m111<722wi;i4?:383>5}#;1i1>8;4H2g0?M5e=2con7>5;n060?6=3th?5?4?:383>5}#;1i1>8;4H2g0?M5e=2con7>5;n060?6=3th?454?:383>5}#;1i1>8;4H2g0?M5e=2con7>5;n060?6=3th???4?:383>5}#;1i1>8;4H2g0?M5e=2con7>5;n060?6=3th?>54?:383>5}#;1i1>8;4H2g0?M5e=2con7>5;n060?6=3th?<54?:283>5}#;1i1>884H2g0?M5e=2con7>5;h;;>5<l:;hfa>5<l:;hfa>5<l:;hfa>5<96F96F96F96F26=4=:183!5?k38>96F:6F>i5==0;66sm4c:94?5=83:p(>6l:375?M5b;2B8n85fdc83>>o>03:17b<:4;29?xd3k<;I1a1>ocj3:17b<:4;29?xd3=>0;6?4?:1y'7=e=:k<;I1a1>ocj3:17b<:4;29?xd39m0;6?4?:1y'7=e=:k<;I1a1>ocj3:17b<:4;29?xd5j?0;6?4?:1y'7=e=:k<;I1a1>ocj3:17b<:4;29?xd5j90;6?4?:1y'7=e=:k<;I1a1>ocj3:17b<:4;29?xd5m;0;6?4?:1y'7=e=:k<;I1a1>ocj3:17b<:4;29?xd5lj0;6?4?:1y'7=e=:k<;I1a1>ocj3:17b<:4;29?xd5l?0;6?4?:1y'7=e=:k<;I1a1>ocj3:17b<:4;29?xd5l90;6?4?:1y'7=e=:k<;I1a1>ocj3:17b<:4;29?xd5kh0;6?4?:1y'7=e=:k<;I1a1>ocj3:17b<:4;29?xd5k=0;6?4?:1y'7=e=:k<;I1a1>ocj3:17b<:4;29?xd5k:0;6?4?:1y'7=e=:k<;I1a1>ocj3:17b<:4;29?xd5jm0;6?4?:1y'7=e=:k<;I1a1>ocj3:17b<:4;29?xd5j>0;6?4?:1y'7=e=:k<;I1a1>ocj3:17b<:4;29?xd5ih0;6?4?:1y'7=e=:k<;I1a1>ocj3:17b<:4;29?xu593:1>v3;4nl0on6s|2383>7}:;ok1>=523gd9`g=z{;o1<77c<5=:96il4}r1`>5<5sW9h70;;:e`8yv5c2909wS=k;<76>ad5<5sW>;70;7:e`8yv262909wS:>;<7:>ad96=4={_61?83f2mh0q~:<:181[2434?i6il4}r67>5<5sW>?70;l:e`8yv222909wS::;<7g>ad=6=4={_65?83b2mh0q~:8:181[2034?m6il4}r6;>5<5sW>3708?:e`8yv2>2909wS:6;<42>adi6=4={_6a?8052mh0q~:l:181[2d34<86il4}r6g>5<5sW>o708;:e`8yv2b2909wS:j;<46>adm6=4={_6e?8012mh0q~;?:181[3734<<6il4}r72>5<5sW?:7087:e`8yv352909wS;=;<4:>ad11<5==1v8;50;1x96`021168i958:?61?42<2wx9;4?:2y>7c1=127?h:46;<75>7333ty>;7>53z?0b2o;7o4=459602f=:{t=h0;6>u23g59`>;3l>0o70;n:377?xu2j3:1?v3h8:02891b028:018j52468yv3b2909w0:k7;32?83b2;??7p}:f;297~;4n>0:>63;d6826>;2n38>86s|6183>6}:;o=1=9524e5951=:>909995rs7394?4|5:l<6<;4=7396028:?57?42<2wx:94?:3y>0a1=9016:94=559~w33=838p19j8:0c8933=:<>0q~89:18182c?3;i7089:377?xu1?3:1>v3;d682g>;1?38>86s|6983>7}:4c<5=n<6o;7?i;<4b>7333ty=n7>57z?0b<<1j278j;49b:?75<027?:>468:?7f=<>02wx;>4?:4y>7c1=?:168i95729>7cg=?:16?k65729>7c0=?:1v:750;0xZ2?<5>k1ho5rs6c94?4|5:l26:74=6c9602{t?l0;6?uQ7d9>3c7c?=?l16;k4=559~w<>=838pR464=ba9`g=z{ji1<7=t=2d:><><5:l=6464=ba960232970j<:377?xub<3:1>v3;4n10n86s|e`83>7}:;ok1il523g;9ad=z{oo1<7cc<5:l26kk4}r330?6=:r78j:4>059>7cg=99>0q~?>2;296~;4nh0:=n523g;954452z?0bd<69l16?k751208yv74;3:1>v3=4}r30e?6=;r78j:4>3`9>7cg=9:k01>h7:01b?xu6;k0;6?u23gc956d<5:l36<=m;|q27a<72;q6?ko512f896`>289o7p}>8e83>7}:;ok1=5j4=2d:>4g63ty:m?4?:3y>7cg=9h801>h6:0c1?xu5=80;6?u23d69`<=:<0>1ho5rs371>5<4s4>287<:4:?0b2<31:16?k754818yv4193:1>v3;?4}r044?6=:rT9;=522`c960252z\134=::k:1>8:4}r047?6=:rT9;>522c4960252z\131=::k=1>8:4}r041?6=:rT9;8522cf960252z\133=::j91>8:4}r04f?6=:rT9;o522b6960252z\13f=::jk1>8:4}r04`?6=:rT9;i522e2960252z\13`=::m<1>8:4}r04b?6=:rT9;k522ea960252z\1<5=::l81>8:4}r0;6?6=:r78j:4=839>0a1=:180q~<73;290~;4n>094>524e596=5<5:l36?6=;<1e2?4?:2wx>5650;0x91b02;2370=i8;0;<>{t:hk1<7717348jm7jm;|q1f5<72;q68i95263897d72mh0q~09;>522c49`g=z{;h<6=4={<6g3?40<279n:4kb:p6gb=838p19j8:356?84el3ni7p}=c283>7}::84=3a0>ad52z?7`2<5?k16>n:5dc9~w7ef2909w0:k7;04g>;5kh0on6s|2e294?4|5=n<6?9k;<0g4?be3ty9h;4?:3y>0a1=:>o01?j9:e`8yv4ck3:1>v3;d6813c=::mi1ho5rs3g1>5<5s4>o;7<70:?1a7h650;1x96`02;o370:k7;0f<>;4nh09i55rs3gg>5<5s49m;7=::18182c?398:63;4n?089:5rs24;>5<5s49m;7=98:?0b3<4>11v>hm:181[5aj278jn4=559~w6`d2909w0:?0;060>;4nj0on6s|3gf94?4|5:ln6?;;;<634?bd3ty8jh4?:3y>7c`=:<>019>?:ef8yv2793:1>vP;009>055=:<>0q~:?3;296~;38;0999524119`g=z{=:>6=4={_631>;38?0on6s|41494?2|5=n<69>;;<1ee?27<278j54;059>050=:<>0q~:?7;296~X38>168=652468yv2713:1>v35<5sW>:463;1`8111=z{=;j6=4={<62=?42<27?=l4kb:p04e=838pR9?l;<62`?42<2wx8d;fa?xu3:=0;6?uQ436891422mh0q~:=5;296~;4n00?=o5243796029;7>52z\762=:<;21>8:4}r6107>=lk1v9=>:181[24927???4=559~w1552909w0:k7;605>;3;;0on6s|42g94?4|V=9n70:{t<:l1<7=t=5f4>15c349m47:50;0xZ12734>?=7jm;|q704<72;q6?k7542f891262;??7p};4983>7}Y<=2019:6:377?xu3<00;6?u23g;901><5=>26il4}r67g?6=:rT?8n5245g9602?i7>52z?0bd<3>963;568gf>{t<7}:;o<188m4=57g>7333ty?:=4?:3y]036<5=<:6il4}r655?6=;r7?h:4;5g9>7cg=<:377?xu3>;0;6?uQ470891042;??7p};6583>7}:;o3188h4=540>ad<;7>52z\732=:<>21>8:4}r6402>=lk1v968:181[2??27?454=559~w1>?2909w0:k7;645>;3010on6s|49;94?4|V=2270:7a;060>{t<1k1<71d734>3m7jm;|q77333ty?5?4?:3y>0a1=<1h0197=:e`8yv2>;3:1>vP;929>0<2=m01v97::18182>>38>863;958:<>{t<0=1<71?034>2:7jm;|q7==<72;qU8464=5;b>7333ty?5l4?:3y>0a1=<020197n:e`8yv2>j3:1>vP;9c9>00q~:6d;296~;3l>0?5o5248f9`g=z{=3m6=4={_6:b>;3i90on6s|4`294?5|5=n<697j;<1ee?2>m27?m=4=559~w1g62909wS:n1:?7e7<5==1v9o<:18185a13>2i63;a38gf>{t86s|4c;94?4|5:l269l9;<6a;3ko09995rs5f6>5<5sW>o963;d78gf>{t1b334>o;7:k4:?0bd<3l=16?k854e6891b12;??7psa107g>5<5sA9i96sa107f>5<5sA9i96sa107e>5<5sA9i96sa1043>5<6sA9i96sa1042>5<6sA9i96sa1041>5<6sA9i96sa1040>5<6sA9i96sa1047>5<6sA9i96sa1046>5<6sA9i96sa1045>5<6sA9i96sa1044>5<6sA9i96sa104;>5<6sA9i96sa104:>5<6sA9i96sa104b>5<6sA9i96sa104a>5<6sA9i96sa104`>5<6sA9i96sa104g>5<6sA9i96sa104f>5<6sA9i96sa104e>5<6sA9i96sa1053>5<6sA9i96sa1052>5<6sA9i96sa1051>5<6sA9i96sa1050>5<6sA9i96sa1057>5<6sA9i96sa1056>5<6sA9i96sa1055>5<6sA9i96sa1054>5<4sA9i96sa105;>5<4sA9i96sa105:>5<4sA9i96sa105b>5<4sA9i96sa105a>5<4sA9i96sa105`>5<4sA9i96sa105g>5<4sA9i96sa105f>5<4sA9i96sa105e>5<4sA9i96sa10:3>5<4sA9i96sa10:2>5<5sA9i96sa10:1>5<4sA9i96sa10:0>5<4sA9i96sa10:7>5<5sA9i96sa10:6>5<5sA9i96sa10:5>5<5sA9i96sa10:4>5<5sA9i96sa10:;>5<5sA9i96sa10::>5<5sA9i96sa10:b>5<5sA9i96sa10:a>5<5sA9i96sa10:`>5<4sA9i96sa10:g>5<4sA9i96sa10:f>5<4sA9i96sa10:e>5<1sA9i96sa10;3>5<6sA9i96sa10;2>5<5sA9i96sa10;1>5<6sA9i96sa10;0>5<6sA9i96sa10;7>5<6sA9i96sa10;6>5<5sA9i96sa10;5>5<6sA9i96sa10;4>5<4sA9i96sa10;;>5<6sA9i96sa10;:>5<1sA9i96sa10;b>5<4sA9i96sa10;a>5<4sA9i96sa10;`>5<4sA9i96sa10;g>5<4sA9i96sa10;f>5<4sA9i96sa10;e>5<4sA9i96sa10c3>5<4sA9i96sa10c2>5<3sA9i96sa10c1>5<4sA9i96sa10c0>5<4sA9i96sa10c7>5<4sA9i96sa10c6>5<4sA9i96sa10c5>5<6sA9i96sa10c4>5<4sA9i96sa10c;>5<4sA9i96sa10c:>5<6sA9i96sa10cb>5<6sA9i96sa10ca>5<6sA9i96sa10c`>5<6sA9i96sa10cg>5<6sA9i96sa10cf>5<6sA9i96sa10ce>5<6sA9i96sa10`3>5<6sA9i96sa10`2>5<6sA9i96sa10`1>5<6sA9i96sa10`0>5<6sA9i96sa10`7>5<6sA9i96sa10`6>5<4sA9i96sa10`5>5<2sA9i96sa10`4>5<4sA9i96sa10`;>5<5sA9i96sa10`:>5<5sA9i96sa10`b>5<4sA9i96sa10`a>5<5sA9i96sa10``>5<5sA9i96sa10`f>5<5sA9i96sa10`e>5<5sA9i96sa10a3>5<5sA9i96sa10a2>5<5sA9i96sa10a1>5<5sA9i96sa10a0>5<5sA9i96sa10a7>5<3sA9i96sa10a6>5<4sA9i96sa10a5>5<4sA9i96sa10a4>5<4sA9i96sa10a;>5<4sA9i96sa10a:>5<4sA9i96sa10ab>5<6sA9i96sa10aa>5<6sA9i96sa10a`>5<6sA9i96sa10ag>5<6sA9i96sa10af>5<6sA9i96sa10ae>5<6sA9i96sa10f3>5<6sA9i96sa10f2>5<3sA9i96sa10f1>5<6sA9i96sa10f0>5<5sA9i96sa10f7>5<6sA9i96sa10f6>5<6sA9i96sa10f5>5<6sA9i96sa10f4>5<5sA9i96sa10f;>5<6sA9i96sa10f:>5<5sA9i96sa10fb>5<6sA9i96sa10fa>5<5sA9i96sa10f`>5<6sA9i96sa10fg>5<5sA9i96sa10ff>5<6sA9i96sa10fe>5<4sA9i96sa10g3>5<6sA9i96sa10g2>5<5sA9i96sa10g1>5<6sA9i96sa10g0>5<5sA9i96sa10g7>5<6sA9i96sa10g6>5<5sA9i96sa10g5>5<6sA9i96sa10g4>5<5sA9i96sa10g;>5<6sA9i96sa10g:>5<5sA9i96sa10gb>5<6sA9i96sa10ga>5<4sA9i96sa10g`>5<6sA9i96sa10gg>5<5sA9i96sa10gf>5<6sA9i96sa10ge>5<5sA9i96sa10d3>5<6sA9i96sa10d2>5<5sA9i96sa10d1>5<6sA9i96sa10d0>5<5sA9i96sa10d7>5<6sA9i96sa10d6>5<5sA9i96sa10d5>5<6sA9i96sa10d4>5<6sA9i96sa10d;>5<5sA9i96sa10d:>5<6sA9i96sa10db>5<5sA9i96sa10da>5<6sA9i96sa10d`>5<5sA9i96sa10dg>5<6sA9i96sa10df>5<4sA9i96sa10de>5<6sA9i96sa1323>5<5sA9i96sa1322>5<6sA9i96sa1321>5<5sA9i96sa1320>5<6sA9i96sa1327>5<5sA9i96sa1326>5<6sA9i96sa1325>5<5sA9i96sa1324>5<6sA9i96sa132;>5<2sA9i96sa1b194?7|@:h>7p`>c583>4}O;k?0qc?l5;295~N4j<1vb51zJ0f0=zf8ij6=4>{I1a1>{i9jh1<7?tH2`6?xh6kj0;6l:;|l2`6<728qC?o;4}o3g0?6=9rB8n85rn0f5>5<6sA9i96sa1e594?7|@:h>7p`>d983>4}O;k?0qc?k9;295~N4j<1vb51zJ0f0=zf8nm6=4>{I1a1>{i9l;1<7?tH2`6?xh6m;0;6l:;|l2a3<728qC?o;4}o3f3?6=9rB8n85rn0g;>5<6sA9i96sa1d;94?7|@:h>7p`>e`83>4}O;k?0qc?jb;295~N4j<1vb51zJ0f0=zf8l:6=4>{I1a1>{i9o81<7?tH2`6?xh6n:0;6l:;|l2b2<728qC?o;4}o3e5<6sA9i96sa1gc94?7|@:h>7p`>fc83>4}O;k?0qc?ic;295~N4j<1vb51zJ0f0=zf;::6=4>{I1a1>{i:981<7?tH2`6?xh58:0;6l:;|l142<728qC?o;4}o035<6sA9i96sa21c94?7|@:h>7p`=0c83>4}O;k?0qck:182M5e=2we>=k50;3xL6d23td?n<4?:0yK7g3i?7>51zJ0f0=zf=h?6=4>{I1a1>{il:;|l7g=<728qC?o;4}o6`=?6=9rB8n85rn5ab>5<6sA9i96sa4b`94?7|@:h>7p`;cb83>4}O;k?0qc:ld;295~N4j<1vb9mj:182M5e=2we8nh50;3xL6d23td?h=4?:0yK7g3o=7>51zJ0f0=zf=n96=4>{I1a1>{il:;|l7`=<728qC?o;4}o6g=?6=9rB8n85rn5fb>5<6sA9i96sa4e`94?7|@:h>7p`;db83>4}O;k?0qc:kd;295~N4j<1vb9jj:182M5e=2we8ih50;3xL6d23td?i=4?:0yK7g3n=7>51zJ0f0=zf=o96=4>{I1a1>{il:;|l7a=<728qC?o;4}o6f=?6=9rB8n85rn5gb>5<6sA9i96sa4d`94?7|@:h>7p`;eb83>4}O;k?0qc:jd;295~N4j<1vb9kj:182M5e=2we8hh50;3xL6d23td?j=4?:0yK7g3m=7>51zJ0f0=zf=l96=4>{I1a1>{il:;|l7b=<728qC?o;4}o6e=?6=9rB8n85rn5db>5<6sA9i96sa4g`94?7|@:h>7p`;fb83>4}O;k?0qc:id;295~N4j<1vb9hj:182M5e=2we8kh50;3xL6d23td><<4?:0yK7g37>51zJ0f0=zf<:86=4>{I1a1>{i=9>1<7?tH2`6?xh28<0;63:1=vF=83;pD>l:;|l64<<728qC?o;4}o73e?6=9rB8n85rn42a>5<6sA9i96sa51a94?7|@:h>7p`:0e83>4}O;k?0qc;?e;295~N4j<1vb8>i:182M5e=2we9<>50;3xL6d23td>=<4?:0yK7g37>51zJ0f0=zf<;86=4>{I1a1>{i=8>1<7?tH2`6?xh29<0;63:1=vF=83;pD>l:;|l65<<728qC?o;4}o72e?6=9rB8n85rn43a>5<6sA9i96sa50a94?7|@:h>7p`:1e83>4}O;k?0qc;>e;295~N4j<1vb8?i:182M5e=2we9?>50;3xL6d23td>><4?:0yK7g37>51zJ0f0=zf<886=4>{I1a1>{i=;>1<7?tH2`6?xh2:<0;63:1=vF=83;pD>l:;|l66<<728qC?o;4}o71e?6=9rB8n85rn40a>5<6sA9i96sa53a94?7|@:h>7p`:2e83>4}O;k?0qc;=e;295~N4j<1vb8>50;3xL6d23td>??4?:0yK7g351zJ0f0=zf<9<6=4>{I1a1>{i=:21<7?tH2`6?xh2;00;6l:;|l67a<728qC?o;4}o70a?6=9rB8n85rn41e>5<6sA9i96sa55194?7|@:h>7p`:4583>4}O;k?0qc;;5;295~N4j<1vb8:8:182M5e=2we99650;3xL6d23td>844?:0yK7g351zJ0f0=zf<>h6=4>{I1a1>{i==n1<7?tH2`6?xh2l:;|l613<728qC?o;4}o763?6=9rB8n85rn643>5<6sA9i96sa77194?7|@:h>7p`86583>4}O;k?0qc996;295~N4j<1vb:8n:182M5e=2we;;m50;3xL6d23td<:i4?:0yK7g351zJ0f0=zf>{I1a1>{i?>:1<7?tH2`6?xh0?80;6l:;|l430<728qC?o;4}o542?6=9rB8n85rn654>5<6sA9i96sa76:94?7|@:h>7p`87883>4}O;k?0qc98a;295~N4j<1vb:9m:182M5e=2we;:m50;3xL6d23td<;h4?:0yK7g351zJ0f0=zf>2;6=4>{I1a1>{i?1;1<7?tH2`6?xh00;0;63:1=vF0290:wE=m5:m3=>=83;pD>l:;|l4<<<728qC?o;4}o5;e?6=9rB8n85rn6:a>5<6sA9i96sa79a94?7|@:h>7p`88e83>4}O;k?0qc97e;295~N4j<1vb:6i:182M5e=2we;4>50;3xL6d23td<5<4?:0yK7g37>51zJ0f0=zf>386=4>{I1a1>{i?0>1<7?tH2`6?xh01<0;6>3:1=vF=83;pD>l:;|l4=<<728qC?o;4}o5:e?6=9rB8n85rn6;a>5<6sA9i96sa78a94?7|@:h>7p`89e83>4}O;k?0qc96f;295~N4j<1vb:o?:182M5e=2we;l?50;3xL6d23td51zJ0f0=zf>k?6=4>{I1a1>{i?h?1<7?tH2`6?xh0i?0;6l:;|l4ed<728qC?o;4}o5bf?6=9rB8n85rn6c`>5<6sA9i96sa7`f94?7|@:h>7p`8ad83>4}O;k?0qc9nf;295~N4j<1vb:l>:182M5e=2we;o<50;3xL6d23td4?:0yK7g351zJ0f0=zf>h>6=4>{I1a1>{i?k<1<7?tH2`6?xh0j>0;6290:wE=m5:m3gg=83;pD>l:;|l4fg<728qC?o;4}o5ag?6=9rB8n85rn6`g>5<6sA9i96sa7cg94?7|@:h>7p`8bg83>4}O;k?0qc9l0;295~N4j<1vb:m>:182M5e=2we;n<50;3xL6d23td51zJ0f0=zf>i=6=4>{I1a1>{i?j=1<7?tH2`6?xh0k10;6l:;|l4gf<728qC?o;4}o5``?6=9rB8n85rn6af>5<6sA9i96sa7bd94?7|@:h>7p`8d183>4}O;k?0qc9k1;295~N4j<1vb:j=:182M5e=2we;i=50;3xL6d23td51zJ0f0=zf>n=6=4>{I1a1>{i?m=1<7?tH2`6?xh0l10;6l:;|l4``<728qC?o;4}o5gb?6=9rB8n85rn6g3>5<6sA9i96sa7d394?7|@:h>7p`8e283>4}O;k?0qc9j4;295~N4j<1vb:k::182M5e=2we;h850;3xL6d23td51zJ0f0=zf1>>6=4>{I1a1>{i0=<1<7?tH2`6?xh?<10;62:3:1=vFl:;|l;10<728qC?o;4}o:62?6=9rB8n85rn974>5<6sA9i96sa84:94?7|@:h>7p`75c83>4}O;k?0qc6:c;295~N4j<1vb5;k:182M5e=2we48k50;3xL6d23td3:=4?:0yK7g351zJ0f0=zfk?:6=4>{I1a1>{ij<81<7?tH2`6?xhe=:0;6l:;|la12<728qC?o;4}o`65<6sA9i96sab4c94?7|@:h>7p`m5d83>4}O;k?0qcl:f;295~N4j<1vbo8?:182M5e=2wen;?50;3xL6d23tdi:?4?:0yK7g351zJ0f0=zfk{I1a1>{ij??1<7?tH2`6?xhe>>0;6?uG3c78ykd103:1=vF290:wE=m5:mf3g=83;pD>l:;|la2g<728qC?o;4}o`5g?6=9rB8n85rnc4g>5<6sA9i96sab7g94?7|@:h>7p`m6g83>7}O;k?0qcl80;295~N4j<1vbo9>:182M5e=2wen:<50;0xL6d23tdi;>4?:0yK7g351zJ0f0=zfk=>6=4={I1a1>{ij><1<7?tH2`6?xhe?>0;6vF2909wE=m5:mf2g=83;pD>l:;|la3g<728qC?o;4}o`4g?6=9rB8n85rnc5g>5<6sA9i96sab6g94?7|@:h>7p`m7g83>4}O;k?0qcl70;295~N4j<1vbo6>:182M5e=2wen5<50;3xL6d23tdi4>4?:0yK7g351zJ0f0=zfk2>6=4={I1a1>{ij1<1<70;6?uG3c78ykd?03:1=vFf290:wE=m5:mf=d=83;pD>l:;|la5<6sA9i96sab9d94?7|@:h>7p`m9183>4}O;k?0qcl61;295~N4j<1vbo7=:182M5e=2wen4=50;3xL6d23tdi594?:0yK7g351zJ0f0=zfk3=6=4>{I1a1>{ij0=1<7?tH2`6?xhe110;613:1=vFl:;|la=`<728qC?o;4}o`:b?6=9rB8n85rncc3>5<6sA9i96sab`394?7|@:h>7p`ma383>4}O;k?0qcln3;295~N4j<1vboo;:182M5e=2wenl;50;3xL6d23tdim54?:0yK7g351zJ0f0=zfkkj6=4>{I1a1>{ijhh1<7?tH2`6?xheij0;6l:;|laf5<728qC?o;4}o`a5?6=9rB8n85rnc`1>5<6sA9i96sabc194?7|@:h>7p`mb583>4}O;k?0qclm5;295~N4j<1vbol9:182M5e=2weno950;3xL6d23tdin54?:0yK7g351zJ0f0=zfkhj6=4>{I1a1>{ijkh1<7?tH2`6?xhejj0;6l:;|lag5<728qC?o;4}o``5?6=9rB8n85rnca1>5<6sA9i96sabb194?7|@:h>7p`mc583>4}O;k?0qcll5;295~N4j<1vbom9:182M5e=2wenn950;3xL6d23tdio54?:0yK7g351zJ0f0=zfkij6=4>{I1a1>{ijjh1<7?tH2`6?xhekj0;6l:;|la`5<728qC?o;4}o`g5?6=9rB8n85rncf1>5<6sA9i96sabe194?7|@:h>7p`md783>4}O;k?0qclk7;295~N4j<1vboj7:182M5e=2weni750;3xL6d23tdiho4?:0yK7g351zJ0f0=zfkno6=4>{I1a1>{ijmo1<7?tH2`6?xhelo0;6l:;|laa6<728qC?o;4}o`f0?6=9rB8n85rncg6>5<6sA9i96sabd;94?7|@:h>7p`mec83>4}O;k?0qcljc;295~N4j<1vbokk:182M5e=2wenhh50;3xL6d23tdij=4?:0yK7g351zJ0f0=zfkl96=4>{I1a1>{ijo91<7?tH2`6?xhen=0;6l:;|lab=<728qC?o;4}o`ef?6=9rB8n85rncd`>5<6sA9i96sabgf94?7|@:h>7p`mfd83>4}O;k?0qclif;295~N4j<1vbn>?:182M5e=2weo=?50;3xL6d23tdh51zJ0f0=zfj:?6=4>{I1a1>{ik9<1<7?tH2`6?xhd8>0;6290:wE=m5:mg5g=83;pD>l:;|l`4g<728qC?o;4}oa3g?6=9rB8n85rnb2g>5<6sA9i96sac1g94?7|@:h>7p`l0g83>4}O;k?0qcm>0;295~N4j<1vbn?>:182M5e=2weo<<50;3xL6d23tdh=>4?:0yK7g351zJ0f0=zfok26=4>{I1a1>{inhk1<7?tH2`6?xhaik0;6l:;|lef7<728qC?o;4}oda7?6=9rB8n85rng`7>5<6sA9i96safc794?7|@:h>7p`ib783>4}O;k?0qchm7;295~N4j<1vbkl7:182M5e=2wejo750;3xL6d23tdmnl4?:0yK7g351zJ0f0=zfohh6=4>{I1a1>{inkn1<7?tH2`6?xhajl0;6l:;|leg7<728qC?o;4}od`7?6=9rB8n85rnga7>5<6sA9i96safb794?7|@:h>7p`ic783>4}O;k?0qchl7;295~N4j<1vbkm7:181M5e=2wejn750;3xL6d23tdmol4?:0yK7g351zJ0f0=zfoih6=4>{I1a1>{injn1<7?tH2`6?xhakl0;6l:;|le`7<728qC?o;4}odg7?6=9rB8n85rngf7>5<6sA9i96safe794?4|@:h>7p`id783>4}O;k?0qchk7;295~N4j<1vbkj7:182M5e=2weji750;3xL6d23tdmhl4?:0yK7g351zJ0f0=zfonh6=4>{I1a1>{inmn1<7?tH2`6?xhall0;6l:;|lea7<728qC?o;4}odf7?6=9rB8n85rngg7>5<6sA9i96safd794?7|@:h>7p`ie783>4}O;k?0qchj7;295~N4j<1vbkk7:182M5e=2wejh750;3xL6d23tdmil4?:0yK7g351zJ0f0=zfooh6=4>{I1a1>{inln1<7?tH2`6?xhaml0;6l:;|leb7<728qC?o;4}ode7?6=9rB8n85rngd7>5<6sA9i96safg794?4|@:h>7p`if783>4}O;k?0qchi7;295~N4j<1vbkh7:181M5e=2wejk750;3xL6d23tdmjl4?:0yK7g352zJ0f0=zfolh6=4>{I1a1>{inon1<7?tH2`6?xhanl0;6?uG3c78yk`an3:1=vFvFvF3:1=vFvFvFvFvFvFvFvFvFvFvFvF3:1>vF3:1=vFvFvFvFvF3:1=vFvFvFvFvFvFvFvFvFvF3:1>vFvFvFvFvFvFvFvFvFvFvFvFvFvFvFvF6?=:NWWTPR=ZH6:=7>111922?OIX\^1M1<<:9<24>462@D[YY4N<3395;733;91EC^ZT;C\BVD;::0:2k5<:HLSQQ49B8439=2K7=;0:;@>23;3G;97?0M169B877=97?0M1<>>49B8749?2K7>>47>49B8759<2K7>3:4A=1=0>G;<7>0M1;14:C?2;2Pmtz345668m1J@H>Pmtz34565l2KGI=Qbuy23455c3HFNGKM9Ufyu>?015g?DJB8Vg~t=>?09f8EIC7Wds<=>?959BH@7d3HFN=RQ`r1235a=FDL;TSb|?01320>GIL;>0MCJ<4:CM@1286OAD668EKB?<2KX~kj4ARpe[FjlW@D]=:5NSsd\J5eGTzoUecy>?003:?DYA[K6:<374A^DPF976601JSK]M<00==>GXNZH7=>06;@]EWG:6<730MRH\B=36:<=FWOYI0<819:C\BVD;9>427LQISC>2<;?99B[CUE48427LQISC>14;?>89B[CUE4;85n6OPFR@?66<6601JSK]M<31=<>GXNZH7>364A^DPF95902KTJ^L34?:8EZ@TJ5?546OPFR@?2;>GXNZHT==QFBTDg?DYA[KU:=RGMUGf8EZ@TJV;9SDLZFe9B[CUEW89TEO[Id:C\BVDX9=UBNXHk;@]EWGY6=VCIYKj4A^DPFZ71W@H^Ji5N_GQA[41XAK_Mh6OPFR@\5=YNJ\Lo7LQISC]2=ZOE]Oi0MRH\B^3\MGSAl2KTJ^LP21]JFP@c3HUM_OQ=1^KAQCbGXNZHT>RGMUGa8EZ@TJV9TEO[Ic:C\BVDXGh}}ENi6O`uuMF[hs89:;j6O`uuMF[hs89:;=k5NotvLAZkrp9:;;f:ClqqIBWds<=>?5g9BkprHMVg~t=>?0768FDES=2HJOY?:;CC@P73E6>DUBNXH9;B03I@H13J8>AH@m;B06I@HXGK_M?6M7M99@EHEDC_XHJ8;BPFEQCC:2IX:6Mce`p2b>Ekmhx:SRoad12344=C>2N_MNE}e:FWEFMuWge<=>>f:FWEFMuWge<=>>169Geqg;87=0Hlzn<0<5?Air|FOo7IaztNG\kw6789o0Hb{{OD]lv56788o0Hb{{OD]lv5678;o0Hb{{OD]lv5678:o0Hb{{OD]lv5678=o0Hb{{OD]lv5678CAief::6KIamn11>CT494>7H]31?78AV:56<1N_1=14:GP[5c?e:GP[7YXign;<=>>e:GP[7YXign;<=>=4:GP[6b>1`9FWZKflmUTmij?012\[dhc89::=i5JS^S\5Ziu89:;i6K\_P]2[jt789::j6K\_P]2[jt789::k4ER]R[4Yhz9:;<9k4ER]R[4Yhz9:;<8k4ER]R[4Yhz9:;<;k4ER]R[4Yhz9:;<:k4ER]R[4Yhz9:;<5k4ER]R[4Yhz9:;<4k4ER]\eab789:7=3k4ER]\eab789:7>3k4ER]\eab789:7?384E^KAQC449Fv979<2OyS=:4Es]20>@DDB30JNBD_H@VB7=AL:1MHN:4FEAF7>@CX:1M_O?4G29DJA76G@5:KLEFRc3@EJOYQbuy2345cm7DANCU]nq}6789;>j6G@ABV\ip~789:::k5FO@AW[hs89:;=:k4INC@PZkrp9:;k4INC@PZkrp9:;<9k4INC@PZkrp9:;<8k4INC@PZkrp9:;<;k4INC@PZkrp9:;<:k4INC@PZkrp9:;<5k4INC@PZkrp9:;<484INC@Pw06:KLGV77>2CDO^?>6:KLGV75>2CDO^?<5:KLGV423@EH_>;4INAP00=NGJY>96G@CR46?LID[>?0EBM\849JKFU>>2CDHB@Jd:KL@JHBWfx;<=>j;HMGKKCXg{:;<=?j;HMGKKCXg{:;<=1BCIAAEs68MJJ7k2CD@=Qbuy2345bOHD9Ufyu>?011g?LIK8Vg~t=>?05f8MJJ7Wds<=>?5e9JKI6Xe|r;<=>9d:KLH5Yj}q:;<=9k;HMO4Zkrp9:;<5j4INN3[hs89:;595FOM3`?LIK9Vddx=>?1e9JKI7Xff~;<=?>5:KLHAU>3@EE\_kndx32?LIIX[ojhtQPos2345753@EE\_kndx]\kw6789;:>6G@NQPfeaXWfx;<=>=139JKKVUmhnrSRa}01237443@E[[_kndxc8MJVPZlkou?1038MJTCZL[Taxv?0122447>3038MJTCZL[Taxv?0122046?5028MJTCZL[Taxv?012555=NG[NYI\Qbuy23451682CD^I\JQ^ov|56781;;7DA]DSGR[hs89:;555FOSFQATt13@EYI\|8;HMQATt6?2CD^H_}269JKWCVz:?0EB\X1e9JKWQ6Whdo<=>?e:KLVR7Xign;<=>>e:KLVR7Xign;<=>=e:KLVR7Xign;<=>;e:KLVR7Xign;<=>:e:KLVR7Xign;<=>94:KLW5eOH[9Ujbi>?013g?LIT8Vkeh=>?03f8MJU7Whdo<=>?3b9JKV6Xe|r;<=>k;HMP4Zkrp9:;<i5FOR2\ip~789:8h6G@S1]nq}6789>o7DA\0^ov|5678m1BC^>Pmtz34560l2CD_=Qbuy2345>c3@EXOH[VLXARHId3d8MJUXNZGTJKj=-Hl24>OH[VLXARHId3/Jj4773@EXSK]B_GDg6(Oi:8:0EB]PFRO\BCb5%@d8o6G@S^DPIZ@Al:l0EB]PFRO\BCb4%@d:<6G@S^DPIZ@Al:'Bbh7DA\_GQN[C@c=j1BC^QISL]EBa0a3@EXSK]B_GDg2(Oi991BC^QISL]EBa0*Ag;:<6G@S^DPIZ@Al?'Bb???;HMP[CUJWOLo: Ga3028MJUXNZGTJKj9-Hl755=NGZUM_@QIFe4.Mk3d3@EXSK]B_GDg3c=NGZUM_@QIFe5.Mk773@EXSK]B_GDg3(Oi98:0EB]PFRO\BCb0%@d9==5FOR]EWHYANm=&Ec=>0:KLWZ@TEVLMh:#Fn533?LITWOYFSKHk7,Km11=NG\:h7DAZ0^ov|5678m1BCX>Pmtz34566m2CDY=Qbuy234577m2CDY=Qbuy234576m2CDY=Qbuy234575m2CDY=Qbuy234574m2CDY=Qbuy234573m2CDY=Qbuy234572l2CDY=Qbuy23454c3@E^OH]9Ufyu>?014g?LIR8Vg~t=>?06f8MJS7Wds<=>?8e9JKP6Xe|r;<=>64:KLQ4eOH]8Uecy>?0036?LIRLZ=0EBY]RDSe?LIPZ[OZS`{w012355=NG^XYI\Qbuy23457692CD[_\JQ^ov|56788::=6G@WSPFUZkrp9:;<1:KLSWTBYVg~t=>?00025>OH_[XN]Rczx123445692CD[_\JQ^ov|56788>:=6G@WSPFUZkrp9:;<<;>0:KLSWTBYVg~t=>?0333?LIPZ[OZS`{w0123746?7028MJQUZL[Taxv?012;55=NG^XYI\Qbuy2345??3@E\^_K^r59JWQE23@Y_Oo5FS^DPIZ@Al;o0E^QISL]EBa4*Agl0E^QISL]EBa4*Ag;m7D]PFRO\BCb5%@d9j6G\_GQN[C@c:$Ce?k5FS^DPIZ@Al;'Bb9h4IR]EWHYANm8&Ec;m;HQ\BVKXG|~8o6G\_GQN[Jss;8i0E^QISL]Lqq55l2CXSnc_ds3455b3BON\RFFESCQGM_03EELENOCa:NSIZ^HZ;U:56B[ilgq858f3E^bah|3111:<=K\`gn~1=19:NWmhcu4=427AZfmdp?1;?89OPlkbz5=556B[ilgq8=8>3E^bah|39?:8HQojm{^H=>5CThofvQEXkdzTi|>?0137?IRnelx_ORmbp^gr456798>0@YgbesV@[fkwWl{;<=>=159OPlkbz]ITo`~Pep234556<2F_e`k}TB]`iuYby9:;<9?;;MVji`tSKVif|Rk~01231429:NWmhcuW8:27AZfmdp\54>JSadoyS864LUknawY102F_e`k}_6:8HQojm{U346B[ilgq[<433E^bah|PM`fg[Zgcl9:;?0^O{kwYUmzgx1<1229OPlkbzVGjhiQPaef3456XEqeyS_k|umv?7;443E^bah|PM`fg[Zgcl9:;5>>5CThofvZKflmUTmij?012\I}iuW[oxyaz35?00?IRnelxTAljk_^cg`5678VGscQ]erwop909::1GXdcjr^Ob`aYXimn;<=>PMymq[Wct}e~7;3<<;MVji`tXEhnoSRokd1234ZKg{UYi~{ct=:=66=K\`gn~RCnde]\eab789:TAua}_Sgpqir;178=7AZfmdp\IdbcWVkoh=>?0^PfwpjsW9Uecy>?0132`>JSadoyS@okd^]b`a6789UTmcj?0122a>JSadoyS@okd^]b`a6789UTmcj?01225a=K\`gn~RCnde]\eab789:TSl`k01225`=K\`gn~RCnde]\eab789:TSl`k012254bP_`lg45629l1GXdcjr^Ob`aYXimn;<=>P_`lg456298n0@Ygbes]NeabXWhno<=>?_^cm`567>8o0@Ygbes]NeabXWhno<=>?_^cm`567>8;o7AZfmdp\IdbcWVkoh=>?0^]bja678>;n7AZfmdp\IdbcWVkoh=>?0^]bja678>;:h6B[ilgq[HgclVUjhi>?01]\ekb7892:i6B[ilgq[HgclVUjhi>?01]\ekb7892:=i5CThofvZKflmUTmij?012\[dhc89:2=h5CThofvZKflmUTmij?012\[dhc89:2=<<4LUknawYXimn;<=>30?30?IRnelxTSljk012384699:1GXdcjr^]b`a67896:=3?=;MVji`tXWhno<=>?<0<26>JSadoySRokd12349499;1GXdcjr^]b`a6789682<<4LUknawYXimn;<=>34?31?IRnelxTSljk01238086:2F_e`k}_^cg`56785<5=?5CThofvZYflm:;<=28>008HQojm{UTmij?012?<;753E^bah|P_`fg4567404>7Aand0g8Hjgc9VUjbi>?0108J55I33FH^J85@BTDF7>IU::1D^>=4OS64?Jpbzekr46Ayesnb}47>30227ZJ33YKYXl5_IO]AQVOCPk1[ECQMURLBI@53:0=V[5;586_\_1f8UVY7WVkeh=>?0d9RWZ6XWhdo<=>?1d9RWZ6XWhdo<=>?2d9RWZ6XWhdo<=>?3d9RWZ6XWhdo<=>?4b9RWZ6XWfx;<=>k;PQ\4ZYhz9:;<i5^S^2\[jt789:8h6_\_1]\kw6789>o7\]P0^]lv5678=1Z_R?k;PQ\5ZYffm:;<=k4QR]2[Zgil9:;<i6_\_^cg`56785;546_`SSgb`|`<>4QnQQadb~Wfx;<=><119RkVTbimsTc>?01624>Wh[[ojhtQ`r12340773XeX^hoky^mq4567>8:0]b]]e`fz[jt789:<==5^oRPfeaXg{:;<=6>0:SlWWcflpUd~=>?08d8UjUUmhnrSb|?01324>Wh[[ojhtQ`r12354773XeX^hoky^mq4566:8:0]b]]e`fz[jt789;896\N<1<5?WG;99437_O31083:3=UI5;:285]A=3=1>TF4;4>7_O33?48VD:468<0^L2<>348VD:46:<0^L2<>578VD:36<1YM1;15:PB83823[K7;3;4R@>;:0=UI535?6\NM49QEHET?2XJAN]?X99QEHET8Q;37_OBCR2[6==UIDIX<109QEHTbimsTaxv?012754=UIDXnmiwPmtz34562981YM@\jae{\ip~789:==<5]ALPfeaXe|r;<=>85:PBW@Jc3[KXIAQnne2345cn7_O\EO]nq}6789?>7_O\rg:8VDUunVD;56\NSsd\J5723[KX~j4R@QqvZkrp9:;h5]ARpq[hs89:;?h5]ARpq[hs89:;8h5]ARpq[hs89:;945]A^2\KGSAk2XJS=Qbuy2345bTFW9Ufyu>?011g?WGX8Vg~t=>?05c8VDY68VEIYKj4R@]24Zkrp9:;h5]A^33[hs89:;?h5]A^33[hs89:;8l5]A^32[JDRN01YMR?POCWEg>TFW8Ufyu>?01f8VDY6Wds<=>?1e9QEZ7Xe|r;<=>=d:PB[4Yj}q:;<==k;SC\5Zkrp9:;<974R@]1[JDRNj1YMRRczx12344bTFW;Ufyu>?016:?WGX;VEIYK74R@]7[JDRNj1YMR:Pmtz3456c3[KT8Rczx12344bTaxv?0120`>TFW=Ufyu>?016:?WGX=VEIYKm4R@]6[hs89:;h6\N_4]nq}6789;o7_OP5^ov|5678;n0^LQ:_lw{4567;m1YMR;Pmtz3456312XJS;Q@BTD`?WGX>Vg~t=>?0e9QEZ0Xe|r;<=>>d:PB[3Yj}q:;<=j4R@]5[hs89:;845]A^5\KGSAk2XJS:Qbuy2345bTFW>Ufyu>?011g?WGX?Vg~t=>?05;8VDY?WFH^Jn5]A^:\ip~789:o7_OP8^ov|56788n0^LQ7_lw{4567:m1YMR6Pmtz34564l2XJS5Qbuy23452>3[KT5RAMUGa8VDY>Wds<=>?d:PB[S46\@MBQ7\4>TT8}eYik74RR2wkWca9h1Y_=z`Rdd24d=U[9~d^hh>1`9QW5rhZll:>l5]S1vlV``6;01Y_=z`Rdd1=>TT8}eYik=6;SQ3pjTbn=30^^>{oSge1<=U[9~d^hh99:PP4qiUmo=27_]?tnPfb=?TTWOYFSKHk5,Km6c=U[VLXARHId4/Jj6dTTWOYFSKHk6,Km6c=U[VLXARHId7/Jj6dTTWOYFSKHk7,Km6c=U[VLXARHId6/Jj6d*Ag?m7_]PFRO\BCb?%@d=j6\\_GQN[C@c0$Ce;k5]S^DPIZ@Al1'Bb5h4RR]EWHYANm2&Ec7:;Sgb`|084Rdcg}10>0:PfbAiimVUd~=>?0033?WcaLfdnSRa}0123646:<6\jfEmmaZYhz9:;<884RddQat>?f:PfbWcvWhdo<=>?1g9QacTbyVkeh=>?03d8V``UmxUjbi>?011e?WcaZl{Tmcj?0127b>Tbn[ozSl`k01231`=UmoXn}R``t1234c=UmoXn}R``t123440Ubf}UTc>?01:b?VhcZHGH_Z\m;RlgVDKD[^X:o6]adSCNGVQU99i0_cj]ALAPSW76k2Yeh_OBCRUQ57e<[gnYM@M\WS30g>Uil[KFO^Y]15a8WkbUIDIX[_?:c:Qm`WGJKZ]Y=;l4SofQEHET_[8i7^`kR@O@WRT4j2Yeh_OBCRUQ0g=TfmXJAN]XR4`8WkbUIDIX[_8m;RlgVDKD[^X<[gnYC@M\4:VQQ@d<\ZUM_@QIFe3f?QUXNZGTJKj>-Hle?QUXNZGTJKj>-Hl2b>RTWOYFSKHk1,Km6g=S[VLXARHId3g8PVYA[DUMJi<"Iod8PVYA[DUMJi<"Io324>RTWOYFSKHk2,Km55`<\ZUM_@QIFe0.Mk4a3]YTJ^CPFGf1)Lh4n2^XSK]B_GDg6(Oi>99Wmhcu4;437Ygbes>0:2=SadoyXN?=;UknawRDWjg{Sh?01227>Rnelx_ORmbp^gr45679890XdcjrUA\ghvXmx:;<=<>3:Vji`tSKVif|Rk~0123745<\`gn~YMPclr\at6789>:?6ZfmdpWGZejxVoz<=>?569WmhcuW9;;7Ygbes]3[Zgil9:;<?1038PlkbzV:TSl`k0123647<\`gn~R>P_`lg4567;>1_e`k}_033?Qojm{U:SRoad123447<\`gn~R?P_`lg456798;0Xdcjr^3\[dhc89:;>:5[ilgq[7773]cfiQ=_^cm`56788;0Xdcjr^0\[dhc89:;=?269WmhcuW:;;7Ygbes]0[Zgil9:;<?1038PlkbzV9TSl`k0123674<\`gn~RCnde]\eab789:TAua}_Sgpqir;97897Ygbes]NeabXWhno<=>?_LzlvZTb{|f0?0=2:Vji`tXEhnoSRokd1234ZKg{UYi~{ct=1=5f=SadoyS@okd^]b`a6789UTmcj?0122`>RnelxTAljk_^cg`5678VUjbi>?0132g>RnelxTAljk_^cg`5678VUjbi>?003g?Qojm{UFmijP_`fg4567WVkeh=>?1032?Qojm{UTmij?012?5;763]cfiQPaef3456;:7;:7Ygbes]\eab789:7?3j4ThofvZgil9:;Pnl[KFO^Y]939TW2=Pi`~7<394W`kw84803^kbx1<17:Ubmq:46>1\mdz34?48SdosW9l0[lg{_1]\ekb789::<6Yniu]3[Zgil9:;<?279TelrX9o1\mdzP1^]bja6789;;7Zoft^3\[dhc89:;=;5Xahv\6c=Pi`~T>RQnne2345773^kbxR?0133?Rgn|V9TSl`k012353=Pi`~T8k5Xahv\0ZYffm:;<=??;VcjpZ2XWhdo<=>?1338SdosWDkohRQnde2345YJpfxT^h}zlu>2:77<_hcS@okd^]b`a6789UFtb|PRdqvhq:56;;0[lg{_Lcg`ZYflm:;<=QBxnp\V`urd}682??4W`kw[HgclVUjhi>?01]N|jtXZly~`y2;>0`8SdosWDkohRQnde2345YXign;<=>>c:UbmqYJimnTSljk0123[Zgil9:;<?0^]bja6788;:n6Yniu]NeabXWhno<=>?_^cm`567:8i0[lg{_Lcg`ZYflm:;<=QPaof34546991\mdzP_`fg4567484:<6Yniu]\eab789:7>3??;VcjpZYflm:;<=2<>028SdosWVkoh=>?0=6=2>QfzyYN=i5WIMKM\(^CJ):%=-][UC"3*4&F[JCB86V@R348\VRKAK=0T^ZPGOF4?]USW_IH:6Vkb^Kg55=_ldUFmga}Vdppmjh682RoaRCfnnpUawungg<0Tb2?>018\vr43jd>6m|3:dpf1=ngkg?7dams89mEAir|EO;i6`NDnwwH@6XGK_M==5aAEmvpIC7Wfx;<=>>1:lB@jssDL:Tc>?01325>hFLf@H>Pos23454692dJHb{{LD2\kw6789927cOKotvOA4g?1g9mEVir|Vddx=>?1033?kGTg|~Tbbz?01324c=iIZe~xR``t12357`c:lBkprHMVEIYK;4nCGQV<=iJLXYSK]Me:lAAWTXNZHTCO[I109mF@TUWOYISRa}012357=iJLXYSK]M_^mq456798;0bOK]R^DPFZYhz9:;=<<4nCGQVZ@TJVUd~=>?1031?kDBZ[UM_OQPos234446:2dII_\PFR@\[jt789;856`MESP\MJDb3gHN^_QFOC]JFP@03gHLSDAM7:lAVZOHJj1eN_QFOC]LFP@a3gHYSDAM_lw{4567991eN_QFOC]nq}6789;:<6`MR^KLFZkrp9:;?3028jGTXAFHTaxv?012755=iJ[UBCOQbuy23453682dI^RG@B^ov|5678?;;7cL]_HMA[hs89:;;95aC@Q:?kEF[VEIYKm4nBCP[hs89:;h6`LAR]nq}6789;o7cMNS^ov|5678;n0bNO\_lw{4567;m1eOL]Pmtz34563l2dHM^Qbuy23453c3gIJ_Rczx12343bhDIZUfyu>?01;5?kBSIJAj7cJ[ABI\BVDa3gN_MNEPFR@\KGSAi2dOXLMD_HMAb>hC\HI@SDAM_H@VB`=iL]KHGRoad1234c=iL]KHGRoad12344`k5aDhlLAZgil9:;<>h4nEkmK@Yffm:;<=:i;oFjjJCXign;<=>:7:lGkprHM11eHb{{OD3`?kBh}}ENSBLZFg9m@jssGLUjbi>?0033?kBh}}ENSl`k01225467cG_L3c8jLVK:VCIYK84nHRO6w2hKLZUjbi>?035g?kJC[Vkeh=>?29f8jIBTWhdo<=>=9b9mHAUXff~;<=?k;oNGWZhh|9:;=<:4nMUF1>hK_L;h7cBXE^cm`5678m1e@ZKPaof34566l2dG[HQnne23454c3gF\IRoad123465?00a8jJCXff~;<=?>c:lLAZhh|9:;=?m4nNG\jjr789;8o6`@E^llp5679=20bBxjrmcz54=iGoy`lwP_`lg45679;1eC{k}l`{\[dhc89:;=<>4nNtfvig~WVey<=>?109mKscudhsTSb|?012254=iGoy`lwP_np34565981eC{k}l`{\[jt789:8=<5aOwgqhdXWfx;<=>;109mKscudhsTSb|?01263>hUIDF\In5aR@OOS@YHJ\L<7c\NMTUFg>hUID_\IRAMUG68jWGT=2dYM^?:;oPBW73hUIZ?>7c\NS7;8jWGTWFH^J95aRDQa?kTB[VCDNXHJc:lQAVYig}:;<hUGD]N;6`]OLUF5g=iZFG\IRAMUG68jPBT02d^H^QISCf8jPBTWOYISDLZF99mQAUXAFHn7c[KS^KLFZIE]OOi7c[KS^KLFjssk2d^H^Qnne2344bhRLZUjbi>?001g?kSC[Vkeh=>?15f8jPBTWhdo<=>>5e9mQAUXign;<=?9d:lV@VYffm:;<<9k;oWGWZgil9:;=5j4nTFP[dhc89::5n5aUEQ\jjr789;o7c[KS^llp56798>0bXYJ5:lVS@7d3g_\IRoad1234a=i]^OTmcj?0122`>hR_LUjbi>?010g?kSPMVkeh=>?0268jSKF02d]ALQFOCg8jSKFW@EISBLZFD`8jSKFW@EIcxzk;oTNEZYffm:;<=k4nWOB[Zgil9:;<hQEHUjbi>?01f8jSKFWhdo<=>?1e9mRHGXign;<=>=d:lUIDYffm:;<==k;oTNEZgil9:;<9j4nWOB[dhc89:;995aVQC;?kPWIVLXNi5aVQC\BVDXGK_M46`YP@]JKGb?00a8jSVFWge<=>>d:lUTDYig}:;<hPMVLXNn5aWD]EWGYNJ\L87ob8:z`=067pewKL}?;6e9CD}5ej3L1>7?tS9:97f3=:;>1=>:m2gf95ae5lrd8o?4>;o1`7?0<,:i:6>ll;|Q;3?5d=38987?<4c0e`?7ck:;0h>lm:182>4}T0108o84=258271d5nm0:hn=l{R:;>6e22;8?6<=;b3dg>4bd:m1/?5751b:8 7422=k37o=mb;290g<2<3knwE=77:&01`<4jk1Q;44<{386>3:n;*657?6=3`=o6=44o52f>5<6=44o5;`>5<5<o1<75`44`94?=n;o>1<75`44594?=h<9;1<75`3g594?=n1h0;66gk4;29?l2683:17b=k7;29?j5b03:17d:=c;29?j2213:17d:6e;29?j2093:17b:;7;29?l>72900e:l50;9l7fd=831d?i?50;9j066=831b?k850;9l03>=831d8<650;9l064=831d85950;9l04e=831d8?;50;9j0d3=831d?hm50;9l60?=83.9>:4=599m670=821d>8950;&162<5=11e>?851:9l630=83.9>:4=599m670=:21d>;;50;&162<5=11e>?853:9l632=83.9>:4=599m670=<21d>;=50;&162<5=11e>?855:9l634=83.9>:4=599m670=>21d>;?50;&162<5=11e>?857:9l60e=83.9>:4=599m670=021d>8l50;&162<5=11e>?859:9l60g=83.9>:4=599m670=i21d>8850;&162<5=11e>?85b:9j0g<72-89;7:n;o012?6<3`>26=4+23590d=i:;<1=65f4983>!45?3>j7c<=6;08?l20290/>?954`9m670=;21b884?:%013?2f3g89:7:4;h67>5<#:;=18l5a23491>=n<:0;6)<=7;6b?k45>3<07d:=:18'671=?857:9j04<72-89;7:n;o012?><3`>;6=4+23590d=i:;<1565f3g83>!45?3>j7c<=6;c8?l5b290/>?954`9m670=j21b?i4?:%013?2f3g89:7m4;h1`>5<#:;=18l5a2349`>=n=:0;6)<=7;6b?k45>3o07d;=:18'671=?85f:9j14<72-89;7:n;o012?7732c><7>5$304>1g5<#:;=18l5a234957=h5:?0:?65f4e83>!45?3>j7c<=6;37?>o3k3:1(?<8:5c8j74128?07d:9:18'671=?851798m64c290/>?9533a8j7412910e>?9533a8j7412;10n>li:182>5<7s-9>i7jl;I1aa>N40>1dho4?::a7f6=83;1<7>t$27f>6>?3A9ii6F<869l675=831vn>m8:182`?5e2=lpD>68;%16a?>>3S=26=?7>5;n332?6=3`>j87>5;n015?6=3f8=47>5;n0`a?6=3fo=6=44i0594?"5:>0::6`=2783?>o6=3:1(?<8:048j7412810e<:50;&162<6>2d9>;4=;:k26?6=,;8<6<84n305>6=h5:?0?76gi:18'671=9?1e>?855:9ja?6=,;8<6<84n305>3=3=07dm50;&162<6>2d9>;47;:ka>5<#:;=1=;5a2349=>=ni3:1(?<8:048j7412h10e44?:%013?713g89:7l4;h:94?"5:>0::6`=278`?>o0290/>?95179m670=l21b=k4?:%013?713g89:7k4;h3f>5<#:;=1=;5a2349b>=e;kl1<7?50;2x 63b2mi0D>lj;I1;3>icj3:17pl;4d83>0<729q/?8k5d99K7gc<@:2<7)k6:19j<6<722c397>5;h5b>5<>{e:jl1<7<50;2x 63b2lk0D>lj;I1;3>ocl3:17b<>b;29?xd5l90;6>4?:1y'70c=:8o0D>lj;I1;3>ocm3:17dji:188k77e2900qo<9a;296?6=8r.89h4ja:J0f`=O;1=0eij50;9l64d=831vn?8m:181>5<7s-9>i7kn;I1aa>N40>1bhi4?::m15g<722wi>;m50;194?6|,:?n6>?4H2`f?M5??2coi7>5;hfe>5<N4jl1C?594ieg94?=nlo0;66a=1c83>>{e<<:1<7=50;2x 63b2;;n7E=me:J0<2=nll0;66gkf;29?j46j3:17pl=d383>7<729q/?8k5e`9K7gc<@:2<7djk:188k77e2900qo5<7s-9>i7kn;I1aa>N40>1bhi4?::m15g<722wi>i;50;794?6|,:?n6>=4H2`f?M5??2coi7>5;hfe>5<>i59k0;66sme683><<729q/?8k5379K7gc<@:2<7djj:188ma`=831bi=4?::kf5?6=3`o96=44id194?=nm=0;66gj5;29?j46j3:17pl<8e83>0<729q/?8k5329K7gc<@:2<7djj:188ma`=831bi=4?::kf5?6=3f8:n7>5;|`068;hff>5<>i59k0;66sm38394?4=83:p(>;j:dc8L6db3A93;6gkd;29?j46j3:17pl<9383>7<729q/?8k5e`9K7gc<@:2<7djk:188k77e2900qo=63;296?6=8r.89h4ja:J0f`=O;1=0eij50;9l64d=831vn>7;:180>5<7s-9>i7=>;I1aa>N40>1bhh4?::kgb?6=3f8:n7>5;|`0=0<72:0;6=u+34g974=O;ko0D>68;hff>5<1<729q/?8k5339K7gc<@:2<7djj:188ma`=831bi=4?::m15g<722wi88<50;194?6|,:?n6>?4H2`f?M5??2coi7>5;hfe>5<N4jl1C?594ief94?=h:8h1<75rb577>5<5290;w)=:e;gb?M5em2B84:5fde83>>i59k0;66sm44794?5=83:p(>;j:238L6db3A93;6gke;29?lba2900c??m:188yg22>3:1?7>50z&01`<59l1C?ok4H2:4?lbb2900eih50;9l64d=831vn>=6:18:>5<7s-9>i7=9;I1aa>N40>1bhh4?::kgb?6=3`o;6=44id394?=nm;0;66gj3;29?lc32900eh;50;9l64d=831vn>:>:180>5<7s-9>i7<>e:J0f`=O;1=0eik50;9j`c<722e9=o4?::a714=83?1<7>t$27f>65<@:hn7E=77:kga?6=3`nm6=44id294?=nm80;66a=1c83>>{e;=91<7<50;2x 63b2lk0D>lj;I1;3>ocl3:17b<>b;29?xd4<=0;6?4?:1y'70c=mh1C?ok4H2:4?lbc2900c??m:188yg53=3:1>7>50z&01`t$27f>`g<@:hn7E=77:kg`?6=3f8:n7>5;|`00=<72;0;6=u+34g9ad=O;ko0D>68;hfg>5<N4jl1C?594ief94?=h:8h1<75rb21a>5<2290;w)=:e;10?M5em2B84:5fdd83>>ocn3:17dk?:188m`7=831d>5;n02f?6=3th8?i4?:283>5}#;5;hfe>5<N4jl1C?594ieg94?=nlo0;66gj0;29?j46j3:17pl<3g83>6<729q/?8k5309K7gc<@:2<7djj:188ma`=831d>5;n02f?6=3th:<:4?:283>5}#;5;hfe>5<N4jl1C?594ief94?=h:8h1<75rb02g>5<2290;w)=:e;10?M5em2B84:5fdd83>>ocn3:17dk?:188m`7=831d>5;n02f?6=3th:5}#;5;hfe>5<N4jl1C?594ief94?=h:8h1<75rb032>5<4290;w)=:e;02a>N4jl1C?594ieg94?=nlo0;66a=1c83>>{e9881<7=50;2x 63b2:;0D>lj;I1;3>ocm3:17dji:188k77e2900qo?>3;297?6=8r.89h4<1:J0f`=O;1=0eik50;9j`c<722e9=o4?::a55>=8391<7>t$27f>67<@:hn7E=77:kga?6=3`nm6=44o33a>5<53;294~"4=l09=h5G3cg8L6>03`nn6=44ied94?=h:8h1<75rb02b>5<4290;w)=:e;12?M5em2B84:5fdd83>>ocn3:17b<>b;29?xd68k0;6>4?:1y'70c=:8o0D>lj;I1;3>ocm3:17dji:188k77e2900q~950;1xZ2=:;=81hh523519`a=z{10;6>uQ8:?007ac<58:m6ik4}r`94?3|Vk16?4>5dg9>714=m816?985de9>55`=lo1vn4?:3y]g>;4;00oj6s|d;291~Xc34o<6ik4=2:g>a`<5:926h>4=02g>aca2c2l:01>=6:d18946c2l:0q~??:186[7734o<6h?4=2:g>`7<5:926h<4=02g>`7a2mo01>=6:d6894602ml01<>j:eg8yv73290a`<5:926h;4=024>ac<58:n6ih4}r36>5<0sW;>70<9a;fg?84c=3nn70k8:d6896?62mn01>:8:ef894742mo0q~?8:184[70348=n7jk;<0g0?bc34o<6h;4=2;1>ab<5:>36ij4=02:>acf;296~X6n2788<4kf:p36<72=qU;>524429`c=:<<81hh524419`a=z{1>1<7k0q~k9:181[c134o<6??m;|q243<72;qU==84=02a>77e3ty:<:4?:3y>551=:8h01:eg8yv7703:1>v3>09815g=:99k1hk5rs02:>5<5s4;;57<>b:?24g55g=ll1v<>j:181877m38:n63>098ga>{t99l1<777e34;:>7jj;|q255<72;q6=<>520`894752ml0q~?>1;296~;69809=o521019`c=z{8;96=4={<326?46j27:<54kf:p545=838p13b83>7}Y9:i01>6j:33a?xu5910;6?u23cd9`g=:<=o1485rs302>5<5sW89=63=23815g=z{;<36=4={_05<>;5>m09=o5rs34:>5<4sW8=563=6b815g=::?n1hh5rs34b>5<5s48=m7<>b:?12f;l50;0x970e2;;i70<9c;fe?xu5kl0;6?uQ2bg897b72;;i7p}=cg83>7}::jl1>a`54z\1`4=::m:1hh522e7964d<5=?96ih4}r0g6?6=:r79h?4=1c9>6a3=lo1v?j<:18184c;38:n63=d48f4>{t:m>1<777e348o97k>;|q07=<72;qU?>64=263>77e3ty8?44?:3y>76?=:8h01>:?:eg8yv54i3:1>v3<3`815g=:;:l1hk5rs21a>5<5s498n7<>b:?07am50;0x965d2;;i70=76`=ll1v>=i:181854n38:n63<418gb>{t;=;1<777e3498i7ji;|q007<72;q6?9<520`8965c2mo0q~=;3;296~;4<:09=o5232`9``=z{:>?6=4={<170?46j278?o4kf:p713=838p1>:::33a?854j3o;7p}<4783>7}:;=<1>`752z?002<59k16?>m5dd9~w62?2909w0=;8;02f>;4;j0oj6s|39f94?4|5:2o6??m;<1;a?ba3ty84k4?:3y>7=`=:8h01>79:eg8yv5>83:1>v3<91815g=:;0>1hh5rs2;2>5<5s492=7<>b:?0=16ih4}r1:0?6=:r78594=1c9>7<0=lo1v>7::18185>=38:n63<8d8f4>{t;0<1<777e3493i7jj;|q70`<72l:ef8yv23n3:1>v3;4d8;g>;3=909=o5rs572>5<5s4>?i76<;<662?46j2wx88<50;0x91352;;i70::6;ff?xu3=:0;6?u2441964d<5=?>6ik4}r660?6=:r7?994=1c9>003=lo1v9;::181822=38:n63;578gb>{t=n:ef894772mn0qpl;a683>60=1j0iivF<869'70c=:?=0V:752z090?{#9j=1?9o4i9694?=n??0;66g;0e83>>i3090;66g>i3>k0;66a;5c83>>o5>00;66a;0083>>o0;3:17d==3;29?j23?3:17d:=f;29?l56?3:17d:n4;29?l21m3:17d<98;29?j2103:17d3:07b<:7;29 7402;?37c<=6;38?j41>3:1(?<8:37;?k45>3807b<95;29 7402;?37c<=6;18?j41<3:1(?<8:37;?k45>3>07b<93;29 7402;?37c<=6;78?j41:3:1(?<8:37;?k45>3<07b<91;29 7402;?37c<=6;58?j42k3:1(?<8:37;?k45>3207b<:b;29 7402;?37c<=6;;8?j42i3:1(?<8:37;?k45>3k07b<:6;29 7402;?37c<=6;`8?l73290/>?95129m670=821b=?4?:%013?743g89:7?4;h33>5<#:;=1=>5a23496>=nn3:1(?<8:018j7412:10eh4?:%013?743g89:7:4;hf94?"5:>0:?6`=2786?>od290/>?95129m670=>21bn7>5$304>45!45?3;87c<=6;:8?l?=83.9>:4>3:l163<>32c36=4+235956=i:;<1m65f7;29 7402890b?<9:c98m4`=83.9>:4>3:l1635$304>450:?6`=278f?>o6k3:1(?<8:018j7412o10e;4>0:9j5d<72-89;7?<;o012?7632c:57>5$304>455<#:;=1=>5a234956=h5:?0:865m3cd94?7=83:p(>;j:ea8L6db3A93;6akb;29?xd48m0;684?:1y'70c=l11C?ok4H2:4?l>42900e5;50;9j3d<722c3o7>5;n01=?6=3th9:h4?:283>5}#;03`=j6=44i9a94?=h:;31<75rb564>5<4290;w)=:e;f5?M5em2B84:5f7`83>>o?k3:17b<=9;29?xd?l3:1?7>50z&01`2B8nh5G3958m2g=831b4n4?::m16<<722wi>:950;194?6|,:?n6i84H2`f?M5??2.n57>4i6c94?=n0j0;66a=2883>>{e:131<7=50;2x 63b2m<0D>lj;I1;3>"b13:0e:o50;9j44?::a62`=8391<7>t$27f>a0<@:hn7E=77:&f=?6k1<75f8b83>>i5:00;66sm29494?5=83:p(>;j:e48L6db3A93;6*j9;28m2g=831b4n4?::m16<<722wi8=?50;194?6|,:?n6i84H2`f?M5??2c5;h:`>5<N4jl1C?594$d;94>o0i3:17d6l:188k74>2900qo5<53;294~"4=l0o:6Fd2900c?<6:188yg4b?3:1?7>50z&01`2B8nh5G3958 `?=82c5;h:`>5<N4jl1C?594$d;94>o0i3:17d6l:188k74>2900qo5<53;294~"4=l0o:6Fd2900c?<6:188yg4a13:1?7>50z&01`2B8nh5G3958 `?=92c5;h:`>5<N4jl1C?594ief94?=h:8h1<75rb5:2>5<5290;w)=:e;gb?M5em2B84:5fde83>>i59k0;66sm45094?4=83:p(>;j:dc8L6db3A93;6gkd;29?j46j3:17pl<2083>7<729q/?8k5e`9K7gc<@:2<7djk:188k77e2900qo==2;297?6=8r.89h4<1:J0f`=O;1=0eik50;9j`c<722e9=o4?::a68;hfg>5<N4jl1C?594ieg94?=nlo0;66a=1c83>>{e:121<7<50;2x 63b2lk0D>lj;I1;3>ocl3:17b<>b;29?xd3>j0;6>4?:1y'70c=:8o0D>lj;I1;3>ocm3:17dji:188k77e2900qo=?f;297?6=8r.89h4=1d9K7gc<@:2<7djj:188ma`=831d>5;n02f?6=3th9;n4?:383>5}#;03`no6=44o33a>5<53;294~"4=l08=6F>i59k0;66sm26g94?5=83:p(>;j:33f?M5em2B84:5fdd83>>ocn3:17b<>b;29?xd50k0;6>4?:1y'70c=;81C?ok4H2:4?lbb2900eih50;9l64d=831vn?6l:180>5<7s-9>i7<>e:J0f`=O;1=0eik50;9j`c<722e9=o4?::a6=b=8391<7>t$27f>77b3A9ii6F<869j``<722coj7>5;n02f?6=3th94h4?:283>5}#;03`nn6=44ied94?=h:8h1<75rb3:e>5<4290;w)=:e;02a>N4jl1C?594ieg94?=nlo0;66a=1c83>>{e:1;1<7<50;2x 63b2lk0D>lj;I1;3>ocl3:17b<>b;29?xd50;0;6?4?:1y'70c=mh1C?ok4H2:4?lbc2900c??m:188yg4?;3:1?7>50z&01`<492B8nh5G3958mac=831bhk4?::m15g<722wi>5:50;194?6|,:?n6>?4H2`f?M5??2coi7>5;hfe>5<68;hff>5<>i59k0;66sm26294?5=83:p(>;j:33f?M5em2B84:5fdd83>>ocn3:17b<>b;29?xd5?80;6?4?:1y'70c=mh1C?ok4H2:4?lbc2900c??m:188yg40:3:1>7>50z&01`5;n02f?6=3th9;94?:283>5}#;03`nn6=44ied94?=h:8h1<75rb356>5<4290;w)=:e;02a>N4jl1C?594ieg94?=nlo0;66a=1c83>>{e:><1<7:50;2x 63b2;;m7E=me:J0<2=nll0;66gkf;29?lc72900c??m:188yg27<3:1?7>50z&01`<59l1C?ok4H2:4?lbb2900eih50;9l64d=831vn9>::180>5<7s-9>i7=>;I1aa>N40>1bhh4?::kgb?6=3f8:n7>5;|`743<72;0;6=u+34g9ad=O;ko0D>68;hfg>5<68;hff>5<>{e<931<7=50;2x 63b2;;n7E=me:J0<2=nll0;66gkf;29?j46j3:17pl;0`83>6<729q/?8k5309K7gc<@:2<7djj:188ma`=831d>5;n02f?6=3th?5}#;?>4H2`f?M5??2coi7>5;hfe>5<>i59k0;66sm49194?4=83:p(>;j:dc8L6db3A93;6gkd;29?j46j3:17pl;8583>7<729q/?8k5e`9K7gc<@:2<7djk:188k77e2900qo:75;290?6=8r.89h4<2:J0f`=O;1=0eik50;9j`c<722cn<7>5;n02f?6=3th?894?:383>5}#;03`no6=44o33a>5<?97>52;294~"4=l0nm6F=6=4;:183!52m3997E=me:J0<2=nll0;66gkf;29?lc72900c??m:188yg4013:187>50z&01`<4:2B8nh5G3958mac=831bhk4?::kf4?6=3f8:n7>5;|`1=7<72:0;6=u+34g974=O;ko0D>68;hff>5<>{e:0>1<7=50;2x 63b2:;0D>lj;I1;3>ocm3:17dji:188k77e2900qo<65;297?6=8r.89h4=1d9K7gc<@:2<7djj:188ma`=831d>5;n02f?6=3th9m=4?:383>5}#;03`no6=44o33a>5<53;294~"4=l08=6F>i59k0;66sm2`094?5=83:p(>;j:33f?M5em2B84:5fdd83>>ocn3:17b<>b;29?xd5ij0;6>4?:1y'70c=;81C?ok4H2:4?lbb2900eih50;9l64d=831vn?ok:181>5<7s-9>i7kn;I1aa>N40>1bhi4?::m15g<722wi>lk50;194?6|,:?n6>?4H2`f?M5??2coi7>5;hfe>5<68;hff>5<7<729q/?8k5e`9K7gc<@:2<7djk:188k77e2900qot$27f>77b3A9ii6F<869j``<722coj7>5;n02f?6=3th9n54?:283>5}#;03`nn6=44ied94?=h:8h1<75rb3`:>5<5290;w)=:e;gb?M5em2B84:5fde83>>i59k0;66sm2cc94?5=83:p(>;j:238L6db3A93;6gke;29?lba2900c??m:188yg4ej3:1?7>50z&01`<59l1C?ok4H2:4?lbb2900eih50;9l64d=831vn?lj:180>5<7s-9>i7=>;I1aa>N40>1bhh4?::kgb?6=3f8:n7>5;|`1fc<72;0;6=u+34g9ad=O;ko0D>68;hfg>5<N4jl1C?594ieg94?=nlo0;66a=1c83>>{e:j;1<7=50;2x 63b2;;n7E=me:J0<2=nll0;66gkf;29?j46j3:17pl=c583>6<729q/?8k5309K7gc<@:2<7djj:188ma`=831d>t$27f>67<@:hn7E=77:kga?6=3`nm6=44o33a>5<53;294~"4=l09=h5G3cg8L6>03`nn6=44ied94?=h:8h1<75rb3ab>5<4290;w)=:e;12?M5em2B84:5fdd83>>ocn3:17b<>b;29?xd5kk0;6?4?:1y'70c=mh1C?ok4H2:4?lbc2900c??m:188yg4dk3:1?7>50z&01`<492B8nh5G3958mac=831bhk4?::m15g<722wi>nj50;194?6|,:?n6??j;I1aa>N40>1bhh4?::kgb?6=3f8:n7>5;|`1e0<72:0;6=u+34g974=O;ko0D>68;hff>5<>{e:h=1<7=50;2x 63b2:;0D>lj;I1;3>ocm3:17dji:188k77e2900qo5;n02f?6=3th9544?:383>5}#;03`no6=44o33a>5<53;294~"4=l08=6F>i59k0;66sm28`94?5=83:p(>;j:33f?M5em2B84:5fdd83>>ocn3:17b<>b;29?xd5=m0;6>4?:1y'70c=;81C?ok4H2:4?lbb2900eih50;9l64d=831vn?;j:181>5<7s-9>i7kn;I1aa>N40>1bhi4?::m15g<722wi>8h50;194?6|,:?n6>?4H2`f?M5??2coi7>5;hfe>5<68;hff>5<>{e:on1<7<50;2x 63b2lk0D>lj;I1;3>ocl3:17b<>b;29?xd5nl0;6?4?:1y'70c=mh1C?ok4H2:4?lbc2900c??m:188yg4an3:1>7>50z&01`t$27f>67<@:hn7E=77:kga?6=3`nm6=44o33a>5<7>54;294~"4=l09=k5G3cg8L6>03`nn6=44ied94?=nm90;66a=1c83>>{e;991<7=50;2x 63b2;;n7E=me:J0<2=nll0;66gkf;29?j46j3:17pl<0583>1<729q/?8k5339K7gc<@:2<7djj:188ma`=831bi=4?::m15g<722wi>km50;194?6|,:?n6??j;I1aa>N40>1bhh4?::kgb?6=3f8:n7>5;|`1b3<72:0;6=u+34g974=O;ko0D>68;hff>5<6<729q/?8k520g8L6db3A93;6gke;29?lba2900c??m:188yg4a93:197>50z&01`<4;2B8nh5G3958mac=831bhk4?::kf4?6=3`o:6=44o33a>5<7>52;294~"4=l0nm6F7<729q/?8k5e`9K7gc<@:2<7djk:188k77e2900qot$27f>77b3A9ii6F<869j``<722coj7>5;n02f?6=3th8=<4?:483>5}#;?>4H2`f?M5??2coi7>5;hfe>5<>i59k0;66sm30094?4=83:p(>;j:dc8L6db3A93;6gkd;29?j46j3:17pl<1283>7<729q/?8k5e`9K7gc<@:2<7djk:188k77e2900qo=>4;297?6=8r.89h4<1:J0f`=O;1=0eik50;9j`c<722e9=o4?::a743=8391<7>t$27f>77b3A9ii6F<869j``<722coj7>5;n02f?6=3th?8l4?:283>5}#;03`nn6=44ied94?=h:8h1<75rb56a>5<5290;w)=:e;gb?M5em2B84:5fde83>>i59k0;66sm45a94?4=83:p(>;j:dc8L6db3A93;6gkd;29?j46j3:17pl;4e83>1<729q/?8k520d8L6db3A93;6gke;29?lba2900eh>50;9l64d=831vn9;l:181>5<7s-9>i7kn;I1aa>N40>1bhi4?::m15g<722wi88j50;094?6|,:?n6ho4H2`f?M5??2coh7>5;n02f?6=3th?9h4?:383>5}#;03`no6=44o33a>5<>j7>52;294~"4=l0nm6F>ob83:17b<>b;29?xd3>80;694?:1y'70c=;;1C?ok4H2:4?lbb2900eih50;9ja5<722e9=o4?::a6a1=8381<7>t$27f>`g<@:hn7E=77:kg`?6=3f8:n7>5;|`1`f<72;0;6=u+34g9ad=O;ko0D>68;hfg>5<N4jl1C?594ief94?=h:8h1<75rb3ff>5<4290;w)=:e;12?M5em2B84:5fdd83>>ocn3:17b<>b;29?xd5lo0;6?4?:1y'70c=mh1C?ok4H2:4?lbc2900c??m:188yg4b83:1>7>50z&01`1<7>t$27f>77a3A9ii6F<869j``<722coj7>5;hg3>5<N4jl1C?594ieg94?=nlo0;66a=1c83>>{e:m21<7=50;2x 63b2:;0D>lj;I1;3>ocm3:17dji:188k77e2900qot$27f>77b3A9ii6F<869j``<722coj7>5;n02f?6=3th9ho4?:283>5}#;03`nn6=44ied94?=h:8h1<75rs683>7}Y?279m<4ke:p2909wS74=3`7>ac6gg=ll1vo4?:3y]f>;5k90oi6s|c;296~Xd348ho7jj;|qg>5<5sWn01?o8:eg8yvc=838pRh5228c9``=z{o0;6?uQf:?1g352z\20>;5k=0oj6s|1983>7}Y9116>4h5dg9~w4?=838pR<74=3c`>a`b;296~X6j279n54kf:p5f<72;qU=n522cg9`c=z{8n1<7=t^0f897g22ml01?77:ed8yv7b2909wS?j;<0`e?ba3ty:j7>52z\2b>;51=0oi6s|7283>2}Y?:16>:75dd9>6cc=lm16>k?5dd9>01e=lm16>im5de9>6ac=ll1v:850;1xZ20<5=>j6ih4=3fe>ab1z\;0>;48m0;3<>0;5m00oi63;048ga>;5mj0oh63;4`8ga>{t0l0;6?u28e8;g>;?n38:n6s|20:94?4|5:hm6il4=22g>=3:7>52z\113=::0?1>m7>52z\11d=::h81>o7>53z\11f=Y:<301?8?:33a?xu5=m0;6?u224f964d<5;<;6ik4}r06a?6=:r799h4=1c9>60`=lo1v?;i:181842n38:n63=618gb>{t:?;1<7{t:?91<7{t:??1<7{t:?21<7;t^34;?84013nm7000;6>uQ27;897b02mn01?k?:ef8yv41m3:1>vP=6d9>63c=:;30q~<9f;296~;5>l03o63=77815g=z{;=;6=4={<044?46j279;;4kf:p627=838p1?9>:33a?840;3nm7p}=7383>7}::>81>a`52z?136<59k16>:;5dg9~w7132909w0<84;02f>;5??0n<6s|26794?4|5;=>6??m;<042?bb3ty9;:4?:0;x97102;8270:75;fe?823>3nm70<62;ff?84>;3no70<6f;ff?84f83no703no70<68;ff?84>13no70<:d;ff?842m3no7p}=7983>6}::>h1hh5229a9``=::>31>{t:>i1<777e348:j520`8971b2ml0q~<8f;292~;5?o09>45226;9a5=::ol1hi522g39a4=:<d348397<>b:p6=7=838p1?6>:33a?84?;3nm7p}=8383>7}::181>a`52z?1<6<59k16>5;5dd9~w7>32909w0<74;02f>;50<0n<6s|29494?4|5;2=6?<6;<66b?bc3ty94:4?:2y>6=0=?h168=?57`9>6=>=:8h0q~<79;296~;50009>45244g9`a=z{;2j6=4={<0;=?>d3483j7<>b:p6=d=838p1?6m:33a?84?l3nm7p}=8b83>7}::1i1>a`52z?15h5dd9~w7>b2909w0<7e;02f>;50o0oj6s|28394?4|5;396??m;<0:1?bb3ty95?4?:3y>6<5=:8h01?7;:ed8yv4>;3:1>v3=95815g=::0?1hk5rs3;4>5<5s48247<>b:?1=g4650;0x97?>2;;i70<6a;fe?xu5100;6?u228c964d<5;3i6ih4}r0:a?6=:r795k4=1c9>6d4=ll1v?7i:18184f838:n63=a08gb>{t:h:1<777e348j>7ji;|q1e1<72;q6>l;520`897g?2mo0q~7}::hn1>a`52z?1e`<59k16>lh5dg9~w7d62909w0;5j<0oi6s|2c094?4|5;h86??m;<0a0?ba3ty9n>4?:3y>6g2=:8h01?l::ed8yv4e?3:1>v3=b9815g=::kh1hh5rs3`;>5<5s48i57<>b:?1fdo750;0x97df2;;i706f6=lo1v?li:18184d838:n63=c08gb>{t:j91<777e348h;7jj;|q1g1<72;q6>n;520`897e12ml0q~7}::ji1>a`52z\1g`=:5<>s487jk;<046?bc34>;:7jk;<134?bc348m:7jj;<0e6?bc348on7<>b:p6a1=838p1?j8:33a?84b:3nm7p}=d983>7}::m21>ac52z?1`<<59k16>io5dg9~w7bf2909w0;5lk0oi6s|2ea94?4|5;nh6??m;<0f6?bb3ty9hi4?:3y>6ab=:8h01?k=:d28yv4cm3:1>v3=dd815g=::m31hh5rs3fe>5<5s48oj7<>b:?1a6h>50;0x97c72;;i706a>=lo1v?k<:18184b;38:n63=d88gb>{t:l>1<78t=3g7>74>348397ji;<041?bb34>;57jj;<137?bb349:=7k?;|q1a0<72=q6>h:58b9>6`3=:;3019>;:eg896762mo0q~1hk523039`c=z{;o<6=48{<0f3?4512794o4kf:?1<64ke:?742h650;0x97c021i01?k6:33a?xu5mh0;68u22dc967?<5;o26ih4=353>ac<5=:>6ih4=3gg>ac52z?1ad;5mm0oj6s|2df94?4|5;oo6??m;<0fa?ba3ty9ik4?:4y>6=0=0j16>hh523;8971b2mo01?9?:ed897cb2mo0q~4ke:p6c4=838p1?h=:33a?84a;3nm7p}=f583>0}::l<14n522g6967?<5;2o6ik4=524>ac<5:;:6h?4}r0e1?6=:r79j947c:?1b=<59k1v?h9:18184a>38:n63=f98ga>{t:o=1<777e348m47ji;|q1b<<720q6>k7523;8971e2ml01?6j:eg897>32mo01?9;:eg8916f2mo01>>;:ed897`12ml01?h>:ed8yv4ai3:1>v3=f88;g>;5nj09=o5rs3da>5<5s48mn7<>b:?044kj50;0x97`c2;;i70=?2;fe?xu5nl0;6?u22gg964d<5::96ik4}r0eb?6=:r79jk4=1c9>754=m91v>>?:181857838:n63<058f4>{t;9;1<777e349;?7ji;|q047<72;q6?=<520`896632mo0q~=?3;296~;48:09=o522ga9``=z{::?6=4={<130?46j279jn4kf:p75b=839p1>>k:30:?85593no70?>:181856938:n63<148ga>{t;881<777e349:87jj;|q056<72;q6?<=520`896732ml0q~=>4;296~;49=09=o523079`c=z{:;<6=4;{_123>;48o0oj63<138g`>;5ll0oj6s|33294?1|5:896??m;<0;f?bb3483=7jk;<045?bc34>;47jk;<0ef?bc348m;7jj;|q064<72;q6???520`896452ml0q~==3;291~X4::16??<5dd9>75`=ll16?<=5de9>6a>=ll1v>j;:180[5c<27?:n4kf:?725;=7<=9:p055=838p19>>:9a8916d2;;i7p};0583>7}:<9>1>ac;97>52z?740<59k168=75dg9~w1612909w0:?6;02f>;38h0oj6s|41594?4|5=:<6??m;<63f?bb3ty?<54?:3y>05>=:8h019>m:ed8yv2713:1>v3;08815g=:<9i1hk5rs52b>5<5s4>;m7<>b:?74f;<676?46j2wx89=50;0x91252mn019:9:33a?xu3<=0;6?u2456964d<5=>=6ik4}r671?6=:r7?884=1c9>010=m91v9:8:181[23?27?8:4=289~w12>2909w0:;7;:`?823l38:n6s|45c94?4|5=>j6??m;<67`?ba3ty?8o4?:3y>01d=:8h019:k:eg8yv23k3:1>v3;4b815g=:<=n1i=5rs57a>5<5sW>>n63;60815g=z{=?h6=4={<66g?46j27?:=4ke:p00b=838p19;k:33a?82183o;7p};5d83>7}:<ac>j7>52z?71c<59k168;?5e19~w1072909w0:90;02f>;3>80oj6s|47:94?4|V=<370:99;02f>{t62;;i7p};8383>7}:<1;1hi52497964d3?7>52z?7<6<59k1685;5dd9~w1>32909w0:74;02f>;30<0n<6s|4`694?3|V=k?70:9c;ff?82?;3no70:;4;fg?823j3no7psm3bc94?7121;14iuG3958 63b28:?7)?l7;17e>o?<3:17d??0;29?l74k3:17dkl:188m47b2900c<=k:188m6b32900e:=50;9l516=831b8?h50;9j550=831b8l:50;9j03c=831d=?>50;9j677=831d=l:50;9l04e=831d=>;50;9l5=`=831d>=4?::m1a?6=3f9no7>5;c1ab?6=93:1N4jl1C?594oe`94?=zj:oh6=4::183!52m3n37E=me:J0<2=n0:0;66g75;29?l1f2900e5m50;9l67?=831vn>5<7s-9>i7j7;I1aa>N40>1/i44?;h:0>5<>o?k3:17b<=9;29?xd5m3:197>50z&01`5<6<729q/?8k5d79K7gc<@:2<7d9n:188m=e=831d>?750;9~f452290>6=4?{%16a?b?3A9ii6F<869j<6<722c397>5;h5b>5<lj;I1;3>o0i3:17d6l:188k74>2900qo?7f;297?6=8r.89h4k6:J0f`=O;1=0e:o50;9j44?::a576=8391<7>t$27f>a0<@:hn7E=77:k4e?6=3`2h6=44o30:>5<53;294~"4=l0o:6Fk1<75f8b83>>i5:00;66sm15294?5=83:p(>;j:e48L6db3A93;6g8a;29?l>d2900c?<6:188yg76n3:1?7>50z&01`2B8nh5G3958m2g=831b4n4?::m16<<722wi=l>50;794?6|,:?n6i64H2`f?M5??2c3?7>5;h:6>5<k1<75f8b83>>i5:00;66sm12394?3=83:p(>;j:e:8L6db3A93;6g73;29?l>22900e:o50;9j44?::a50?=83?1<7>t$27f>a><@:hn7E=77:k;7?6=3`2>6=44i6c94?=n0j0;66a=2883>>{eno0;6>4?:1y'70c=l?1C?ok4H2:4?!c>291b;l4?::k;g?6=3f8957>5;|`00g<72:0;6=u+34g9`3=O;ko0D>68;%g:>5=n?h0;66g7c;29?j4513:17pl<4g83>6<729q/?8k5d79K7gc<@:2<7)k6:19j3d<722c3o7>5;n01=?6=3th:?h4?:383>5}#;03`no6=44o33a>5<53;294~"4=l09=h5G3cg8L6>03`nn6=44ied94?=h:8h1<75rb53g>5<5290;w)=:e;gb?M5em2B84:5fde83>>i59k0;66sm12194?4=83:p(>;j:dc8L6db3A93;6gkd;29?j46j3:17pl>a383>7<729q/?8k5e`9K7gc<@:2<7djk:188k77e2900qo=jf;296?6=8r.89h4ja:J0f`=O;1=0eij50;9l64d=831vn>>50;194?6|,:?n6??j;I1aa>N40>1bhh4?::kgb?6=3f8:n7>5;|`01f<72;0;6=u+34g9ad=O;ko0D>68;hfg>5<N4jl1C?594ieg94?=nlo0;66a=1c83>>{e<;:1<7=50;2x 63b2:;0D>lj;I1;3>ocm3:17dji:188k77e2900qo:=1;296?6=8r.89h4ja:J0f`=O;1=0eij50;9l64d=831vn9<=:181>5<7s-9>i7kn;I1aa>N40>1bhi4?::m15g<722wi8?=50;194?6|,:?n6>?4H2`f?M5??2coi7>5;hfe>5<N4jl1C?594ieg94?=nlo0;66a=1c83>>{e9=81<7=50;2x 63b2:;0D>lj;I1;3>ocm3:17dji:188k77e2900qo?;3;296?6=8r.89h4ja:J0f`=O;1=0eij50;9l64d=831vn<:;:180>5<7s-9>i7=>;I1aa>N40>1bhh4?::kgb?6=3f8:n7>5;|`014<72;0;6=u+34g9ad=O;ko0D>68;hfg>5<68;hff>5<7<729q/?8k5e`9K7gc<@:2<7djk:188k77e2900qo=:6;296?6=8r.89h4ja:J0f`=O;1=0eij50;9l64d=831vn>;8:180>5<7s-9>i7=>;I1aa>N40>1bhh4?::kgb?6=3f8:n7>5;|`01=<72:0;6=u+34g974=O;ko0D>68;hff>5<>i59k0;66sm34c94?5=83:p(>;j:238L6db3A93;6gke;29?lba2900c??m:188yg52:3:1?7>50z&01`<59l1C?ok4H2:4?lbb2900eih50;9l64d=831vn>:k:180>5<7s-9>i7=>;I1aa>N40>1bhh4?::kgb?6=3f8:n7>5;|`00`<72:0;6=u+34g964c<@:hn7E=77:kga?6=3`nm6=44o33a>5<53;294~"4=l08=6F>i59k0;66sm3g094?4=83:p(>;j:dc8L6db3A93;6gkd;29?j46j3:17pl6<729q/?8k520g8L6db3A93;6gke;29?lba2900c??m:188yg74?3:1?7>50z&01`<492B8nh5G3958mac=831bhk4?::m15g<722wi=>650;094?6|,:?n6ho4H2`f?M5??2coh7>5;n02f?6=3th:?44?:383>5}#;03`no6=44o33a>5<53;294~"4=l08=6F>i59k0;66sm12`94?5=83:p(>;j:33f?M5em2B84:5fdd83>>ocn3:17b<>b;29?xd64?:1y'70c=;81C?ok4H2:4?lbb2900eih50;9l64d=831vn<:l:181>5<7s-9>i7kn;I1aa>N40>1bhi4?::m15g<722wi=9j50;094?6|,:?n6ho4H2`f?M5??2coh7>5;n02f?6=3th:8h4?:283>5}#;03`nn6=44ied94?=h:8h1<75rb06e>5<4290;w)=:e;12?M5em2B84:5fdd83>>ocn3:17b<>b;29?xd6=90;6?4?:1y'70c=mh1C?ok4H2:4?lbc2900c??m:188yg7293:1>7>50z&01`t$27f>77b3A9ii6F<869j``<722coj7>5;n02f?6=3th:8:4?:283>5}#;03`nn6=44ied94?=h:8h1<75rb06;>5<4290;w)=:e;12?M5em2B84:5fdd83>>ocn3:17b<>b;29?xd6<00;6>4?:1y'70c=:8o0D>lj;I1;3>ocm3:17dji:188k77e2900qo?;a;290?6=8r.89h4<2:J0f`=O;1=0eik50;9j`c<722cn<7>5;n02f?6=3th:8o4?:283>5}#;5;hfe>5<N4jl1C?594ieg94?=nlo0;66a=1c83>>{e9hn1<7<50;2x 63b2lk0D>lj;I1;3>ocl3:17b<>b;29?xd6il0;6?4?:1y'70c=mh1C?ok4H2:4?lbc2900c??m:188yg7fn3:1>7>50z&01`t$27f>`g<@:hn7E=77:kg`?6=3f8:n7>5;|`2f7<72;0;6=u+34g9ad=O;ko0D>68;hfg>5<N4jl1C?594ief94?=h:8h1<75rb0`7>5<4290;w)=:e;02a>N4jl1C?594ieg94?=nlo0;66a=1c83>>{e9h=1<7=50;2x 63b2:;0D>lj;I1;3>ocm3:17dji:188k77e2900qo?n8;290?6=8r.89h4<2:J0f`=O;1=0eik50;9j`c<722cn<7>5;n02f?6=3th:m44?:283>5}#;03`nn6=44ied94?=h:8h1<75rb0cb>5<4290;w)=:e;02a>N4jl1C?594ieg94?=nlo0;66a=1c83>>{e9hh1<7:50;2x 63b2:80D>lj;I1;3>ocm3:17dji:188m`6=831d>?4H2`f?M5??2coi7>5;hfe>5<68;hff>5<>{e9lj;I1;3>ocl3:17b<>b;29?xd6=o0;6>4?:1y'70c=;81C?ok4H2:4?lbb2900eih50;9l64d=831vn<8?:187>5<7s-9>i7==;I1aa>N40>1bhh4?::kgb?6=3`o;6=44o33a>5<53;294~"4=l08=6F>i59k0;66sm18:94?4=83:p(>;j:dc8L6db3A93;6gkd;29?j46j3:17pl>9883>7<729q/?8k5e`9K7gc<@:2<7djk:188k77e2900qo?6a;297?6=8r.89h4=1d9K7gc<@:2<7djj:188ma`=831d>t$27f>`g<@:hn7E=77:kg`?6=3f8:n7>5;|`2=a<72;0;6=u+34g9ad=O;ko0D>68;hfg>5<N4jl1C?594ieg94?=nlo0;66a=1c83>>{e90l1<7<50;2x 63b2lk0D>lj;I1;3>ocl3:17b<>b;29?xd61;0;694?:1y'70c=;;1C?ok4H2:4?lbb2900eih50;9ja5<722e9=o4?::a5<5=83>1<7>t$27f>64<@:hn7E=77:kga?6=3`nm6=44id294?=h:8h1<75rb0;7>5<4290;w)=:e;12?M5em2B84:5fdd83>>ocn3:17b<>b;29?xd61<0;6>4?:1y'70c=:8o0D>lj;I1;3>ocm3:17dji:188k77e2900qo?66;297?6=8r.89h4<1:J0f`=O;1=0eik50;9j`c<722e9=o4?::a5<1=83>1<7>t$27f>77a3A9ii6F<869j``<722coj7>5;hg3>5<N4jl1C?594ieg94?=nlo0;66a=1c83>>{e9;31<7<50;2x 63b2lk0D>lj;I1;3>ocl3:17b<>b;29?xd6:h0;6?4?:1y'70c=mh1C?ok4H2:4?lbc2900c??m:188yg75j3:1?7>50z&01`<59l1C?ok4H2:4?lbb2900eih50;9l64d=831vn<5<7s-9>i7kn;I1aa>N40>1bhi4?::m15g<722wi=?j50;094?6|,:?n6ho4H2`f?M5??2coh7>5;n02f?6=3th:>h4?:383>5}#;03`no6=44o33a>5<53;294~"4=l08=6F>i59k0;66sm12294?4=83:p(>;j:dc8L6db3A93;6gkd;29?j46j3:17pl>2283>1<729q/?8k5339K7gc<@:2<7djj:188ma`=831bi=4?::m15g<722wi=?:50;694?6|,:?n6><4H2`f?M5??2coi7>5;hfe>5<6=4<:183!52m39:7E=me:J0<2=nll0;66gkf;29?j46j3:17pl>2783>6<729q/?8k520g8L6db3A93;6gke;29?lba2900c??m:188yg75?3:1?7>50z&01`<492B8nh5G3958mac=831bhk4?::m15g<722wi=?650;694?6|,:?n6??i;I1aa>N40>1bhh4?::kgb?6=3`o;6=44o33a>5<52;294~"4=l0nm6F>{e;:81<7<50;2x 63b2lk0D>lj;I1;3>ocl3:17b<>b;29?xd4;:0;6?4?:1y'70c=mh1C?ok4H2:4?lbc2900c??m:188yg54<3:1?7>50z&01`<492B8nh5G3958mac=831bhk4?::m15g<722wi?>;50;194?6|,:?n6??j;I1aa>N40>1bhh4?::kgb?6=3f8:n7>5;|`073<72=0;6=u+34g977=O;ko0D>68;hff>5<>i59k0;66sm32594?5=83:p(>;j:33f?M5em2B84:5fdd83>>ocn3:17b<>b;29?xu583:1>vP=0:?14?4512wx>h4?:dy]6`=::l09>45212g9`a=:;<91hh5215g9``=:9<:1hi521c29`a=:9h=1hh5214f9`a=:90n1hi5218g9`c=:9;o1hi5213d9`c=:;:81hi5rs3d94?4|5;o14>5231815g=z{>91<7ot^618964b21i01<=::9a896ca2mn01<:=:ed896332ml01>h=:ef8945>2mn01<;m:eg896542mn0q~6;:1827~X?<278in48a:?06`<0i279i79n;<:b>2g<5;;=6:o4=016>2g<5;:1;l5219d93d=:9;:1;l521`693d=:9=:1;l5210d93d=:9h:1;l5212393d=:9<31;l52fg84e>;4{t0h0;6?u28`816<=:;90oi6s|8c83>7}:0h03o63<5e815g=z{li1<7;>:ef8962c2ml01<:l:ef894gc2mn0q~??0;296~X68916?k?5dg9~w461290>wS??6:?765d3ty:=k4?:3y>54`=:;301<=8:eg8yv7583:18vP>219>576=:;301<<=:eg894442ml0q~?=1;296~;6:903o63>29815g=z{8896=4={<316?46j27:>54kf:p575=838p1<<<:33a?875>3nn7p}>2583>7}:9;>1>a`52z?260<59k16=?65e19~w4412909w0?=6;02f>;6:>0oi6s|13594?4|588<6??m;<3144?:3y>57?=:8h01<<<:eg8yv75i3:1>v3>2`815g=:9;91i=5rs00a>5<5s4;9n7<>b:?2614}r31a?6=:r7:>h4=1c9>571=lo1v<248ga>{t9::1<777e34;997ji;|q274<72;q6=>?523;8944a2mo0q~?<2;296~;6;803o63>32815g=z{89>6=4={_301>;6;<09>45rs015>5<5s4;8976<;<30f?46j2wx=>950;0x94502;;i70?56d=lo1v<=n:181874i38:n63>3c8ga>{t9:i1<7:t^01`?87403no70?:b;fe?854=3nn7p}>3e83>7}Y9:n01<=i:33a?xu6;l0;6?u212g964d<589m6ih4}r374?6=;rT:8=52152967?<58>=6ik4}r375?6=?r7:894=1c9>51`=ll16=l65dg9>5<>=lm16=4o5dg9>57g=lm16=?l5dg9~w4252909w0?;2;02f>;6<=0oi6s|15194?4|58>86??m;<370?ba3ty:884?:3y>516=0j16=9l520`8yv73>3:1>v3>47815g=:9=21hh5rs064>5<5s4;?;7<>b:?20<j6ih4}r37e?6=:r7:8l4=1c9>51d=lo1v<:l:181873k38:n63>528ga>{t9=n1<777e34;>?7ji;|q20`<72;q6=9k520`8942>2mo0q~?;f;296~;6:33a?873i3nn7p}>5383>7}:9<81>`6?7>52z?216<59k16=965dg9~w43>2908w0?:9;01=>;6ag8g`>{t977e34;=<7ji;|q21g<72;q6=8m520`8943a2mo0q~?:c;296~;6=m09=o5214d9`c=z{8?o6=4={<36a?46j27::=4j0:p50c=838p1<;i:33a?87183nn7p}>8g83>1}Y91l01<6i:30:?87>93nn70?62;fe?xu6190;6?u219d95<1=lo1v<7=:18187>:38:n63>948ga>{t9091<777e34;297ji;|q2=1<72;q6=4:520`894?02l:0q~?65;296~;61<09=o521849``=z{83=6=4={<3:2?46j27:5:4ke:p5<>=838p1<77:33a?87>:3nn7p}>9883>7}:9031>`652z?2=d<59k16=4=5dg9~w4?e2909w0?6b;02f>;61:0oi6s|18a94?4|583h6??m;<3:7?c73ty:5i4?:3y>5m3:1>v3>9d815g=:90>1hh5rs0;e>5<5s4;2j7<>b:?2=150;0x94g72;8270?6e;ff?xu6i80;6?u21`2938:n63>a88ga>{t9h=1<777e34;jm7jj;|q2e=<72;q6=l6520`894gf2ml0q~?n9;296~;6i009=o521`a9``=z{8kj6=4={<3be?46j27:mo4kf:p5dd=838p1ae83>7}:9hn1>ac52z?2e`<59k16=o:5dg9~w4ga2909w0?nf;02f>;6i>0oj6s|1c294?4|58h;6??m;<3b5g7=:8h01v3>b3815g=:9hh1hh5rs0`0>5<5s4;i?7<>b:?2eg;59?09>4521`29<6=:9:;14>52318gb>{t:8=1<7=t=335>=e<58?265=4=043>77e3ty9=54?:9y>7g`=lk16?hm5849>77c=0<16>h475:?270;<616?bc3ty8>h4?:6y>77c=:;301<=i:eg894242mn01>;::ef896`62mo01<=8:ed8943b2mn0q~==f;296~;4:l03?63<36815g=z{:9;6=4={<104?46j278?94ke:p767=838p1>=>:33a?854<3nm7p}<3383>7}:;:81>`652z?076<59k16?>95dg9~w6532909w0=<4;02f>;4;<0oj6s|32794?4|5:9>6??m;<102?bb3ty8?;4?:3y>760=:8h01>=8:eg8yv53j3:1==u235`967?<5:?h6ij4=275>ab<5:>o6ik4=06g>ab<58?:6ij4=0cf>ab<58h96ij4=07`>a`<583:6ih4=0;`>ab<583m6ij4=001>a`<588o6ij4=013>ab<5:9:6ij4}r17g?6=:r788o47c:?00`<59k1v>:k:181853l38:n63<4d8gb>{t;=l1<7??{<03>=e<5:>m6?<6;<16`?bb349>?7ji;<163?bb349?i7jj;<372?ba34;>>7jk;<3b2?ba34;i?7jk;<36g?bb34;257jk;<3:e?bb34;957jk;<31f?bb3498<7jk;|q015<72;q6?9h58b9>704=:8h0q~=:1;296~;4=809=o523459`c=z{:?86=4={<167?46j278944ke:p702=838p1>;;:33a?85203nn7p}<5483>7}:;a`:7>52z?013<59k16?8o5dg9~w6302909w0=:7;02f>;4=;0oi6s|34:94?4|5:?36??m;<16=?ba3ty8944?:3y>70?=:8h01>;n:eg8yv52i3:1>v3<5`815g=:;<81hk5rs27a>5<5s49>o7<>b:?01a9=7jk;|q0af<72;qU?hm4=2g`>74>3ty8ih4?:3y>7`e=0j16?hh520`8yv5a83:1>v3;4n:09=o5rs2d2>5<5s49m=7<>b:?0b67}:<8n1hi52436964d9<7>52z?765<59k168?:5dd9~w1462909w0:=1;02f>;3::0oi6s|43094?4|5=896??m;<617?ba3ty?>>4?:3y>075=:8h019<;:ed8yv25n3:1?vP;2g9>565=lm16=?m5de9~w10b2908wS:9e:?2e7d34>9<7ji;<37b?ba34;i=7jk;|a7f>=83l1=84>fzJ0<2=#;5<5<?3:17d<;7;29?l4103:17d5;h:`>5<N4jl1C?594i6c94?=n0j0;66a=2883>>{e;>0;6>4?:1y'70c=l?1C?ok4H2:4?!c>291b;l4?::k;g?6=3f8957>5;|`7=g<72=0;6=u+34g9`<=O;ko0D>68;h5b>5<;j:dc8L6db3A93;6gkd;29?j46j3:17pl85;297?6=8r.89h4=1d9K7gc<@:2<7djj:188ma`=831d>t$27f>`g<@:hn7E=77:kg`?6=3f8:n7>5;|`752<72;0;6=u+34g9ad=O;ko0D>68;hfg>5<N4jl1C?594ief94?=h:8h1<75rb2:94?4=83:p(>;j:dc8L6db3A93;6gkd;29?j46j3:17pl;1283>6<729q/?8k5309K7gc<@:2<7djj:188ma`=831d>t$27f>67<@:hn7E=77:kga?6=3`nm6=44o33a>5<j=7>54;294~"4=l08>6F>ob83:17b<>b;29?xd48?0;6>4?:1y'70c=:8o0D>lj;I1;3>ocm3:17dji:188k77e2900qo=?7;297?6=8r.89h4<1:J0f`=O;1=0eik50;9j`c<722e9=o4?::a75>=8381<7>t$27f>`g<@:hn7E=77:kg`?6=3f8:n7>5;|`04<<72:0;6=u+34g964c<@:hn7E=77:kga?6=3`nm6=44o33a>5<53;294~"4=l08=6F>i59k0;66sm31`94?5=83:p(>;j:33f?M5em2B84:5fdd83>>ocn3:17b<>b;29?xd48j0;6>4?:1y'70c=;81C?ok4H2:4?lbb2900eih50;9l64d=831v>950;0x961=:;301:;5dd9~w6?=838p1>957`9>7=<59k1v>o50;0x961=0j16?o4=1c9~w25=83?pR:=4=659>1>=1<72g<5=3i6:o4=2:9`a=z{l<1<7=t^d4891732mn019o>:d28yv74=3:1>vP>349>750=lo1v<=l:181[74k278<54kd:p56b=838pR<=k;<13=?bb3ty98:4?:3y]611<5::h6ik4}r05;48>0oj6s|2bg94?4|V;in70=?6;ff?xu48<0;6>u24019`c=:52z?043<59k16?=l5dd9~w6602909w0=?7;02f>;48h0oi6s|31:94?4|5::36??m;<13=?ba3ty8<44?:3y>75?=:8h01>>n:ed8yv57i3:1>v3<0`815g=:;9h1hk5rs22a>5<5s49;n7<>b:?04f2n7<=8:?40?bc349i6ij4}r63`?6=:rT?:>7>52z?7523;02f>;39<0oi6s|40694?4|5=;?6??m;<621?ba3ty?=;4?:3y>05b=0j168<9520`8yv2>j3:1>vP;9c9>0d34>j?7<>b:~f6e>290::7l6:b7xL6>03-9>i7??3:&2g2<450;9j0=?7>5;n320?6=3`2;6=44i063>5<h1<75`43d94?=n9:>1<75f6c83>>i3>l0;66g>a283>>o6i=0;66g>i3910;66a;2483>>i583:17b:76;29?g5en3:1=7>50z&01`5<7s-9>i7j7;I1aa>N40>1b4>4?::k;1?6=3`=j6=44i9a94?=h:;31<75rbc694?5=83:p(>;j:e48L6db3A93;6g8a;29?l>d2900c?<6:188ygd729086=4?{%16a?b13A9ii6F<869j3d<722c3o7>5;n01=?6=3thjo7>53;294~"4=l0o:6Fk1<75f8b83>>i5:00;66sma383>6<729q/?8k5d79K7gc<@:2<7d9n:188m=e=831d>?750;9~f76=8391<7>t$27f>a0<@:hn7E=77:k4e?6=3`2h6=44o30:>5<6083>6<729q/?8k5d79K7gc<@:2<7d9n:188m=e=831d>?750;9~f4d229086=4?{%16a?b13A9ii6F<869j3d<722c3o7>5;n01=?6=3th:994?:283>5}#;03`=j6=44i9a94?=h:;31<75rb9094?5=83:p(>;j:e48L6db3A93;6g8a;29?l>d2900c?<6:188yg21m3:1?7>50z&01`2B8nh5G3958m2g=831b4n4?::m16<<722wi8?h50;194?6|,:?n6i84H2`f?M5??2c5;h:`>5<N4jl1C?594i6c94?=n0j0;66a=2883>>{e<821<7=50;2x 63b2m<0D>lj;I1;3>o0i3:17d6l:188k74>2900qomi:180>5<7s-9>i7j9;I1aa>N40>1b;l4?::k;g?6=3f8957>5;|`068;h5b>5<d2900c?<6:188yg76<3:187>50z&01`5;|`:6?6=;3:1N4jl1C?594$d;94>o0i3:17d6l:188k74>2900qo?81;297?6=8r.89h4k6:J0f`=O;1=0(h750:k4e?6=3`2h6=44o30:>5<7>53;294~"4=l0o:6Fd2900c?<6:188yg70?3:1?7>50z&01`2B8nh5G3958 `?=82c5;h:`>5<N4jl1C?594$d;94>o0i3:17d6l:188k74>2900qo?70;297?6=8r.89h4k6:J0f`=O;1=0(h750:k4e?6=3`2h6=44o30:>5<53;294~"4=l0o:6Fd2900c?<6:188yg7?03:1?7>50z&01`2B8nh5G3958 `?=92c5;h:`>5<5<42808wE=77:&01`<6k01b5=4?::k:5?6=3f9947>5;c1`0?6=;3:168;hff>5<:181[?6349h87jj;|q06=<72;qU??64=2a7>77e3twin44?:282>6}O;1=0(>;j:0a:?l?72900e4?50;9l77>=831i?n:50;194?6|,:?n6>lk;I1aa>N40>1bhh4?::kgb?6=3f8:n7>5;|q:4?6=:rT2<63{t180;6?uQ909>7f2=ll1v><7:181[550278o94=1c9~ygd?29086<4<{I1;3>"4=l0:o45f9183>>o>93:17b==8;29?g5d<3:1?7>50z&01`<4jm1C?ok4H2:4?lbb2900eih50;9l64d=831v4>50;0xZ<6<5:i?6ih4}r;2>5<5sW3:70=l4;ff?xu4:10;6?uQ33:896e32;;i7psm43494?4=83:p(>;j:dc8L6db3A93;6gkd;29?j46j3:17pl>5783>7<729q/?8k5e`9K7gc<@:2<7djk:188k77e2900qo?:7;296?6=8r.89h4ja:J0f`=O;1=0eij50;9l64d=831vn<;7:180>5<7s-9>i7=>;I1aa>N40>1bhh4?::kgb?6=3f8:n7>5;|`75g<72:0;6=u+34g964c<@:hn7E=77:kga?6=3`nm6=44o33a>5<52;294~"4=l0nm6F>{e<=:1<7<50;2x 63b2lk0D>lj;I1;3>ocl3:17b<>b;29?xd3?o0;6?4?:1y'70c=mh1C?ok4H2:4?lbc2900c??m:188yg2?j3:1>7>50z&01`t$27f>`g<@:hn7E=77:kg`?6=3f8:n7>5;|`a3?6=;3:1N4jl1C?594ieg94?=nlo0;66a=1c83>>{ej;0;6?4?:1y'70c=mh1C?ok4H2:4?lbc2900c??m:188ygd429086=4?{%16a?563A9ii6F<869j``<722coj7>5;n02f?6=3thji7>52;294~"4=l0nm6Flj;I1;3>ocm3:17dji:188k77e2900qoo;:181>5<7s-9>i7kn;I1aa>N40>1bhi4?::m15g<722winn4?:283>5}#;03`nn6=44ied94?=h:8h1<75rbcg94?5=83:p(>;j:238L6db3A93;6gke;29?lba2900c??m:188yg5ck3:1>7>50z&01`t$27f>`g<@:hn7E=77:kg`?6=3f8:n7>5;|`220<72=0;6=u+34g977=O;ko0D>68;hff>5<>i59k0;66sm19c94?5=83:p(>;j:33f?M5em2B84:5fdd83>>ocn3:17b<>b;29?xd60k0;6?4?:1y'70c=mh1C?ok4H2:4?lbc2900c??m:188yg7?k3:1?7>50z&01`<59l1C?ok4H2:4?lbb2900eih50;9l64d=831vn<6k:180>5<7s-9>i7=>;I1aa>N40>1bhh4?::kgb?6=3f8:n7>5;|`2<`<72:0;6=u+34g964c<@:hn7E=77:kga?6=3`nm6=44o33a>5<53;294~"4=l08=6F>i59k0;66sm19694?4=83:p(>;j:dc8L6db3A93;6gkd;29?j46j3:17pl>8483>7<729q/?8k5e`9K7gc<@:2<7djk:188k77e2900qo?76;297?6=8r.89h4<1:J0f`=O;1=0eik50;9j`c<722e9=o4?::a5=1=8391<7>t$27f>67<@:hn7E=77:kga?6=3`nm6=44o33a>5<52;294~"4=l0nm6F>{e9>h1<7=50;2x 63b2:;0D>lj;I1;3>ocm3:17dji:188k77e2900qo?8c;297?6=8r.89h4=1d9K7gc<@:2<7djj:188ma`=831d>5;n02f?6=3th:;h4?:283>5}#;5;hfe>5<68;hff>5<6=4=:183!52m3oj7E=me:J0<2=nlm0;66a=1c83>>{e9><1<7:50;2x 63b2:80D>lj;I1;3>ocm3:17dji:188m`6=831d>6=4?{%16a?543A9ii6F<869j``<722coj7>5;hg3>5<>{e<021<7<50;2x 63b2lk0D>lj;I1;3>ocl3:17b<>b;29?xd3100;6>4?:1y'70c=;81C?ok4H2:4?lbb2900eih50;9l64d=831vn97n:180>5<7s-9>i7=>;I1aa>N40>1bhh4?::kgb?6=3f8:n7>5;|`:0?6==3:168;hff>5<>ob93:17b<>b;29?xd>=3:1?7>50z&01`<492B8nh5G3958mac=831bhk4?::m15g<722wi5;4?:383>5}#;03`no6=44o33a>5<5<8:7>53;294~"4=l09=h5G3cg8L6>03`nn6=44ied94?=h:8h1<75rb514>5<5290;w)=:e;gb?M5em2B84:5fde83>>i59k0;66sm42:94?5=83:p(>;j:238L6db3A93;6gke;29?lba2900c??m:188yg2413:1>7>50z&01`5;n02f?6=3th??o4?:283>5}#;03`nn6=44ied94?=h:8h1<75rb51`>5<4290;w)=:e;02a>N4jl1C?594ieg94?=nlo0;66a=1c83>>{e<:n1<7:50;2x 63b2;;m7E=me:J0<2=nll0;66gkf;29?lc72900c??m:188yg24m3:1?7>50z&01`<492B8nh5G3958mac=831bhk4?::m15g<722wi8:;50;194?6|,:?n6??j;I1aa>N40>1bhh4?::kgb?6=3f8:n7>5;|`733<72;0;6=u+34g9ad=O;ko0D>68;hfg>5<N4jl1C?594ieg94?=nlo0;66a=1c83>>{e<>21<7<50;2x 63b2lk0D>lj;I1;3>ocl3:17b<>b;29?xd3?00;6>4?:1y'70c=;81C?ok4H2:4?lbb2900eih50;9l64d=831vn99n:180>5<7s-9>i7=>;I1aa>N40>1bhh4?::kgb?6=3f8:n7>5;|`73g<72:0;6=u+34g964c<@:hn7E=77:kga?6=3`nm6=44o33a>5<54;294~"4=l09=k5G3cg8L6>03`nn6=44ied94?=nm90;66a=1c83>>{e<>n1<7=50;2x 63b2:;0D>lj;I1;3>ocm3:17dji:188k77e2900qo:7d;291?6=8r.89h4<3:J0f`=O;1=0eik50;9j`c<722cn<7>5;hg2>5<N4jl1C?594ief94?=h:8h1<75rb5:e>5<5290;w)=:e;gb?M5em2B84:5fde83>>i59k0;66sm48294?4=83:p(>;j:dc8L6db3A93;6gkd;29?j46j3:17pl;9083>7<729q/?8k5e`9K7gc<@:2<7djk:188k77e2900qo:62;297?6=8r.89h4<1:J0f`=O;1=0eik50;9j`c<722e9=o4?::a0<5=8391<7>t$27f>77b3A9ii6F<869j``<722coj7>5;n02f?6=3th?594?:583>5}#;03`nn6=44ied94?=nm90;66a=1c83>>{e98<1<7<50;2x 63b2lk0D>lj;I1;3>ocl3:17b<>b;29?xd69>0;6?4?:1y'70c=mh1C?ok4H2:4?lbc2900c??m:188yg7603:1>7>50z&01`29096=4?{%16a?cf3A9ii6F<869j`a<722e9=o4?::a54g=8381<7>t$27f>`g<@:hn7E=77:kg`?6=3f8:n7>5;|`25g<72;0;6=u+34g9ad=O;ko0D>68;hfg>5<3:1N4jl1C?594ieg94?=nlo0;66gj0;29?lc62900eh<50;9l64d=831vn5<7s-9>i7<>f:J0f`=O;1=0eik50;9j`c<722cn<7>5;n02f?6=3th:::4?:383>5}#;03`no6=44o33a>5<52;294~"4=l0nm6F>{e9?h1<7<50;2x 63b2lk0D>lj;I1;3>ocl3:17b<>b;29?xd6>j0;6?4?:1y'70c=mh1C?ok4H2:4?lbc2900c??m:188yg71l3:1>7>50z&01`t$27f>67<@:hn7E=77:kga?6=3`nm6=44o33a>5<58;294~"4=l0896F>ob83:17dk>:188m`4=831bi>4?::kf0?6=3f8:n7>5;|`22=<72=0;6=u+34g964`<@:hn7E=77:kga?6=3`nm6=44id294?=h:8h1<75rb3694?3=83:p(>;j:303?M5em2B84:5fdd83>>ocn3:17dk?:188m`7=831d>t$27f>67<@:hn7E=77:kga?6=3`nm6=44o33a>5<>{e:10;6?4?:1y'70c=mh1C?ok4H2:4?lbc2900c??m:188yg4>29096=4?{%16a?cf3A9ii6F<869j`a<722e9=o4?::a6d<72;0;6=u+34g9ad=O;ko0D>68;hfg>5<5<4290;w)=:e;12?M5em2B84:5fdd83>>ocn3:17b<>b;29?xd5k3:197>50z&01`<4;2B8nh5G3958mac=831bhk4?::kf4?6=3`o:6=44o33a>5<>i59k0;66sm2483>6<729q/?8k520g8L6db3A93;6gke;29?lba2900c??m:188ygcb29086=4?{%16a?46m2B8nh5G3958mac=831bhk4?::m15g<722wij:4?:383>5}#;03`no6=44o33a>5<>{en00;6>4?:1y'70c=;81C?ok4H2:4?lbb2900eih50;9l64d=831vnko50;094?6|,:?n6ho4H2`f?M5??2coh7>5;n02f?6=3thmn7>52;294~"4=l0nm6Flj;I1;3>ocl3:17b<>b;29?xdal3:1>7>50z&01`t$27f>`g<@:hn7E=77:kg`?6=3f8:n7>5;|`fb?6=;3:1N4jl1C?594ieg94?=nlo0;66a=1c83>>{en90;6>4?:1y'70c=;81C?ok4H2:4?lbb2900eih50;9l64d=831vnk?50;494?6|,:?n6>:4H2`f?M5??2coi7>5;hfe>5<>ob:3:17b<>b;29?xda:3:1?7>50z&01`<59l1C?ok4H2:4?lbb2900eih50;9l64d=831vnk=50;194?6|,:?n6??j;I1aa>N40>1bhh4?::kgb?6=3f8:n7>5;|`e0?6=;3:1N4jl1C?594ieg94?=nlo0;66a=1c83>>{en<0;6>4?:1y'70c=:8o0D>lj;I1;3>ocm3:17dji:188k77e2900qoh9:187>5<7s-9>i7<>f:J0f`=O;1=0eik50;9j`c<722cn<7>5;n02f?6=3th8hh4?:283>5}#;5;hfe>5<N4jl1C?594ief94?=h:8h1<75rb2g2>5<5290;w)=:e;gb?M5em2B84:5fde83>>i59k0;66sm3d094?4=83:p(>;j:dc8L6db3A93;6gkd;29?j46j3:17pl7<729q/?8k5e`9K7gc<@:2<7djk:188k77e2900qo=j4;296?6=8r.89h4ja:J0f`=O;1=0eij50;9l64d=831vn>k::180>5<7s-9>i7<>e:J0f`=O;1=0eik50;9j`c<722e9=o4?::a7`0=8391<7>t$27f>67<@:hn7E=77:kga?6=3`nm6=44o33a>5<54;294~"4=l08>6F>ob83:17b<>b;29?xd4lo0;6>4?:1y'70c=;81C?ok4H2:4?lbb2900eih50;9l64d=831vn9<6:180>5<7s-9>i7=>;I1aa>N40>1bhh4?::kgb?6=3f8:n7>5;|`76d<72:0;6=u+34g964c<@:hn7E=77:kga?6=3`nm6=44o33a>5<9n7>53;294~"4=l08=6F>i59k0;66smc183>7<729q/?8k5e`9K7gc<@:2<7djk:188k77e2900qom<:180>5<7s-9>i7=>;I1aa>N40>1bhh4?::kgb?6=3f8:n7>5;|``0?6=:3:1N4jl1C?594ief94?=h:8h1<75rbb794?4=83:p(>;j:dc8L6db3A93;6gkd;29?j46j3:17pll6;296?6=8r.89h4ja:J0f`=O;1=0eij50;9l64d=831vnn950;094?6|,:?n6ho4H2`f?M5??2coh7>5;n02f?6=3thh47>53;294~"4=l08=6F>i59k0;66smc883>6<729q/?8k5309K7gc<@:2<7djj:188ma`=831d>t$27f>77b3A9ii6F<869j``<722coj7>5;n02f?6=3thh=7>54;294~"4=l08>6F>ob83:17b<>b;29?xdd:3:1?7>50z&01`<59l1C?ok4H2:4?lbb2900eih50;9l64d=831vnl850;094?6|,:?n6ho4H2`f?M5??2coh7>5;n02f?6=3thj;7>54;294~"4=l09=k5G3cg8L6>03`nn6=44ied94?=nm90;66a=1c83>>{ei10;6?4?:1y'70c=mh1C?ok4H2:4?lbc2900c??m:188ygg>29086=4?{%16a?563A9ii6F<869j``<722coj7>5;n02f?6=3thjm7>53;294~"4=l08=6F>i59k0;66smac83>6<729q/?8k520g8L6db3A93;6gke;29?lba2900c??m:188yv472908wS74>348h6ik4}r00>5<5s48;65m4=37964d77e348>6ik4}r05>5<5s48=6??m;<0a>ac77e348i6ih4}r0;>5<5s4836??m;<0`>a`77e348h6h>4}r0b>5<5s48j6??m;<0`>`777e348o6ik4}r0`>5<5s48h6??m;<0g>a`77e348>6ih4}r4a>5<0sW07d=ll1v:l50;33[1e348;6:o4=da93d=:9?;1;l521c793d=:9<>1;l528384e>;>:3=j70?81;5b?870:3=j70?87;5b?870n3=j70?70;5b?87?93=j70?78;5b?85ck3no7p}70;290~X?827?4;48a:?;6?>d34k?6ij4}r:1>5ab<5==36ij4=g09``=:;mo1hk5rs8094?5|5081>?74=859``=:<;k1hh5rs8194?4|50814n5298815g=z{0>1<7g57<=9:?a`6<5=2o6ik4=5:f>ab<58;=6ij4=b19``=:k=0oh63n8;fg?xuf;3:15v3n2;5b?85?i3=j70?>4;5b?87783=j70mi:6c89g2=?h16n=48a:?bg?1f34k?6??m;|qb1?6=:r7j>76l;77e3tyj:7>52z?b2?46j27j57ji;|qb3?6=:r7j;7<>b:?be?bb3tyj47>52z?bb:?bf?bb3tyjm7>52z?be?46j27jn7ji;|q:b?6=0r7jo7<=9:?aab<5=3:6ij4=03;>ab<5j=1hi52a68ga>{tim0;6?u2ab8;g>;fn38:n6s|ad83>7}:il09=o52ag8gb>{ti90;64u2b1816<=:j002=63me;ff?82>>3o:70:7d;fe?82?n3no70?>9;fg?8e12mn01l95dg9~wg7=838p1o>58b9>f6<59k1vo<50;0x9g4=:8h01o=5dg9~wd7=832p1o:523;89gg=18168465de9>0<6=lm16=g6`66=4={<`7>=e<5k=1>5<5s4h=6??m;<`4>a`<6<5ki1>;fm3no70m=:33a?xud83:1>v3l0;02f>;d03nm7p}l1;296~;d938:n63l2;fe?xud;3:1>v3l3;02f>;di3nn7p}l4;296~;d<38:n63l9;ff?xud=3:1>v3l5;02f>;d13nm7p}l6;296~;d>38:n63l1;ff?xud?3:1>v3l7;02f>;d93o;7p}l8;296~;d038:n63l2;ff?xud13:1>v3l9;02f>;di3nm7p}la;296~;di38:n63l1;fe?xudj3:1>v3m8;11<>;fn3nn7p}lc;296~;e1399463m3;ff?xudl3:1>v3ma;11<>;e?3nn7p}lf;297~;dn389563l8;ff?8g>2mo0q~kl:186[cd34oh6?<6;<6:2?ba34>3h7k?;a`=e<5o<1>5<5s4on6??m;a`77e34l96ih4}rd3>5<5s4l;6??m;ac77e34l86ih4}rd1>5<5s4l96??m;a`77e34l=6h>4}rd7>5<5s4l?6??m;a`6=4={77e34l=6ik4}rd4>5<5s4l<6??m;ac77e34om6ih4}rd:>5<5s4l26??m;ac77e34l;6ih4}rda>5<5s4li6??m;ac77e34l:6h>4}rdg>5<5s4lo6??m;`777e34l:6h<4}r334?6==rT:<=52112967?<58=26ij4=057>ac<5o=1hi5rs022>5<5s4;;<76l;<336?46j2wx=<:50;7xZ47334;:87<=9:?23d542=0j16=3:1>v3>17815g=:98i1hh5rs034>5<5s4;:;7<>b:?25fc;g2?xu6900;6?u210;964d<58;h6h<4}r32e?6=:r7:=l4=1c9>54b=ll1v1e8f4>{t98i1<777e34;:h7ji;|q271<72;qU=>:4=51f>ac52z\205=:9<>14n5rs077>5<3s4;>87<=9:?2127ac=ll168?75dd9~w4312909w0?:6;02f>;6=10oi6s|14594?4|58?<6??m;<36537=:;301<9?:eg8914>2ml0q~?92;296~;6><09=o521759`a=z{8<86=4={<357?46j27::84ke:p532=838p1<8;:33a?871=3o;7p}>6783>7}:9?;14n5217:964d52z?222<59k16=;h5dg9~w40>2909w0?99;02f>;6?90oj6s|17c94?4|5853d=:8h01<9?:d38yv71k3:1>v3>6b815g=:9>:1i>5rs04g>5<5s4;=h7<>b:?235o0;6?u217d964d<58<36ik4}r344?6=:r7:;=4=1c9>53>=m91v<9>:1868709389563>8d8ga>;6>10oj63=9;fg?8`b2mn0q~?82;291~;6?803o63>73816<=:9?i1hi52298g`>;al3no7p}>7283>7}:9>814n52164964d52z?231<59k16=:85dd9~w4122909w0?85;02f>;6??0n<6s|16594?e|58=<6?<6;<62f?bb34;ac<5==i6ik4=04g>ab<5;>1hh52fb8g`>;a<3nn70=j4;fg?xu6?10;6?u21659o1>52d=ll1v<9n:181870i38:n63>7c8gb>{t9>h1<777e34;<97jj;<35f?bc348?6ih4=g79``=:;l91hi5rs0:3>5<>s4;<97ji;<35e?bc348?6h?4=dg9``=:;l;1hi5rs0:2>5<>s4;3<76l;<3;5?45127287jj;<60e?bb34><57jj;<35=?bc348?6h>4=dg9`c=:;l81hi5rs0:1>5<5s4;3=76l;<3;3?46j2wx=5=50;0x94>42;;i70?77;ff?xu60=0;6?u2196964d<582=6ik4}r3;1?6=:r7:484=1c9>5=0=lo1v<69:18187?>38:n63>868gb>{t9121<7jt=0:;>74>34;3h7jj;<3;7?bb343>6ik4=51;>a`<5==<6ih4=04f>ab<58k?:ef8yv7?13:1>v3>898;g>;60l09=o5rs0:b>5<5s4;3m7<>b:?2e2;;i70?7c;fe?xu60j0;6?u219a964d<582o6ih4}r3;`?6=:r7:4i4=1c9>5=c=lo1vd3ty:n84?:2y>5g3=:;301<;9:ef89<3=lo1v??7:18185en3ni70:76;:6?xu40h0;6>u239c967?<5=3=6ik4=5:g>`752z?05849>542=0<1v>jm:18685ci3=j70:9e;5b?825n3=j70:>8;5b?85ck38:n6s|3ef94?4|5:nj65m4=2fe>77e3ty8hh4?:3y>7ac=:8h01>k::eg8yv5b83:1>v35<5s49n=7<>b:?0a27a`=lo1v>k::18185b=38:n63{t;l<1<777e349n;7k?;|q0a2<72;q6?h9520`896ba2mo0q~=jb;296~X4mk16==<5de9~w16c2909wS:?d:?25d:47<=9:p04g=838p19?7:9a8917e2;;i7p};2483>7}Y<;?019<9:33a?xu3:10;6?u24349`a=:<;h1>44=1c9>07g=lo1v9{t<;l1<7=t^50e?825n389563;368g`>{t<:?1<7ab<5=9n6??m;|q773<72;q68>8520`8915c2ml0q~:<7;296~;3;>09=o5242c9`c=z{=936=4={<607}:<:k1>a`8n7>52z?77g<59k168>j5e19~w15d2909w0:;3;m0oi6s|42f94?4|5=9o6??m;<60a?ba3ty??k4?:3y>07`=0j1689>520`8yv21;3:1>vP;629>54d=lm1v98j:180[21m27?:h4=289>020=lm1v99;:181820n3no70:8d;02f>{t<>?1<777e34>2ml0q~:87;296~;3?>09=o5246c9``=z{==36=4={<647}:<>k1>`652z?73g<59k168:m5dd9~w11d2909w0:8c;02f>;3?m0oj6s|46g94?4|5=77e3ty?4;4?:2y]0=0<5=2=6?<6;<323?bc3ty?4l4?:3y>0=0=0j1685l520`8yv2?k3:1>v3;878;7>;31=09=o5rs5:g>5<5s4>3h7<>b:?7=6b2;;i70:62;ff?xu30o0;6?u249d964d<5=396ih4}r6:4?6=:r7?5=4=1c9>0<2=ll1v97>:18182>938:n63;958f4>{t<081<777e34>2?7ji;|q7=6<72;q684=520`891?32ml0q~:65;296~;30k0oh63;9`815g=z{=3=6=4={<6:2?46j27?5l4ke:p0<1=838p1978:33a?82>13nn7p};9983>7}:<021>a`257>52z?7=<<59k1684o5dg9~w1?e2909wS:6b:?0m9:1824?g42h2pD>68;%16a?>13-;h;7=;a:m065<722c387>5;h105;h50>5<>i1j3:17d=67;29?l2f<3:17b<;7;29?l4103:17o=mf;295?6=8r.89h4kc:J0f`=O;1=0cil50;9~f6gf29086=4?{%16a?b13A9ii6F<869'a<<73`=j6=44i9a94?=h:;31<75rb2c4>5<4290;w)=:e;f5?M5em2B84:5+e883?l1f2900e5m50;9l67?=831vn>o;:180>5<7s-9>i7j9;I1aa>N40>1/i44?;h5b>5<?750;9~f6?c29086=4?{%16a?b13A9ii6F<869'a<<73`=j6=44i9a94?=h:;31<75rb31:>5<2290;w)=:e;f;?M5em2B84:5f8283>>o?=3:17d9n:188m=e=831d>?750;9~f74d290>6=4?{%16a?b?3A9ii6F<869j<6<722c397>5;h5b>5<5;|`036<72<0;6=u+34g9`==O;ko0D>68;%g:>5=n0:0;66g75;29?l1f2900e5m50;9l67?=831vn>8i:186>5<7s-9>i7j7;I1aa>N40>1/i44?;h:0>5<>o?k3:17b<=9;29?xd4>h0;684?:1y'70c=l11C?ok4H2:4?!c>291b4>4?::k;1?6=3`=j6=44i9a94?=h:;31<75rbe194?5=83:p(>;j:e48L6db3A93;6g8a;29?l>d2900c?<6:188ygb529086=4?{%16a?b13A9ii6F<869j3d<722c3o7>5;n01=?6=3th8j:4?:283>5}#;03`=j6=44i9a94?=h:;31<75rb544>5<4290;w)=:e;f5?M5em2B84:5f7`83>>o?k3:17b<=9;29?xd1j3:1?7>50z&01`2B8nh5G3958m2g=831b4n4?::m16<<722wi8?k50;194?6|,:?n6i84H2`f?M5??2c5;h:`>5<N4jl1C?594i9194?=n0<0;66g8a;29?l>d2900c?<6:188yg7cn3:197>50z&01`5<7>55;294~"4=l0o46F>o0i3:17d6l:188k74>2900qo?j3;291?6=8r.89h4k8:J0f`=O;1=0e5=50;9j<0<722c5;h:`>5<N4jl1C?594i9194?=n0<0;66g8a;29?l>d2900c?<6:188yg7b=3:197>50z&01`5<55;294~"4=l0o46F>o0i3:17d6l:188k74>2900qo?j7;291?6=8r.89h4k8:J0f`=O;1=0e5=50;9j<0<722c5;h:`>5<N4jl1C?594i9194?=n0<0;66g8a;29?l>d2900c?<6:188yg7b13:197>50z&01`5<55;294~"4=l0o46F>o0i3:17d6l:188k74>2900qo?j1;291?6=8r.89h4k8:J0f`=O;1=0e5=50;9j<0<722c5;h:`>5<N4jl1C?594i9194?=n0<0;66g8a;29?l>d2900c?<6:188yg51;3:197>50z&01`5<55;294~"4=l0o46F>o0i3:17d6l:188k74>2900qo==0;291?6=8r.89h4k8:J0f`=O;1=0e5=50;9j<0<722c5;h:`>5<N4jl1C?594i9194?=n0<0;66g8a;29?l>d2900c?<6:188yg7e03:1?7>50z&01`2B8nh5G3958 `?=82c5;h:`>5<N4jl1C?594$d;94>o0i3:17d6l:188k74>2900qo=8d;297?7=;rB84:5+34g95f?>i4:10;66l6<729q/?8k53cf8L6db3A93;6gke;29?lba2900c??m:188yv?72909wS7?;<1`0?ba3ty2=7>52z\:5>;4k=0oi6s|33:94?4|V:8370=l4;02f>{zj:=h6=4<:080M5??2.89h4>c89j=5<722c2=7>5;n1153;294~"4=l08ni5G3cg8L6>03`nn6=44ied94?=h:8h1<75rs8294?4|V0:01>m;:ed8yv?62909wS7>;<1`0?bb3ty8>54?:3y]77><5:i?6??m;|a72d=8391=7=tH2:4?!52m3;h56g60;29?l?62900c><7:188f6e329086=4?{%16a?5el2B8nh5G3958mac=831bhk4?::m15g<722wx5=4?:3y]=5=:;j>1hk5rs8394?4|V0;01>m;:eg8yv5503:1>vP<299>7f2=:8h0qpl>ec83>6<62:qC?594$27f>4e>3`3;6=44i8394?=h;;21<75m3b694?5=83:p(>;j:2`g?M5em2B84:5fdd83>>ocn3:17b<>b;29?xu>83:1>vP60:?0g11hh5rs20;>5<5sW9946353;397~N40>1/?8k51b;8m<6=831b5<4?::m06=<722h8o94?:283>5}#;5;hfe>5<5<5sW3;70=l4;fe?xu>93:1>vP61:?0g1b:~f4`329086<4<{I1;3>"4=l0:o45f9183>>o>93:17b==8;29?g5d<3:1?7>50z&01`<4jm1C?ok4H2:4?lbb2900eih50;9l64d=831v4>50;0xZ<6<5:i?6ih4}r;2>5<5sW3:70=l4;ff?xu4:10;6?uQ33:896e32;;i7psm1g194?5=939pD>68;%16a?7d12c2<7>5;h;2>5<5<4290;w)=:e;1a`>N4jl1C?594ieg94?=nlo0;66a=1c83>>{t190;6?uQ919>7f2=lo1v4?50;0xZ<7<5:i?6ik4}r115523b6964d6}O;1=0(>;j:0a:?l?72900e4?50;9l77>=831i?n:50;194?6|,:?n6>lk;I1aa>N40>1bhh4?::kgb?6=3f8:n7>5;|q:4?6=:rT2<63{t180;6?uQ909>7f2=ll1v><7:181[550278o94=1c9~yg7a93:1?7?53zJ0<2=#;>d4k=0;6>4?:1y'70c=;kn0D>lj;I1;3>ocm3:17dji:188k77e2900q~7?:181[?7349h87ji;|q:5?6=:rT2=63{t;;21<75<42808wE=77:&01`<6k01b5=4?::k:5?6=3f9947>5;c1`0?6=;3:168;hff>5<:181[?6349h87jj;|q06=<72;qU??64=2a7>77e3twi=hh50;195?5|@:2<7)=:e;3`=>o>83:17d7>:188k64?2900n>m;:180>5<7s-9>i7=md:J0f`=O;1=0eik50;9j`c<722e9=o4?::p=5<72;qU5=523b69`c=z{0;1<74>:2yK7=1<,:?n65<1<7=50;2x 63b2:ho7E=me:J0<2=nll0;66gkf;29?j46j3:17p}60;296~X>8278o94kf:p=4<72;qU5<523b69``=z{:836=4={_11<>;4k=09=o5r}c3f`?6=;3;1?vF<869'70c=9j30e4>50;9j=4<722e8>54?::`0g1<72:0;6=u+34g97gb<@:hn7E=77:kga?6=3`nm6=44o33a>5<9278o94ke:p77>=838pR><7;<1`0?46j2wvn4<4sA93;6*<5d82g<=n190;66g61;29?j5503:17o=l4;297?6=8r.89h44=2a7>a`7}Y;;201>m;:33a?x{e::l1<7=51;1xL6>03-9>i7?l9:k:4?6=3`3:6=44o20;>5<>i59k0;66s|9183>7}Y1916?n:5dg9~w<7=838pR4?4=2a7>ac52z\06==:;j>1>uG3958 63b28i27d7?:188m<7=831d??650;9a7f2=8391<7>t$27f>6dc3A9ii6F<869j``<722coj7>5;n02f?6=3ty2<7>52z\:4>;4k=0oj6s|9083>7}Y1816?n:5dd9~w64?2909wS==8:?0g1<59k1vqo=nf;297?7=;rB84:5+34g95f?>i4:10;66l6<729q/?8k53cf8L6db3A93;6gke;29?lba2900c??m:188yv?72909wS7?;<1`0?ba3ty2=7>52z\:5>;4k=0oi6s|33:94?4|V:8370=l4;02f>{zj:kn6=4<:080M5??2.89h4>c89j=5<722c2=7>5;n1153;294~"4=l08ni5G3cg8L6>03`nn6=44ied94?=h:8h1<75rs8294?4|V0:01>m;:ed8yv?62909wS7>;<1`0?bb3ty8>54?:3y]77><5:i?6??m;|a7db=8391=7=tH2:4?!52m3;h56g60;29?l?62900c><7:188f6e329086=4?{%16a?5el2B8nh5G3958mac=831bhk4?::m15g<722wx5=4?:3y]=5=:;j>1hk5rs8394?4|V0;01>m;:eg8yv5503:1>vP<299>7f2=:8h0qpl6c;296?6=8r.89h4ja:J0f`=O;1=0eij50;9l64d=831vn4j50;194?6|,:?n6>?4H2`f?M5??2coi7>5;hfe>5<N4jl1C?594ieg94?=nlo0;66a=1c83>>{e:<91<7<50;2x 63b2lk0D>lj;I1;3>ocl3:17b<>b;29?xd5==0;6?4?:1y'70c=mh1C?ok4H2:4?lbc2900c??m:188yg42=3:1?7>50z&01`<59l1C?ok4H2:4?lbb2900eih50;9l64d=831vn>?i:181>5<7s-9>i7kn;I1aa>N40>1bhi4?::m15g<722wi?lm50;194?6|,:?n6>?4H2`f?M5??2coi7>5;hfe>5<N4jl1C?594ieg94?=nlo0;66a=1c83>>{e;h<1<7=50;2x 63b2:;0D>lj;I1;3>ocm3:17dji:188k77e2900qo=n3;297?6=8r.89h4<1:J0f`=O;1=0eik50;9j`c<722e9=o4?::a7<`=8381<7>t$27f>`g<@:hn7E=77:kg`?6=3f8:n7>5;|`0e5<72:0;6=u+34g974=O;ko0D>68;hff>5<6<729q/?8k5309K7gc<@:2<7djj:188ma`=831d>5;n02f?6=3th9?h4?:283>5}#;5;hfe>5<N4jl1C?594ief94?=h:8h1<75rb31`>5<4290;w)=:e;12?M5em2B84:5fdd83>>ocn3:17b<>b;29?xd6n>0;6>4?:1y'70c=;81C?ok4H2:4?lbb2900eih50;9l64d=831vn5<7s-9>i7=>;I1aa>N40>1bhh4?::kgb?6=3f8:n7>5;|`2bg<72:0;6=u+34g974=O;ko0D>68;hff>5<fg83>6<729q/?8k5309K7gc<@:2<7djj:188ma`=831d>5;n02f?6=3th9<>4?:283>5}#;03`nn6=44ied94?=h:8h1<75rb326>5<4290;w)=:e;12?M5em2B84:5fdd83>>ocn3:17b<>b;29?xd58>0;6>4?:1y'70c=;81C?ok4H2:4?lbb2900eih50;9l64d=831vn?>6:180>5<7s-9>i7=>;I1aa>N40>1bhh4?::kgb?6=3f8:n7>5;|`2b0<72;0;6=u+34g9ad=O;ko0D>68;hfg>5<N4jl1C?594ief94?=h:8h1<75rb25b>5<4290;w)=:e;12?M5em2B84:5fdd83>>ocn3:17b<>b;29?xd4?<0;6?4?:1y'70c=mh1C?ok4H2:4?lbc2900c??m:188yg50>3:1?7>50z&01`<492B8nh5G3958mac=831bhk4?::m15g<722wi?:?50;094?6|,:?n6ho4H2`f?M5??2coh7>5;n02f?6=3th8;?4?:283>5}#;03`nn6=44ied94?=h:8h1<75rb24`>5<5290;w)=:e;gb?M5em2B84:5fde83>>i59k0;66sm37f94?4=83:p(>;j:dc8L6db3A93;6gkd;29?j46j3:17pl<6d83>6<729q/?8k5309K7gc<@:2<7djj:188ma`=831d>5;n02f?6=3th84<4?:283>5}#;03`nn6=44ied94?=h:8h1<75rb0a7>5<5290;w)=:e;gb?M5em2B84:5fde83>>i59k0;66sm1b794?5=83:p(>;j:238L6db3A93;6gke;29?lba2900c??m:188yg7e?3:187>50z&01`<4:2B8nh5G3958mac=831bhk4?::kf4?6=3f8:n7>5;|`020<72:0;6=u+34g974=O;ko0D>68;hff>5<>{e;?=1<7<50;2x 63b2lk0D>lj;I1;3>ocl3:17b<>b;29?xd4>10;6>4?:1y'70c=;81C?ok4H2:4?lbb2900eih50;9l64d=831vn>86:180>5<7s-9>i7=>;I1aa>N40>1bhh4?::kgb?6=3f8:n7>5;|`2`=<7200;6=u+34g973=O;ko0D>68;hff>5<>ob93:17dk=:188m`5=831bi94?::kf1?6=3f8:n7>5;|`2`<<72:0;6=u+34g974=O;ko0D>68;hff>5<>{e9mh1<7=50;2x 63b2:;0D>lj;I1;3>ocm3:17dji:188k77e2900qo?kc;297?6=8r.89h4<1:J0f`=O;1=0eik50;9j`c<722e9=o4?::a5ab=8391<7>t$27f>67<@:hn7E=77:kga?6=3`nm6=44o33a>5<53;294~"4=l08=6F>i59k0;66sm30c94?4=83:p(>;j:dc8L6db3A93;6gkd;29?j46j3:17pl<1c83>7<729q/?8k5e`9K7gc<@:2<7djk:188k77e2900qo=>c;297?6=8r.89h4<1:J0f`=O;1=0eik50;9j`c<722e9=o4?::a74b=8391<7>t$27f>67<@:hn7E=77:kga?6=3`nm6=44o33a>5<52;294~"4=l0nm6F>{e9j:1<7=50;2x 63b2:;0D>lj;I1;3>ocm3:17dji:188k77e2900qo?l1;297?6=8r.89h4<1:J0f`=O;1=0eik50;9j`c<722e9=o4?::a5f4=8391<7>t$27f>77b3A9ii6F<869j``<722coj7>5;n02f?6=3th:nl4?:583>5}#;03`nn6=44ied94?=nm90;66a=1c83>>{e9kh1<7=50;2x 63b2;;n7E=me:J0<2=nll0;66gkf;29?j46j3:17pl<2483>7<729q/?8k5e`9K7gc<@:2<7djk:188k77e2900qo==6;296?6=8r.89h4ja:J0f`=O;1=0eij50;9l64d=831vn><8:187>5<7s-9>i7==;I1aa>N40>1bhh4?::kgb?6=3`o;6=44o33a>5<52;294~"4=l0nm6Fj6=4=:183!52m3oj7E=me:J0<2=nlm0;66a=1c83>>{e:=h1<7<50;2x 63b2lk0D>lj;I1;3>ocl3:17b<>b;29?xd57>50z&01`t$27f>62<@:hn7E=77:kga?6=3`nm6=44id294?=nm80;66gj2;29?j46j3:17pl=5183>6<729q/?8k5309K7gc<@:2<7djj:188ma`=831d>9?50;194?6|,:?n6>?4H2`f?M5??2coi7>5;hfe>5<N4jl1C?594ief94?=h:8h1<75rb360>5<5290;w)=:e;gb?M5em2B84:5fde83>>i59k0;66sm25694?5=83:p(>;j:238L6db3A93;6gke;29?lba2900c??m:188yg43=3:1?7>50z&01`<59l1C?ok4H2:4?lbb2900eih50;9l64d=831vn?5<7s-9>i7=>;I1aa>N40>1bhh4?::kgb?6=3f8:n7>5;|`16c<72;0;6=u+34g9ad=O;ko0D>68;hfg>5<N4jl1C?594ieg94?=nlo0;66a=1c83>>{e::;1<7=50;2x 63b2;;n7E=me:J0<2=nll0;66gkf;29?j46j3:17pl=3283>6<729q/?8k5309K7gc<@:2<7djj:188ma`=831d>t$27f>`g<@:hn7E=77:kg`?6=3f8:n7>5;|`173<72:0;6=u+34g964c<@:hn7E=77:kga?6=3`nm6=44o33a>5<53;294~"4=l08=6F>i59k0;66sm22:94?5=83:p(>;j:33f?M5em2B84:5fdd83>>ocn3:17b<>b;29?xd1l3:1>7>50z&01`t$27f>`g<@:hn7E=77:kg`?6=3f8:n7>5;|`5b?6=;3:1N4jl1C?594ieg94?=nlo0;66a=1c83>>{e?90;6>4?:1y'70c=;81C?ok4H2:4?lbb2900eih50;9l64d=831vn:?50;194?6|,:?n6??j;I1aa>N40>1bhh4?::kgb?6=3f8:n7>5;|`46?6=;3:1N4jl1C?594ieg94?=nlo0;66a=1c83>>{e;ok1<7=50;2x 63b2:;0D>lj;I1;3>ocm3:17dji:188k77e2900qo=ib;296?6=8r.89h4ja:J0f`=O;1=0eij50;9l64d=831vn>hl:181>5<7s-9>i7kn;I1aa>N40>1bhi4?::m15g<722wi?kj50;194?6|,:?n6>?4H2`f?M5??2coi7>5;hfe>5<68;hff>5<6<729q/?8k520g8L6db3A93;6gke;29?lba2900c??m:188yv0e2908wS8m;<4a>74>345<5s477e345<5s4a`77e34=:6ik4}r53>5<5s4=;6??m;<52>a`77e34=96ih4}r50>53nn70=n3;ff?85f83nn70<;1;ff?845m3nn70<<3;ff?xu?<3:1>8uQ859>7dg=?h16?l957`9>7d2=?h16?l?57`9>7>757`9>67e=?h16?:957`9>725=?h16?;h57`9>73g=?h16h>48a:?g6?1f349m;79n;<653?1f342g<58nn6:o4=0fe>2g<58o96:o4=0g0>2g<58o?6:o4=0g6>2g<58o=6:o4=0g4>2g<58o36:o4=0g:>2g<58o;6:o4=0g2>2g<58n=6:o4=240>2g<5:;=6:o4=203>2g<5;><6:o4=0`;>2g<58hh6:o4}r;b>5<5sW3j70j=:9a8yv?e290jw0=93;:0?856>32870==0;:0?851i32870=87;:0?850;32870=9f;:0?8?c2;;i70=76;fe?87e?3nn7p}6c;296~;>k38:n636d;fe?xuc83:1?v3k3;:`?8b52;82707l:ef8yvb62909w0j<:30:?8?c2mo0q~?m6;290~;6j>09=o521cd9`a=:9j:1hh521cc9``=z{8h36=48{<3a5g>=0j16=ol520`8yv7ei3:1>v3>b`815g=:9kh1hk5rs0``>5<0s4;io7<=9:?2g1ac<5:lm6ik4}r3a`?6=:r7:nn47c:?2g7<59k1vc18gb>{t9kl1<777e34;h=7ji;|q2g5<72;q6=n>520`894e52mo0q~?l1;296~;6k809=o521b09`c=z{8i86=4={<3`0?46j27:o84kf:p5f0=838p1988:9a894e22;;i7p}>d783>7}:9m<1>?74=0`4>`652z?2`3;6lm0oi6s|1e;94?4|58n26??m;<3gg?bb3ty:hl4?:3y>5ag=:8h01v3>dc815g=:9mi1hk5rs0f`>5<5s4;oo7<>b:?2`ace83>1}:9ml1>?74=0g`><7<58l<6ik4=0f;>ac54z?2a5<5:016=ho5909>65?=ll16=i75dd9~w4ed2908w0?j1;01=>;6mk02=63>dc8ga>{t9jo1<7:t=0g1>74>34;nh77>;<3e=?bb34;o47ji;|q2gc<72=q6=h=523;894cb20;014521dd9=4=:9on1hh521e:9a5=z{8n:6=4;{<3f1?45127:j=461:?2bcp1d283>1}:9l=1>?74=0d1><7<5;:86ik4=0f;>`554z?2a=<5:016=k=5909>653=ll16=i65e49~w4b2290?w0?j9;01=>;6n=02=63=068ga>;6l00oj6s|1g494?5|58oo64>4=0d4>77e34;m57ji;|q2b=<72:q6=hk5919>5c?=:8h01eg8:4>;6nk09=o521gf9`c=z{8lh6=4<{<3e4??734;mh7<>b:?2bc6}:9o815=52213964d<5;:86ih4}r036?6=;r7:j>460:?146<59k16>=;5dg9~w7632908w0?i4;;3?847=38:n63=068gb>{t:9<1<7=t=0gb><6<5;:<6??m;<03=?ba3ty9<54?:3y>5`d=1916>=7520`8yv47i3:1>v3>dd8;g>;6n<09=o5rs32a>5<5s4;n<76l;<3fe?5502wx>=m50;0x94c621i01i:18187b;32h70?je;11<>{t:8:1<7=e<58om6><7;|q154<72;q6=h;58b9>5c6=;;20q~<>2;296~;6m?03o63>f0806==z{;;86=4={<3f3?>d34;m>7==8:p642=838p17}:9l314n521g6977>519y>7g`=lk16>>75849>67e=0<16?:95849>725=0<16?;h5849>73g=0<16=ik5849>5a`=0<16=h<5849>5`5=0<16=h:5849>5`3=0<16=h85849>5`1=0<16=h65849>5`?=0<16=h>5849>5`7=0<16=i85849>735=0<16?<85849>776=0<16>995849~w74f290=w0<=c;01=>;5;o02<63=4e8g`>;5<;0oh63=2g8g`>;5;=0oh6s|23f94?4|5;8h65m4=312>77e3ty9>h4?:3y>67c=:8h01?=>:eg8yv45n3:1>v3=2g815g=::::1hk5rs313>5<5s488<7<>b:?174><50;0x974d21901?=7:33a?xu5;:0;6?u2221964d<5;9=6ih4}r000?6=:r79?94=1c9>661=ll1v?=::181844=38:n63=368gb>{t::<1<777e348847jj;|q172<72;q6>>9520`8975?2ml0q~<=b;292~;5;009>45222d9=4=::=o1hi522519`a=::::1hh522279`a=z{;9j6=4={<00=?>d3488o7<>b:p66d=838p1?=m:33a?844k3nm7p}=3e83>7}:::314>5222g964d53z?17`:181843938:n63=448ga>{t:=81<777e348?87jj;|q106<72;q6>9=520`897232ml0q~<;4;296~;5<=09=o522579`c=z{;>=6=4={<00b?550279?n4ke:p611=839pR?:8;<073?4512799>4kd:p61>=838p1?:8:9a897362;;i7p}=4883>7}::=31>ac52z?10d<59k16>9h5e19~w72e2909w0<;b;02f>;5h6??m;<07b?c53ty98i4?:3y>61b=:8h01?;?:eg8yv43m3:1>v3=4d815g=::<:1hk5rs36e>5<5s48?j7<>b:?1148>50;0x97372;;i70<:1;fe?xu5=;0;6?u22559<6=::4=1c9>603=ll1v?;;:181842<38:n63=548gb>{t;8<1<738956s|30:94?4|5:;m6ij4=23g>77e3ty8=44?:3y>74?=:8h01>?k:eg8yv56i3:1>v3<1`815g=:;8i1hh5rs23a>5<5s49:n7<>b:?05fd;fe?xu49l0;6?u23049=52332967?52z?065:4=1c9~w6422909w0==5;02f>;4:>0oi6s|33494?4|5:8=6??m;<113?c73ty8?54?:5y]76><5;>:6ih4=30f>a`<5;986ih4}r157?6=;r78:>4=289>7=0=ll16=o95dg9~w6032909w0=93;:`?851138:n6s|37794?4|5:<>6??m;<15=?bb3ty8:;4?:3y>730=:8h01>87:eg8yv51?3:1>v3<66815g=:;?21hk5rs24;>5<5s49=47<>b:?02<a;fg?xu4>k0;6?u237c973c=ll1v>8k:181851l38:n63<6d8gb>{t;?:1<78t=24e>74>349;<14b?bb349=:7jk;<12=?bb3499:7jk;|q035<72;q6?;h58b9>724=:8h0q~=81;296~;4?809=o523609`c=z{:<:6=49{<147?451278;n461:?0<484kd:p722=838p1>9<:9a896112;;i7p}<7483>7}:;>?1>a`7>55z?032<5:016?:j5909>733=lo16?<75dg9>771=lo1v>97:181850?32h70=8a;02f>{t;>31<777e34972`=:8h01>6>:ed8yv5?83:1>v3<7e8:4>;40809=o5rs2:1>5<5s49<5:=j6ik4}r1;1?6=9=q6=ik5829>5a`=0:16=h<5829>5`5=0:16=h:5829>5`3=0:16=h85829>5`1=0:16=h65829>5`?=0:16=h>5829>5`7=0:16=i85829>7=0=:8h01?=j:eg8961>2mn01>9::ef896162mn01>8l:ef897512mo0q~=68;291~;41m09>4523`f9=5=:;0l1hi523c09`c=::=k1hi5rs2;f>5<5s492h76l;<1b4?46j2wx?4h50;0x96?a2;;i70=n0;fe?xu4100;69u23`3967?<5:ko64?4=2`1>ac<5;>26ij4}r1b6?6=:r78m<47c:?0e6<59k1v>7n:18785f<389563;4j=0oi63=4c8g`>{t;h?1<7=e<5:k=6??m;|q0=g<72=q6?l9523;896ga20;01>l9:eg8972d2mn0q~=n8;296~;4i>03o63ol:33a?xu4j80;6>u23`g9=5=:;k81>a`53z?0ec<>8278n94=1c9>7g0=lo1v>l::18185e833;70=m6;02f>{t;k=1<764?349j?7ji;|q0f=<72;q6?lk533:896g12ml0q~=m9;296~;4io08>5523`;9`c=z{:hj6=4={<1a4?550278mn4kf:p7c1=83?pR>h8;<1e3?45127=i7jk;<1ee?ba349mi7jj;|q0b<<72;q6?k958b9>056=:8h0q~=ia;296~;4nh09=o524129``=z{:li6=4={<1ef?46j278ji4ke:p7ce=838p1>hl:33a?85al3nm7p}7}:;on1>a`52z?0b`<59k16?kh5dg9~w6`a2909w0=if;02f>;3890oj6s|43a94?4|V=8h70:=e;:`?xu3:l0;6>u243g967?<58hj6ih4=2d`>ab=;7>52z\722=:?74}|`0`7<72;0;6=u+34g9ad=O;ko0D>68;hfg>5<N4jl1C?594ief94?=h:8h1<75rb2af>5<5290;w)=:e;gb?M5em2B84:5fde83>>i59k0;66sm3bd94?4=83:p(>;j:dc8L6db3A93;6gkd;29?j46j3:17pl6<729q/?8k5309K7gc<@:2<7djj:188ma`=831d>t$27f>`><@:hn7E=77:kg`?6=3f8:n7>5;|`735<72;0;6=u+34g9a==O;ko0D>68;hfg>5<N4jl1C?594ief94?=h:8h1<75rbbg94?4=83:p(>;j:d:8L6db3A93;6gkd;29?j46j3:17plk5;296?6=8r.89h4j8:J0f`=O;1=0eij50;9l64d=831vn9?>:181>5<7s-9>i7k7;I1aa>N40>1bhi4?::m15g<722wi8?j50;094?6|,:?n6h64H2`f?M5??2coh7>5;n02f?6=3th?5k4?:383>5}#;03`no6=44o33a>5<>{e?j0;6?4?:1y'70c=m11C?ok4H2:4?lbc2900c??m:188yg2493:1>7>50z&01`68;hfg>5<5<5290;w)=:e;g;?M5em2B84:5fde83>>i59k0;66sm6183>7<729q/?8k5e99K7gc<@:2<7djk:188k77e2900qo;i:181>5<7s-9>i7k7;I1aa>N40>1bhi4?::m15g<722wi9i4?:383>5}#;03`no6=44o33a>5<>{e=k0;6?4?:1y'70c=m11C?ok4H2:4?lbc2900c??m:188yg3f29096=4?{%16a?c?3A9ii6F<869j`a<722e9=o4?::a1<<72;0;6=u+34g9a==O;ko0D>68;hfg>5<5<5290;w)=:e;g;?M5em2B84:5fde83>>i59k0;66sm5683>7<729q/?8k5e99K7gc<@:2<7djk:188k77e2900qo;9:181>5<7s-9>i7k7;I1aa>N40>1bhi4?::m15g<722wi984?:383>5}#;03`no6=44o33a>5<>{e>h0;6?4?:1y'70c=m11C?ok4H2:4?lbc2900c??m:188yg0>29096=4?{%16a?c?3A9ii6F<869j`a<722e9=o4?::a2=<72;0;6=u+34g9a==O;ko0D>68;hfg>5<5<5290;w)=:e;g;?M5em2B84:5fde83>>i59k0;66sm6783>7<729q/?8k5e99K7gc<@:2<7djk:188k77e2900qo8::181>5<7s-9>i7k7;I1aa>N40>1bhi4?::m15g<722wi:94?:383>5}#;03`no6=44o33a>5<>{e=l0;6?4?:1y'70c=m11C?ok4H2:4?lbc2900c??m:188yg21<3:187>50z&01`<68<1C?ok4H2:4?lbc2900e4o50;9l64d=831dio4?::a05`=8381<7>t$27f>77d3A9ii6F<869j`a<722e9=o4?::a0t$27f>77d3A9ii6F<869j`a<722e9=o4?::a03b=8381<7>t$27f>77d3A9ii6F<869j`a<722e9=o4?::a3c<72;0;6=u+34g964e<@:hn7E=77:kg`?6=3f8:n7>5;|`727<72;0;6=u+34g964e<@:hn7E=77:kg`?6=3f8:n7>5;|`71=<72;0;6=u+34g964e<@:hn7E=77:kg`?6=3f8:n7>5;|`747<72;0;6=u+34g964e<@:hn7E=77:kg`?6=3f8:n7>5;|`0b=<72;0;6=u+34g964e<@:hn7E=77:kg`?6=3f8:n7>5;|`0`=<72:0;6=u+34g964b<@:hn7E=77:kg`?6=3`3j6=44o33a>5<52;294~"4=l09=n5G3cg8L6>03`no6=44o33a>5<>m7>52;294~"4=l09=n5G3cg8L6>03`no6=44o33a>5<<>7>53;294~"4=l09=i5G3cg8L6>03`no6=44i8c94?=h:8h1<75rb56;>5<5290;w)=:e;02g>N4jl1C?594ief94?=h:8h1<75rb2a`>5<5290;w)=:e;02g>N4jl1C?594ief94?=h:8h1<75rb2f0>5<5290;w)=:e;02g>N4jl1C?594ief94?=h:8h1<75rb54b>5<5290;w)=:e;02g>N4jl1C?594ief94?=h:8h1<75rb53:>5<5290;w)=:e;02g>N4jl1C?594ief94?=h:8h1<75rb510>5<4290;w)=:e;02`>N4jl1C?594ief94?=n1h0;66a=1c83>>{e<121<7=50;2x 63b2;;o7E=me:J0<2=nlm0;66g6a;29?j46j3:17pl;1d83>7<729q/?8k520a8L6db3A93;6gkd;29?j46j3:17pl;2683>7<729q/?8k520a8L6db3A93;6gkd;29?j46j3:17pl7<729q/?8k520a8L6db3A93;6gkd;29?j46j3:17pl=9b83>7<729q/?8k520a8L6db3A93;6gkd;29?j46j3:17pl=9783>7<729q/?8k520a8L6db3A93;6gkd;29?j46j3:17pl=c983>7<729q/?8k520a8L6db3A93;6gkd;29?j46j3:17pl=c383>7<729q/?8k520a8L6db3A93;6gkd;29?j46j3:17pl=bb83>7<729q/?8k520a8L6db3A93;6gkd;29?j46j3:17pl=b783>7<729q/?8k520a8L6db3A93;6gkd;29?j46j3:17pl=b183>7<729q/?8k520a8L6db3A93;6gkd;29?j46j3:17pl=a`83>7<729q/?8k520a8L6db3A93;6gkd;29?j46j3:17pl=a883>7<729q/?8k520a8L6db3A93;6gkd;29?j46j3:17pl=a283>7<729q/?8k520a8L6db3A93;6gkd;29?j46j3:17pl=9e83>7<729q/?8k520a8L6db3A93;6gkd;29?j46j3:17pl=9183>7<729q/?8k520a8L6db3A93;6gkd;29?j46j3:17p}=1;296~;4k009<63{t:;0;6?u23bc965=:;jl1hi5rs3g94?4|5:ij6?k4=2f1>ab6ij4}r1f>5<5sW9n70;9:ef8yv5a2909wS=i;<74>ab;6=4={_63?83?2mn0q~:>:181[2634?26ij4}r61>5<5sW>970;n:ef8yv242909wS:<;<7a>ab?6=4={_67?83d2mn0q~:::181[2234?o6ij4}r65>5<5sW>=70;j:ef8yv202909wS:8;<7e>ab36=4={_6;?8072mn0q~:6:181[2>34<:6ij4}r6a>5<5sW>i708=:ef8yv2d2909wS:l;<40>abo6=4={_6g?8032mn0q~:j:181[2b34<>6ij4}r6e>5<5sW>m7089:ef8yv372909wS;?;<44>ab5<5sW?8708n:ef8yv332908w0=l7;5891g02>16994=1c9~w03=839p1>m8:99>0d1=027>97<>b:p13<72:q6?n959:?7e2<>34?=6??m;|q63?6=;r78o:4n;<6b3?g<5<=1>5<4s49h;7l4=5c4>g=:=109=o5rs4;94?5|5:i<6n524`59g>;2138:n6s|5`83>6}:;j=1h63;a68g?83f2;;i7p}:b;297~;4k>0n70:n7;g890d=:8h0q~;l:18085d?3l019o8:g9>1f<59k1v8j50;1x96e028:019o8:02890b=:8h0q~;j:18182f?3;:70;j:33a?xu2n3:1?v3;3i>0:>63:f;02f>{t>90;6>u23b5951=:43<5?;1>5<5s49h;7?8;<41>77e3ty=?7>52z?7e2<6027=?7<>b:p21<72;q68l95189>21<59k1v;;50;0x91g028k01;;520`8yv012909w0:n7;3a?8012;;i7p}97;296~;3i>0:o6397;02f>{t>10;6?u24`595a=:>109=o5rs7;94?5|5:i<64c<5?31>5<4s49h;7?i;<6b3?7a34i27?;?46a:?776<>i27?4546a:p36<720d1=?:16?no5729>7f>=?:16?n85729~w20=838p19o8:64896e?2>20q~9m:181[1e34=h6ij4}r5`>5<5s49h579m;<5`>77e3ty52z\4a>;0n38:n6s|7g83>7}:;j:1>?=4=6d9`a=z{1:1<721:01>m9:9289=7=:8h0q~7n:181[?f34in6ij4}raf>5<4s49h577n;<1`2??f34in6??m;|qg0?6=:rTo863k5;fg?xuc=3:1:v3;3i>03863;4k10o863;c=38:n6s|e783>7}:;j=1i;523b:9a3=z{li1<7`e<5:i26hm4}r334?6=:r78ol4>019>7f?=99:0q~??6;296~;4k>0:<;523bc955052z?0gd<69l16?n751068yv7583:1>v3:4}r301?6=:r78ol4>349>7f>=9:?0q~?0:?n523bc956e<5:i36<=l;|q27a<72;q6?no512f896e?289o7p}>4183>7}:;jk1=9>4=2a:>4273ty:4k4?:3y>7fg=91l01>m6:0c0?xu6i=0;6?u23bc95d2<5:i267f0=;=30198;:ef8yv4613:1?v3;65815g=:;j=18;=4=2a:>1043ty9><4?:3y>7f1=:;;01>mn:302?xu5<>0;6?u23b:9611<5:i=6?:8;|q113<72;qU>884=3;3>77e3ty99:4?:3y]601<5;3=6??m;|q11<<72;qU>874=3;`>77e3ty99l4?:3y]60g<5;3o6??m;|q11g<72;qU>8l4=3c0>77e3ty99n4?:3y]60e<5;k26??m;|q124<72;qU>;?4=3cb>77e3ty9:?4?:3y]634<5;h;6??m;|q126<72;qU>;=4=3`5>77e3ty9:94?:3y]632<5;hh6??m;|q120<72;qU>;;4=3a1>77e3ty9:;4?:3y]630<5;i36??m;|q12=<72;q6?n9527:891g02;<37p}=6883>1}:;j=1>;74=5c4>70>349h47<98:?0g3<5>11v?8j:18182f?38=i632795=4kd:p6<0=838p19o8:374?84>>3no7p}=9b83>7}:874=3;`>ab52z?7e2<5=h16>4j5de9~w7g42909w0:n7;06f>;5i:0oh6s|2`;94?4|5=k<6?;l;<0b=?bc3ty9ml4?:3y>0d1=:?;01?on:ef8yv4e83:1>v3;a68127=::k:1hi5rs3`5>5<5s4>j;7<93:?1f3om50;0x91g02;6f>=lm1v?mj:18185d?38hi63;a681g`=z{;n:6=4={<1`3?4c9278o54=cd9~w6712909w0:n7;123>;4k?08=;5rs203>5<5s4>j;7==3:?0g3<4:91v>=7:18185d?398463?278o;4<969~w6ee2909wS=lb:?0gf<59k1v>ml:18185c838:n63{t;jn1<777e349o<7jj;|q0g`<72;q6?nh520`896b72ml0q~=k1;296~X4l816?i=520`8yv5c;3:1>v35<5sW9o963{t;m<1<7:t=5c4>6b3349hm7=k4:?0g=<4l=16?i8520`8yv5c?3:1>vP7a>=:8h0q~=k9;296~;4k008hl523e:9`a=z{:o36=4={_1f<>;4mh09=o5rs2gb>5<5s49n57<>b:?0adb:p7`b=838p1>mn:2g`?85bl3no7p}7}Y;o>01>h::ef8yv5a=3:1>v352z?0g3<4n>16?k65de9~w1662909wS:?1:?747<59k1v9>=:18182f?3>;=63;038g`>{t<9o1<7k;<1`7f?=<9n019?>:33a?xu3910;6?uQ40:8917>2;;i7p};1883>7}:;j318<64=53:>ab:o7>52z\75f=:<8o1>04c=lm1v9<::181[25=27?>:4=1c9~w1402909w0=l9;611>;3:>0oh6s|43a94?4|V=8h70:=d;fg?xu3:m0;6?u23b4907e<5=8o6??m;|q775<72;qU8>>4=512>ab8=7>53z?7e2<3:o16?no543d891562;;i7p};3383>7}Y<:8019=<:33a?xu3;=0;6?u23b;907`<5=986ij4}r673?6=:rT?8:5245:964d?47>52z?7e2<3<>168965de9~w1302909wS::7:?71=<59k1v9;7:18182f?3>?=63;598g`>{t<<31<7:168;:5ec9~w1022909w0:96;02f>;3>=02m6s|47594?4|5:i=6988;<652?bc3ty?:54?:3y]03><5=k168;j520`8yv21l3:1>v3;a6872g=:5<5sW>=j63;718g`>{t<>:1<7=t=5c4>10b349hm7:9e:?735<59k1v99>:181[20927?;?4=1c9~w1142909w0=l9;65a>;3?;0oh6s|49594?4|V=2<70:78;02f>{t<131<71>134>347jk;|q7=f<72;qU84m4=5;g>77e3ty?5i4?:3y>7f>=<0h0197k:ef8yv2>m3:1>vP;9d9>0<`=lm1v97i:18185d13>2n63;9g815g=z{=k>6=4={_6b1>;3i?0oh6s|4`494?3|5:i<69o;;<6b3?2f<278ol4;a59>7f0=019o9:33a?x{i99286=4={I1;3>{i992?6=4={I1;3>{i992>6=4={I1;3>{i992=6=4>{I1;3>{i992<6=4>{I1;3>{i99236=4>{I1;3>{i99226=4>{I1;3>{i992j6=4>{I1;3>{i992i6=4>{I1;3>{i992h6=4>{I1;3>{i992o6=4>{I1;3>{i992n6=4>{I1;3>{i992m6=4>{I1;3>{i993;6=4>{I1;3>{i993:6=4>{I1;3>{i99396=4>{I1;3>{i99386=4>{I1;3>{i993?6=4>{I1;3>{i993>6=4>{I1;3>{i993=6=4>{I1;3>{i993<6=4>{I1;3>{i99336=4>{I1;3>{i99326=4>{I1;3>{i993j6=4>{I1;3>{i993i6=4>{I1;3>{i993h6=4>{I1;3>{i993o6=4<{I1;3>{i993n6=4<{I1;3>{i993m6=4<{I1;3>{i99k;6=4<{I1;3>{i99k:6=4<{I1;3>{i99k96=4<{I1;3>{i99k86=4<{I1;3>{i99k?6=4<{I1;3>{i99k>6=4<{I1;3>{i99k=6=4<{I1;3>{i99k<6=4={I1;3>{i99k36=4<{I1;3>{i99k26=4<{I1;3>{i99kj6=4={I1;3>{i99ki6=4={I1;3>{i99kh6=4={I1;3>{i99ko6=4={I1;3>{i99kn6=4={I1;3>{i99km6=4={I1;3>{i99h;6=4={I1;3>{i99h:6=4={I1;3>{i99h96=4<{I1;3>{i99h86=4<{I1;3>{i99h?6=4<{I1;3>{i99h>6=49{I1;3>{i99h=6=4<{I1;3>{i99h<6=4>{I1;3>{i99h36=4={I1;3>{i99h26=4>{I1;3>{i99hj6=4>{I1;3>{i99hi6=4>{I1;3>{i99hh6=4={I1;3>{i99ho6=4>{I1;3>{i99hn6=4<{I1;3>{i99hm6=4>{I1;3>{i99i;6=49{I1;3>{i99i:6=4<{I1;3>{i99i96=4<{I1;3>{i99i86=4<{I1;3>{i99i?6=4<{I1;3>{i99i>6=4<{I1;3>{i99i=6=4<{I1;3>{i99i<6=4<{I1;3>{i99i36=4;{I1;3>{i99i26=4<{I1;3>{i99ij6=4<{I1;3>{i99ii6=4<{I1;3>{i99ih6=4<{I1;3>{i99io6=4>{I1;3>{i99in6=4<{I1;3>{i99im6=4<{I1;3>{i99n;6=4<{I1;3>{i99n:6=4>{I1;3>{i99n96=4>{I1;3>{i99n86=4>{I1;3>{i99n?6=4>{I1;3>{i99n>6=4>{I1;3>{i99n=6=4>{I1;3>{i99n<6=4>{I1;3>{i99n36=4>{I1;3>{i99n26=4>{I1;3>{i99nj6=4>{I1;3>{i99ni6=4>{I1;3>{i99nh6=4>{I1;3>{i99no6=4<{I1;3>{i99nn6=4;{I1;3>{i99nm6=4<{I1;3>{i99o;6=4={I1;3>{i99o:6=4={I1;3>{i99o96=4<{I1;3>{i99o86=4={I1;3>{i99o?6=4={I1;3>{i99o=6=4={I1;3>{i99o<6=4={I1;3>{i99o36=4={I1;3>{i99o26=4={I1;3>{i99oj6=4={I1;3>{i99oi6=4={I1;3>{i99oh6=4<{I1;3>{i99oo6=4<{I1;3>{i99on6=4<{I1;3>{i99om6=4<{I1;3>{i99l;6=4<{I1;3>{i99l:6=4>{I1;3>{i99l96=4>{I1;3>{i99l86=4>{I1;3>{i99l?6=4>{I1;3>{i99l>6=4>{I1;3>{i99l=6=4>{I1;3>{i99l<6=4>{I1;3>{i99l36=4>{I1;3>{i99l26=4;{I1;3>{i99lj6=4>{I1;3>{i99li6=4={I1;3>{i99lh6=4>{I1;3>{i99lo6=4>{I1;3>{i99ln6=4>{I1;3>{i99lm6=4={I1;3>{i98:;6=4>{I1;3>{i98::6=4={I1;3>{i98:96=4>{I1;3>{i98:86=4={I1;3>{i98:?6=4>{I1;3>{i98:>6=4={I1;3>{i98:=6=4>{I1;3>{i98:<6=4<{I1;3>{i98:36=4>{I1;3>{i98:26=4={I1;3>{i98:j6=4>{I1;3>{i98:i6=4={I1;3>{i98:h6=4>{I1;3>{i98:o6=4={I1;3>{i98:n6=4>{I1;3>{i98:m6=4={I1;3>{i98;;6=4>{I1;3>{i98;:6=4={I1;3>{i98;96=4>{I1;3>{i98;86=4<{I1;3>{i98;?6=4>{I1;3>{i98;>6=4={I1;3>{i98;=6=4>{I1;3>{i98;<6=4={I1;3>{i98;36=4>{I1;3>{i98;26=4={I1;3>{i98;j6=4>{I1;3>{i98;i6=4={I1;3>{i98;h6=4>{I1;3>{i98;o6=4={I1;3>{i98;n6=4>{I1;3>{i98;m6=4>{I1;3>{i988;6=4={I1;3>{i988:6=4>{I1;3>{i98896=4={I1;3>{i98886=4>{I1;3>{i988?6=4={I1;3>{i988>6=4>{I1;3>{i988=6=4<{I1;3>{i988<6=4>{I1;3>{i98836=4={I1;3>{i98826=4>{I1;3>{i988j6=4={I1;3>{i988i6=4>{I1;3>{i988h6=4={I1;3>{i988o6=4>{I1;3>{i988n6=4={I1;3>{i988m6=4>{I1;3>{i989;6=4;{I1;3>{i9>21<7?tH2:4?xh6?00;668;|l23a<728qC?594}o34a?6=9rB84:5rn05e>5<6sA93;6sa19294?7|@:2<7p`>8083>4}O;1=0qc?72;295~N40>1vb<6<:182M5??2we=5;50;3xL6>03td:444?:0yK7=151zJ0<2=zf82h6=4>{I1;3>{i91o1<7?tH2:4?xh60o0;683:1=vF<869~j4?5290:wE=77:m5<5=83;pD>68;|l2=1<728qC?594}o3:1?6=9rB84:5rn0;5>5<6sA93;6sa18594?7|@:2<7p`>9983>4}O;1=0qc?69;295~N40>1vb<7n:182M5??2we=4l50;3xL6>03td:5n4?:0yK7=151zJ0<2=zf83n6=4>{I1;3>{i90l1<7?tH2:4?xh6i90;668;|l2e1<728qC?594}o3b1?6=9rB84:5rn0c5>5<6sA93;6sa1`594?7|@:2<7p`>a983>4}O;1=0qc?n9;295~N40>1vb03td:mn4?:0yK7=151zJ0<2=zf8kn6=4>{I1;3>{i9hl1<7?tH2:4?xh6j90;668;|l71d<728qC?594}o66f?6=9rB84:5rn57`>5<6sA93;6sa47494?7|@:2<7p`;6683>4}O;1=0qc:98;295~N40>1vb986:182M5??2we8;o50;3xL6>03td?:o4?:0yK7=1=o7>51zJ0<2=zf={I1;3>{io0;668;|l736<728qC?594}o640?6=9rB84:5rn556>5<6sA93;6sa46494?7|@:2<7p`;7683>4}O;1=0qc:88;295~N40>1vb996:182M5??2we8:o50;3xL6>03td?;o4?:0yK7=151zJ0<2=zf==o6=4>{I1;3>{i<>o1<7?tH2:4?xh3?o0;66290:wE=77:m0=4=83;pD>68;|l7<6<728qC?594}o6;0?6=9rB84:5rn5:6>5<6sA93;6sa49494?7|@:2<7p`;8683>4}O;1=0qc:78;295~N40>1vb966:182M5??2we85o50;3xL6>03td?4o4?:0yK7=13o7>51zJ0<2=zf=2o6=4>{I1;3>{i<1o1<7?tH2:4?xh30o0;683:1=vF<869~j1?6290:wE=77:m0<4=83;pD>68;|l7=6<728qC?594}o6:0?6=9rB84:5rn5;6>5<6sA93;6sa48494?7|@:2<7p`;9683>4}O;1=0qc:68;295~N40>1vb976:182M5??2we84o50;3xL6>03td?5o4?:0yK7=12o7>51zJ0<2=zf=3o6=4>{I1;3>{i<0o1<7?tH2:4?xh3i90;668;|l7e1<728qC?594}o6b1?6=9rB84:5rn5c5>5<6sA93;6sa4`594?7|@:2<7p`;a983>4}O;1=0qc:n9;295~N40>1vb9on:182M5??2we8ll50;3xL6>03td?mn4?:0yK7=1jh7>51zJ0<2=zf=kn6=4>{I1;3>{i68;|l7f1<728qC?594}o6a1?6=9rB84:5rn5`5>5<6sA93;6sa4c594?7|@:2<7p`;b983>4}O;1=0qc:m9;295~N40>1vb9ln:182M5??2we8ol50;3xL6>03td?nn4?:0yK7=1ih7>51zJ0<2=zf=hn6=4>{I1;3>{i68;|l7g1<728qC?594}o6`1?6=9rB84:5rn5a5>5<6sA93;6sa4b594?7|@:2<7p`;c983>4}O;1=0qc:l9;295~N40>1vb9mn:182M5??2we8nl50;3xL6>03td?on4?:0yK7=1hh7>51zJ0<2=zf=in6=4>{I1;3>{i68;|l7`=<728qC?594}o6g=?6=9rB84:5rn5fb>5<6sA93;6sa4e`94?7|@:2<7p`;db83>4}O;1=0qc:kd;295~N40>1vb9jj:182M5??2we8h<50;3xL6>03td?i>4?:0yK7=1n87>51zJ0<2=zf=o=6=4>{I1;3>{i68;|l7aa<728qC?594}o6fa?6=9rB84:5rn5ge>5<6sA93;6sa4g694?7|@:2<7p`;f483>4}O;1=0qc:i6;295~N40>1vb;hi:182M5??2we;=<50;3xL6>03td<<>4?:0yK7=151zJ0<2=zf>:26=4>{I1;3>{i?9h1<7?tH2:4?xh08j0;668;|l455<728qC?594}o525?6=9rB84:5rn631>5<6sA93;6sa70194?7|@:2<7p`81583>4}O;1=0qc9>5;295~N40>1vb:?9:182M5??2we;<950;3xL6>03td<=54?:0yK7=151zJ0<2=zf>;j6=4>{I1;3>{i?8h1<7?tH2:4?xh09m0;668;|l464<728qC?594}o510?6=9rB84:5rn605>5<6sA93;6sa73594?7|@:2<7p`82983>4}O;1=0qc9=9;295~N40>1vb:03td<>n4?:0yK7=151zJ0<2=zf>8n6=4>{I1;3>{i?;l1<7?tH2:4?xh0;90;668;|l471<728qC?594}o501?6=9rB84:5rn615>5<6sA93;6sa72594?7|@:2<7p`83983>4}O;1=0qc9<9;295~N40>1vb:=n:182M5??2we;>l50;3xL6>03td51zJ0<2=zf>9m6=4>{I1;3>{i?=:1<7?tH2:4?xh0<80;668;|l400<728qC?594}o572?6=9rB84:5rn664>5<6sA93;6sa75:94?7|@:2<7p`84883>4}O;1=0qc9;a;295~N40>1vb::m:182M5??2we;9m50;3xL6>03td<8i4?:0yK7=151zJ0<2=zf>?;6=4>{I1;3>{i?<;1<7?tH2:4?xh0=;0;668;|l413<728qC?594}o563?6=9rB84:5rn67;>5<6sA93;6sa74;94?7|@:2<7p`85`83>4}O;1=0qc9:b;295~N40>1vb:;l:182M5??2we;8j50;3xL6>03td<9h4?:0yK7=1j7>51zJ0<2=zf><;6=4>{I1;3>{i??;1<7?tH2:4?xh0>:0;668;|l422<728qC?594}o555<6sA93;6sa77c94?7|@:2<7p`86c83>4}O;1=0qc99c;295~N40>1vb:8k:182M5??2we;;k50;3xL6>03td<:k4?:0yK7=151zJ0<2=zf>=:6=4>{I1;3>{i?>81<7?tH2:4?xh0?:0;668;|l432<728qC?594}o545<6sA93;6sa76c94?7|@:2<7p`87e83>4}O;1=0qc98e;295~N40>1vb:9i:182M5??2we;5>50;3xL6>03td<4?4?:0yK7=151zJ0<2=zf>2?6=4>{I1;3>{i?1?1<7?tH2:4?xh00?0;668;|l4aa<728qC?594}o5e5<6sA93;6sa7gc94?7|@:2<7p`8fc83>4}O;1=0qc9ic;295~N40>1vb:hk:182M5??2we;kk50;3xL6>03td3<<4?:0yK7=17>51zJ0<2=zf1:86=4>{I1;3>{i09>1<7?tH2:4?xh?8?0;67?3:1=vF<869~jd`0290:wE=77:mec>=83;pD>68;|lbb<<728qC?594}ocee?6=9rB84:5rn`da>5<6sA93;6saaga94?7|@:2<7p`nfe83>4}O;1=0qcoie;295~N40>1vblhi:182M5??2wen=>50;3xL6>03tdi<94?:0yK7=151zJ0<2=zfk:=6=4>{I1;3>{ij9=1<7?tH2:4?xhe810;668;|la4a<72;qC?594}o`3a?6=9rB84:5rnc2e>5<6sA93;6sab0294?7|@:2<7p`m1083>4}O;1=0qcl>2;295~N40>1vbo?<:182M5??2wen<:50;3xL6>03tdi=84?:3yK7=151zJ0<2=zfk;<6=4>{I1;3>{ij821<768;|la5a<728qC?594}o`2a?6=:rB84:5rnc3e>5<5sA93;6sab3294?7|@:2<7p`m2083>4}O;1=0qcl=2;295~N40>1vbo<<:182M5??2wen?:50;3xL6>03tdi>84?:0yK7=151zJ0<2=zfk8<6=4>{I1;3>{ij;21<7?tH2:4?xhe:00;668;|la6a<72;qC?594}o`1a?6=9rB84:5rnc13>5<6sA93;6sab2394?7|@:2<7p`m3383>4}O;1=0qcl<3;295~N40>1vbo=;:182M5??2wen>;50;3xL6>03tdi?;4?:0yK7=151zJ0<2=zfk936=4>{I1;3>{ij:31<7?tH2:4?xhe;h0;668;|la7`<728qC?594}o`0b?6=9rB84:5rnc63>5<6sA93;6sab5094?7|@:2<7p`m4583>4}O;1=0qcl;5;295~N40>1vbo:9:182M5??2wen9950;3xL6>03tdi854?:0yK7=151zJ0<2=zfk>j6=4>{I1;3>{ij=h1<7?tH2:4?xhe68;|la17<728qC?594}o`67?6=9rB84:5rnc77>5<6sA93;6sab4794?7|@:2<7p`m5783>4}O;1=0qcl:7;295~N40>1vbo;7:182M5??2wen8750;3xL6>03tdi9l4?:0yK7=1n7>51zJ0<2=zfk?h6=4>{I1;3>{ij68;|la27<728qC?594}o`57?6=9rB84:5rnc47>5<6sA93;6sab7794?7|@:2<7p`m6783>4}O;1=0qcl97;295~N40>1vbo87:182M5??2wen;750;3xL6>03tdi:l4?:0yK7=151zJ0<2=zfk{I1;3>{ij?n1<7?tH2:4?xhe>l0;668;|la37<728qC?594}o`47?6=9rB84:5rnc57>5<6sA93;6sab6794?7|@:2<7p`m7783>4}O;1=0qcl87;295~N40>1vbo97:182M5??2wen:750;3xL6>03tdi;n4?:0yK7=151zJ0<2=zfk=n6=4>{I1;3>{ij>l1<7?tH2:4?xhe080;64290:wE=77:mf=2=83;pD>68;|la<0<728qC?594}o`;2?6=9rB84:5rnc:4>5<6sA93;6sab9:94?7|@:2<7p`m8883>4}O;1=0qcl7a;295~N40>1vbo6m:182M5??2wen5h50;3xL6>03tdi5<4?:0yK7=17>51zJ0<2=zfk386=4>{I1;3>{ij0?1<7?tH2:4?xhe1?0;6?3:1=vF<869~jg??290:wE=77:mf68;|la=d<728qC?594}o`:f?6=9rB84:5rnc;`>5<6sA93;6sab8f94?7|@:2<7p`m9d83>4}O;1=0qcln1;295~N40>1vboo=:182M5??2wenl=50;3xL6>03tdim94?:0yK7=151zJ0<2=zfkk=6=4>{I1;3>{ijh=1<7?tH2:4?xhei10;668;|laea<728qC?594}o`ba?6=9rB84:5rncce>5<6sA93;6sabc294?7|@:2<7p`mb083>4}O;1=0qclm2;295~N40>1vbol<:182M5??2weno:50;3xL6>03tdin84?:0yK7=151zJ0<2=zfkh<6=4>{I1;3>{ijk21<7?tH2:4?xhej00;668;|lfb1<728qC?594}oge1?6=9rB84:5rndd5>5<6sA93;6saegc94?7|@:2<7p`jfc83>4}O;1=0qckic;295~N40>1vbhhk:182M5??2weikk50;3xL6>03tdnjk4?:0yK7=151zJ0<2=zfo::6=4>{I1;3>{in981<7?tH2:4?xha8:0;668;|le42<728qC?594}od35<6sA93;6saf1c94?7|@:2<7p`i0c83>4}O;1=0qch?c;295~N40>1vbk>k:182M5??2wej=k50;3xL6>03tdm51zJ0<2=zfo;:6=4={I1;3>{in881<7vF<869~jc722909wE=77:mb40=838pD>68;|le52<72;qC?594}od25<5sA93;6saf0c94?4|@:2<7p`i1c83>7}O;1=0qch>c;296~N40>1vbk?k:181M5??2wej03tdm=k4?:3yK7=152zJ0<2=zfo8:6=4={I1;3>{in;81<7vF<869~jc422909wE=77:mb70=838pD>68;|le62<728qC?594}od15<5sA93;6saf3c94?4|@:2<7p`i2c83>7}O;1=0qch=c;296~N40>1vbk03tdm>k4?:3yK7=152zJ0<2=zfo9:6=4={I1;3>{in:81<7vF<869~jc52290:wE=77:mb60=83;pD>68;|le72<728qC?594}od05<6sA93;6saf2c94?7|@:2<7p`i3c83>4}O;1=0qch1vbk=k:182M5??2wej>k50;3xL6>03tdm?k4?:3yK7=151zJ0<2=zfo>:6=4>{I1;3>{in=81<7?tH2:4?xha<:0;668;|le02<728qC?594}od75<6sA93;6saf5`94?7|@:2<7p`i4b83>4}O;1=0qch;d;295~N40>1vbk:j:182M5??2wej9h50;3xL6>03tdm9=4?:0yK7=1=7>51zJ0<2=zfo?96=4>{I1;3>{in<91<7?tH2:4?xha==0;6=83;pD>68;|le1<<728qC?594}od6e?6=9rB84:5rng7a>5<6sA93;6saf4a94?7|@:2<7p`i5d83>4}O;1=0qch:f;295~N40>1vbk8?:182M5??2wej;<50;3xL6>03tdm:>4?:0yK7=151zJ0<2=zfo<>6=4>{I1;3>{in?<1<7?tH2:4?xha>>0;6vF<869~jc0>290:wE=77:mb3g=83;pD>68;|le2g<728qC?594}od5g?6=:rB84:5rng4g>5<6sA93;6saf7g94?7|@:2<7p`i6g83>7}O;1=0qch80;295~N40>1vbk9>:182M5??2wej:<50;0xL6>03tdm;>4?:0yK7=151zJ0<2=zfo=>6=4={I1;3>{in><1<70;6?uG3958yk`003:1>vF<869~jc1>2909wE=77:mb2g=83;pD>68;|le3g<72;qC?594}od4g?6=9rB84:5rng5g>5<6sA93;6saf6g94?4|@:2<7p`i7g83>4}O;1=0qch70;296~N40>1vbk6>:182M5??2wej5<50;0xL6>03tdm4>4?:0yK7=152zJ0<2=zfo2>6=4>{I1;3>{in1<1<70;6?uG3958yk`?03:1>vF<869~jc>>2909wE=77:mb=g=838pD>68;|le5<6sA93;6saf9d94?7|@:2<7p`i9183>4}O;1=0qch61;295~N40>1vbk7=:182M5??2wej4=50;3xL6>03tdm594?:0yK7=151zJ0<2=zutwKLNu>47f96375lk98qMNM{1CDU}zHI \ No newline at end of file diff --git a/cpld/XC95144XL/WarpSE.ngd b/cpld/XC95144XL/WarpSE.ngd index 7a167d0..ed22a1f 100644 --- a/cpld/XC95144XL/WarpSE.ngd +++ b/cpld/XC95144XL/WarpSE.ngd @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6e -$4455=23-;B8<;4$0K770=#9@?>96*>N506?!7I<=?0(<@;8008 Wcqazcdb7.>.37:$!><.V;B8 X9G>9S964&^3M01Y302,T=C:7_53844=69;1:>7AZTQWW>WG;980;2<>4178JJUSS2H69?76111915?OIX\^1M1<>:0<20>442@D[YY4N_GQA875=97l0?7GAPTV9WR:4284>7L2>0?78E9766<1J0<<15:C?56823H6:83;4A=36:0=F48<596O316<6?D:607?0M1?6>59B84823H69<394A=02>4823H69=3;4A=01:2=F4;9143;4A=00:1=F4;4?7L2<>59B81833H6>295N<7<7?D:06=1J050;;@>::1=FDL:>7LBJ0L78EIC7[j1J@H>Pmtz3456c3HFNGKM9Ufyu>?016g?DJB8Vg~t=>?04f8EIC7Wds<=>?6e9BH@6Xe|r;<=>8d:COA5Yj}q:;<=6k;@NF4Zkrp9:;<4:4AMG2g>GKM8UTc>?00f8EIC6WVey<=>>129BJA2GIL1>0M^|id:CPvcYDdbUBB[?8;@QqbZH7k2KX~kQaou2344b19:C\BVD;98427LQISC>26;?89B[CUE48>556OPFR@?508>3HUM_O2>6?;8EZ@TJ5;<245N_GQA84>912KTJ^L318<;?DYA[K6:245N_GQA876912KTJ^L320<:?DYA[K69>3l4A^DPF94428427LQISC>17;>GXNZH79364A^DPF90902KTJ^L37?:8EZ@TJ52546OPFR@?=;bGXNZHT=?QFBTDg?DYA[KU:?RGMUGf8EZ@TJV;?SDLZFe9B[CUEW8?TEO[Id:C\BVDX9?UBNXHk;@]EWGY6?VCIYKj4A^DPFZ7?W@H^Ji5N_GQA[4?XAK_Mo6OPFR@\5ZOE]On0MRH\B^03[LDRNm1JSK]M_33\MGSAl2KTJ^LP23]JFP@c3HUM_OQ=3^KAQCeGXNZHT?RGMUGa8EZ@TJV>TEO[Ic:C\BVDX=VCIYKm4A^DPFZ0XAK_Mo6OPFR@\3ZOE]Oi0MRH\B^:\MGSAk2KTJ^LP9^KAQC0=f:ClqqIBWds<=>?3g9BkprHMVg~t=>?05d8EjssGLUfyu>?017e?Dir|FOTaxv?01250>DFK]?0NLM[149AEFR5=2HJOY|<;CWE55=E]@FOSYO]GDVBVFN?3K_XSD@IO09@0>E6>D30O<8B_H@VB3=D:9GNBo5L21OFJZOE]O<0O?;BEO`8G73JMGUDNXH<;B:N<>E?EVCIYK:4C9Oq6>EB;2IGG>5LLS18GKR>3JEFADZ[EE58GWCF\LN97N]<;BVO2>Ekmhx:j6Mce`p2[Zgil9:;<<5Ke:FQGZURKJOX_K[C6:FWEFMum2N_MNE}_omw4566n2N_MNE}_omw45669>1Omyo30?58@drf484=7IaztNGg?Air|FOTc>?01g8@jssGLUd~=>?00g8@jssGLUd~=>?03g8@jssGLUd~=>?02g8@jssGLUd~=>?05g8@jssGLUd~=>?04g8@jssGLUd~=>?0738A0=BNhfg:6KIamn22>CAief996K\<1<6?@U;97?0I^2=>49FW959<2OXS=k4ER]3[5Yig}:;<=h4ER]3[5Yig}:;<=?k;DQ\4ZYffm:;<=k4ER]3[Zgil9:;<k4ER]3[Zgil9:;<9k4ER]3[Zgil9:;<8k4ER]3[Zgil9:;<;:4ER]2`>CTW8UTmcj?012f?@UX9VUjbi>?013f?@UX9VUjbi>?0107?@UX:m1N_Rj4ER]0[Zgil9:;?_LzlvZTb{|f0<0>f:GP[HgclVUjhi>?01]N|jtXZly~`y2=>0d8AVYJimnTSljk0123[H~hzVXnxb{<2<2=>CTWDkohRQnde2345YXign;<=>>a:GP[HgclVUjhi>?01]\ekb789::=45JS^Ob`aYXimn;<=>P_`lg45669h1N_RCnde]\eab789:TSl`k01225a=B[V[T=Ra}0123a>CTWXU:Sb|?0122b>CTWXU:Sb|?01224c=B[V[T=Ra}012354c7H|30?78Aw:66=1N~R>;;Dp\51=AKEA27KMCK^KAQC4@WOHCEEY64FSMN[PEA;2LXN<5H3:EM@4=N:2C;>6G>2:K16>O4:2C?>6G:2:K56>O0<2CJO^;4I@AP51=NJ\L97D@7;HLEAWTBL01BBDZ\T@VF7>OI^l1BBR@HD^CM@ZDDL;1BC85FO@AW`>OHIJ^Taxv?012f?LIFK]Ufyu>?013e?LIFK]Ufyu>?0133b>OHIJ^Taxv?01225c=NGHI_S`{w012357`n7DANCU]nq}6789?n7DANCU]nq}67892CDNXHJ6:KLGJKW<2CDO^;4INAP53=NGJY:<;5FOBQ253=NGJY:>;5FOBQ270=NGJY996G@CR16?LID[=?0EBM\549JKFU1=2CDO^9:;HM@W=3OHLFDNSb|?0122a>OHLFDNSb|?0121a>OHLFDNSb|?0120a>OHLFDNSb|?0127a>OHLFDNSb|?0126a>OHLFDNSb|?0125a>OHLFDNSb|?0124a>OHLFDNSb|?012;<>OHLFDNec94INFLJ@t33@EGPmtz34564l2CD@=Qbuy23452c3@EGOHD9Ufyu>?01:g?LIK8Vg~t=>?0868MJJ6k2CD@6G@NQPfeaXWfx;<=>>139JKKVUmhnrSRa}01236442:KLJUTbimsTSb|?01262>OHX^IX56G@PVPfeaf3@E[[_kndx34?LIUL[OZj6G@REPFUZgil9:;<<>4INPGV@WXign;<=>>119JKWBUMXUjbi>?01024>OHZMXN]Road12346`;HMQ@WCVWds<=>?1032?LIUL[OZS`{w012357763@EYH_K^_lw{45679:;:7DA]DSGR[hs89:;=9??;HMQ@WCVWds<=>?2028MJTCZL[Taxv?012055=NG[NYI\Qbuy23452682CD^I\JQ^ov|5678<;;7DA]DSGR[hs89:;:<>4INPGV@WXe|r;<=>8119JKWBUMXUfyu>?01:24>OHZMXN]Rczx1234<>_`lg45679l1BC_Y>_`lg4567:l1BC_Y>_`lg4567;l1BC_Y>_`lg4567_`lg4567=l1BC_Y>_`lg4567>l1BC_Y>_`lg4567?=1BC^>l;HMP4Zgil9:;OH[9Ufyu>?017g?LIT8Vg~t=>?07f8MJU7Wds<=>?7e9JKV6Xe|r;<=>7d:KLW5Yj}q:;<=7l;HMP[CUJWOLo=n5FOR]EWHYANm8m7DA\_GQN[C@c:$Ce==5FOR]EWHYANm8&Ec?>0:KLWZ@TEVLMh?#Fn333?LITWOYFSKHk2,Km7f=NGZUM_@QIFe1e?LITWOYFSKHk3,Km55=NGZUM_@QIFe1.Mk7682CD_RH\M^DE`6+Nf;;;7DA\_GQN[C@c;$Ce?<>4INQ\BVKXNOn8!D`;119JKVYA[DUMJi="Io724>OH[VLXARHId2/Jj3eOH[VLXARHId4a8MJUXNZGTJKj9f:KLWZ@TEVLMh;#Fn028MJUXNZGTJKj9-Hl255=NGZUM_@QIFe4.Mk4682CD_RH\M^DE`3+Nf:;;7DA\_GQN[C@c>$Ce8<>4INQ\BVKXNOn=!D`:c:KLWZ@TEVLMh:h4INQ\BVKXNOn0:KLWZ@TEVLMh:#Fn033?LITWOYFSKHk7,Km646:<6G@S^DPIZ@Al>'Bb884INVZT@2Pmtz345668l1BCX>Pmtz345669l1BCX>Pmtz34566:l1BCX>Pmtz34566;l1BCX>Pmtz34566Pmtz34566=m1BCX>Pmtz34565l2CDY=Qbuy23455c3@E^OH]9Ufyu>?015g?LIR8Vg~t=>?09f8MJS7Wds<=>?959JKP7d3@E^=R``t1235a=NG\;Tbbz?01321>OH]MY<7DAXRSGRb>OH_[XN]Rczx123446109JKRTUMXUfyu>?013154=NG^XYI\Qbuy234574981BCZ\]EP]nq}6789;?=<5FOVPQATYj}q:;<=?:119JKRTUMXUfyu>?01024>OH_[XN]Rczx12346773@E\^_K^_lw{4567<8:0EBY]RDS\ip~789:>==5FOVPQATYj}q:;<=8>0:KLSWTBYVg~t=>?0633?LIPZ[OZS`{w0123<4602CD[_\JQs68MVRD=2CXXN?m;HQ\BVKXNOn:i6G\_GQN[C@c9$Cej6G\_GQN[C@c9$Ce=k5FS^DPIZ@Al8'Bb?l4IR]EWHYANm8n7D]PFRO\BCb5%@dm7D]PFRO\BCb5%@d:j6G\_GQN[C@c:$Ce>k5FS^DPIZ@Al;'Bb>h4IR]EWHYANm8&Ec:i;HQ\BVKXNOn9!D`:b:KP[CUJWF25;?>89OPlkbz58556B[ilgq868>3E^bah|34?;8HQojm{6>245CThofv90912F_e`k}<6<:?IRnelx74374LUknaw:>611GXdcjrUA27>JSadoyXNQlmq]fu56788>0@YgbesV@[fkwWl{;<=>>159OPlkbz]ITo`~Pep234546<2F_e`k}TB]`iuYby9:;<>?;;MVji`tSKVif|Rk~0123042=95CThofvQEXkdzTi|>?014;?IRnelxT<<>4LUknawY7Wds<=>?109OPlkbzV:Taxv?012254=K\`gn~R>Pmtz34565981GXdcjr^2\ip~789:8=<5CThofvZ6Xe|r;<=>;109OPlkbzV:Taxv?012654=K\`gn~R>Pmtz34561981GXdcjr^2\ip~789:<46B[ilgq[4?0008HQojm{U:5CThofvZ77WVkeh=>?0332?IRnelxT==QPos2345753E^bah|P11]\kw6789;27AZfmdp\54753E^bah|P10]\ekb789::?6B[ilgq[47XWhdo<=>?1018HQojm{U:=RQnne234546;2F_e`k}_0]`iuYby9:;<<:4LUknawY6Wjg{Sh?012250=K\`gn~R?Pclr\at6789;;=85CThofvZ7XkdzTi|>?013250=K\`gn~R?Pclr\at6789;9=85CThofvZ7XkdzTi|>?013050=K\`gn~R?Pclr\at6789;?=85CThofvZ7XkdzTi|>?013651=K\`gn~R?Pclr\at67898:86B[ilgq[4YdeyUn}=>?0237?IRnelxT=Rmbp^gr4567<8>0@Ygbes]2[fkwWl{;<=>:159OPlkbzV;To`~Pep234506<2F_e`k}_0]`iuYby9:;<:?;;MVji`tX9Vif|Rk~0123<42_bos[`w789:2==5CThofvZ7Xe|r;<=>>1:NWmhcuW8Ufyu>?01325>JSadoyS1:NWmhcuW8Ufyu>?01725>JSadoyS0:NWmhcuW8Uecy>?0032?IRnelxT=R``t12354763E^bah|P1^llp5679;;:7AZfmdp\5Zhh|9:;=>?>;MVji`tX9Vddx=>?1532?IRnelxT=R``t12350763E^bah|P1^llp5679?20@Ygbes]154=K\`gn~R?03:8HQojm{U8=<5CThofvZ5XWhdo<=>?139OPlkbzV9TSl`k0123544?03:8HQojm{U>=<5CThofvZ3XWhdo<=>?139OPlkbzV?TSl`k0123544?03:8HQojm{U<=<5CThofvZ1XWhdo<=>?139OPlkbzV=TSl`k0123544?03:8HQojm{U2=<5CThofvZ?XWhdo<=>?139OPlkbzV3TSl`k0123544?00a8IdbcWVkoh=>?0^O{kwYUmzgx1?11e9NeabXWhno<=>>_LzlvZTb{|f0<>11e9NeabXWhno<=>>_LzlvZTb{|f0>_LzlvZTb{|f0?0>c:Ob`aYXimn;<=?PMymq[Wct}e~7?3?l;Lcg`ZYflm:;<?0058IdbcWVkoh=>?1^]bja6789;::6Cnde]\eab789;TSl`k012252=JimnTSljk0122[Zgil9:;==1048IdbcWVkoh=>?1^]bja678:;<7@okd^]b`a6788UTmcj?011253=JimnTSljk0122[Zgil9:;8<94M`fg[Zgcl9:;=RQnne234176>2GjhiQPaef3457XWhdo<=>:169NeabXWhno<=>>_^cm`567=8;=7@okd^]b`a6788UTmcj?01423>KflmUTmij?013\[dhc89:==<84M`fg[Zgcl9:;=RQnne2342703DkohRQnde2344YXign;<=9>179NeabXWhno<=>>_^cm`56708=0Aljk_^cg`5679VUjbi>?09322>KflmUTmij?013\[dhc89:2=:5Baef\[dbc89::SRoad123=4eKnffx]i}foo08J55HHKEC[=6A;;N@VB0=HJ\LN?6AAE39LV6=HZ;90C_=<;NP77>IR\81[o6^!21305574WE90\<><;Q327>V6::1[=>=4P060?U72;2Z::>5_1618T4>43Y;2>6^=3:R146=W:;90\?=<;Q077>V5=:1[>:=4P3:0?U4>:2Z8?6^<029S7759=;Q60?U27;2Z?=>5_4318T1543Y>=?6^:029S175V1;2Z=<>5_6018T3543YV0::1[;>=4P660?U11;2Z<;>5_7918T2?53Y287]6?3:R;56=W0;90\5;<;Q:57>V??:1[44<4P818T<643Y3:?6^6229S=6587]7:3:R:26=W1>90\LJ;;QCQPd=WAGUIY^GKXc9SMKYE]ZDJAH=4PSG2?T>?0028UjUUmhnrSb|?012254=VgZXnmiwPos234577981Zc^\jae{\kw6789;:=<5^oRPfeaXg{:;<=?=109RkVTbimsTc>?013055=VgZXnmiwPos23454682[d__kndx]lv5678:;;7\a\Rdcg}Ziu89:;8<>4QnQQadb~Wfx;<=>:119RkVTbimsTc>?01424>Wh[[ojhtQ`r12342773XeX^hoky^mq456708:0]b]]e`fz[jt789:296\N<1<5?WG;99437_O31083:3=UI5;:285]A=3=1>TF4;4>7_O33?48VD:468<0^L2<>348VD:46:<0^L2<>578VD:36<1YM1;15:PB83823[K7;3;4R@>;:0=UI535?6\NM49QEHET?2XJAN]?X99QEHET8Q;37_OBCR2[6==UIDIX<109QEHTbimsTaxv?012754=UIDXnmiwPmtz34562981YM@\jae{\ip~789:==<5]ALPfeaXe|r;<=>85:PBW@Jc3[KXIAQnne2345cn7_O\EO]nq}6789?>7_O\rg:8VDUunVD;56\NSsd\J5723[KX~j4R@QqvZkrp9:;h5]ARpq[hs89:;?h5]ARpq[hs89:;8h5]ARpq[hs89:;945]A^2\KGSAk2XJS=Qbuy2345bTFW9Ufyu>?011g?WGX8Vg~t=>?05c8VDY68VEIYKj4R@]24Zkrp9:;h5]A^33[hs89:;?h5]A^33[hs89:;8l5]A^32[JDRN01YMR?POCWEg>TFW8Ufyu>?01f8VDY6Wds<=>?1e9QEZ7Xe|r;<=>=d:PB[4Yj}q:;<==k;SC\5Zkrp9:;<974R@]1[JDRNj1YMRRczx12344bTFW;Ufyu>?016:?WGX;VEIYK74R@]7[JDRNj1YMR:Pmtz3456c3[KT8Rczx12344bTaxv?0120`>TFW=Ufyu>?016:?WGX=VEIYKm4R@]6[hs89:;h6\N_4]nq}6789;o7_OP5^ov|5678;n0^LQ:_lw{4567;m1YMR;Pmtz3456312XJS;Q@BTD`?WGX>Vg~t=>?0e9QEZ0Xe|r;<=>>d:PB[3Yj}q:;<=j4R@]5[hs89:;845]A^5\KGSAk2XJS:Qbuy2345bTFW>Ufyu>?011g?WGX?Vg~t=>?05;8VDY?WFH^Jn5]A^:\ip~789:o7_OP8^ov|56788n0^LQ7_lw{4567:m1YMR6Pmtz34564l2XJS5Qbuy23452>3[KT5RAMUGa8VDY>Wds<=>?d:PB[THEJY?T?64RNO@W1^402XDAN];X548VJKD[<<0^BCLS748VJKD[>20^^>{oSge=>TT8}eYik?n;SQ3pjTbn8:j7_]?tnPfb47f3[Y;xb\jf00b?WU7|fXnj<=6;SQ3pjTbn;30^^>{oSge7<=U[9~d^hh;9:PP4qiUmo?27_]?tnPfb3?!D`=f:PP[CUJWOLo9 Ga3c9QWZ@TEVLMh;k4RR]EWHYANm<&Ech4RR]EWHYANm<&Ec?i;SQ\BVKXNOn=!D`=f:PP[CUJWOLo: Ga3c9QWZ@TEVLMh:k4RR]EWHYANm=&Ech4RR]EWHYANm=&Ec?i;SQ\BVKXNOn0:PP[CUJWOLo4 Ga11d8VVYA[DUMJi6"Io0e?WUXNZGTJKj7-Hl0b>TTWOYFSKHk8,Km0c=U[VLXARHId9/Jj0`1YikJ`nd33?WcaLfdnSRoad1234474RddGkkcXWfx;<=>=119QacBhflUTc>?01124>TbnMeeiRQ`r12341773[omHb`j_^mq4567=?1Yik\jq99QacTby@dn7_kiRds\ekb789:m7_kiRds\ekb789::j6\jfSgr[dhc89:;>k5]egPfuZgil9:;<>h4RddQatYffm:;<=:i;SgeV`wXign;<=>:e:PfbWcvWge<=>?f:PfbWcvWge<=>?179QacSuo>1Yik[}g058V``Rzn837_kiUseJja=Umo_ykRa}0123a>Tbn\xlSb|?0122a>Tbn\xlSb|?0121a>Tbn\xlSb|?01202>Tb{|f?6]JT49PS979i2Y\0<0PICWE1>UP4;4j7^Y32?]JFP@03Z]7?7?15:QT868f3Z]7?3QFBTD7?Vci|j1XiczP_np3456c3ZoexRQ`r12344b<[ldSRa}01236a=Tmg~TSb|?0120`>Ubf}UTc>?016g?Vci|VUd~=>?04f8W`hsWVey<=>?6e9PakrXWfx;<=>8d:QfjqYXg{:;<=6n;RlgVDKD[^Xi7^`kR@O@WRT6k2Yeh_OBCRUQ55e<[gnYM@M\WS32g>Uil[KFO^Y]13a8WkbUIDIX[_?o6]adSCNGVQU9?h0_cj]ALAPSW4e3Zdo^LCLSVP0f>Uil[KFO^Y]4c9PjaTFEJY\^8l4SofQEHET_[i;UQ\BVKXNOn9!D`=f:VP[CUJWOLo> Ga3g9WWZ@TEVLMh?#Fn5d8PVYA[DUMJi<"Io7e?QUXNZGTJKj=-Hl5b>RTWOYFSKHk2,Km3c=S[VLXARHId3/Jj=`<\ZUM_@QIFe0.Mk?e3]YTJ^CPOtv3g>RTWOYFSB{{00a8PVYA[DUDyy>=4:VZT@><\`gn~1>18:Vji`t;9720Xdcjr=0=<>Rnelx7?394ThofvQE6:2^bah|[C^antZcv89:;=>5[ilgqPFYdeyUn}=>?0030?Qojm{^HSnc_ds345659:1_e`k}TB]`iuYby9:;<>?<;UknawRDWjg{Sh?012756=SadoyXNQlmq]fu5678<=0Xdcjr^224>RnelxT;UknawY7WVkeh=>?0258PlkbzV;:<6Zfmdp\5ZYffm:;<=?>;UknawY6WVkeh=>?0032?Qojm{U:SRoad123471<\`gn~R<>0:Vji`tX:VUjbi>?0132?Qojm{U9SRoad12344763]cfiQ=_^cm`5678;=0Xdcjr^124>RnelxT?RQnne2345763]cfiQ<_^cm`56788;:7Ygbes]0[Zgil9:;RnelxTAljk_^cg`5678VGscQ]erwop949:;1_e`k}_Lcg`ZYflm:;<=QBxnp\V`urd}682?01]\ekb789::=n5[ilgq[HgclVUjhi>?01]\ekb789;:h6Zfmdp\IdbcWVkoh=>?0^]bja6788;:=6Zfmdp\[dbc89:;0<0>1:Vji`tXWhno<=>?<3<25>RnelxTSljk0123868c3]cfiQnne2345c<\`gn~Road123440<]G_XIIo4URGQ[DJNIZi0Y^K]_WKPMGJB;2\HO45YIDU\P\VB<2\[Mm4VQCq[kis89::h6X_As]mkq6788;i7[gkR@O@WRT6k2\bh_OBCRUQ55d<^`nYM@M\WS0a?SocZHGH_Z\Pnl[KFO^Y]8c9UmaTFEJY\^4;4WR>3:0=P[5;596Y\<3<6?RU;;7>0[^Q?d:UP[5YXign;<=>j;VQ\4ZYffm:;<=?j;VQ\4ZYffm:;<=<;;VQ\5a=P[V;TSl`k0123a>QTW8UTmcj?01220>QTW;n0[^Q=_^cm`5678l1\_R?0^O{kwYUmzgx1?11g9TWZKflmUTmij?012\I}iuW[oxyaz32?3e?RUXEhnoSRokd1234ZKg{UYi~{ct=1=5<=P[VGjhiQPaef3456XWhdo<=>?1`9TWZKflmUTmij?012\[dhc89:;=<74WR]NeabXWhno<=>?_^cm`56798k0[^QBaef\[dbc89:;SRoad12354c<_ZUTmij?012?5;c<_ZUTmij?012?6;c<_ZUTmij?012?7;0<_hx{_H?k;YKOMK^*PMH+<#?/SUWA$5(6(HYHED=4XNP7?]IU:?1S_YBFB69[WQY@FM=0T^ZPVBA6?]YHFL?0TRZ]I79[[_CUG?1ShoQFdc9[`mYWz`gBb{m4Xej\Twoj\pznj6Vkh^RqmhPbzzcdb<>4Xeo\Idlhz_oydaa119[`hYJageyZh||inl5?]i;87;87U}{4:XFVJd?<0<`?Zgcl9:;=1??>b9\eab789;7=<0m;^cg`5679585n6Qnde2344:46k1Tmij?013?0;d><46m|3:dpf1=ngkg?7dams89mEAir|EO;i6`NDnwwH@6XGK_M==5aAEmvpIC7Wfx;<=>>1:lB@jssDL:Tc>?01325>hFLf@H>Pos23454692dJHb{{LD2\kw6789927cOKotvOA4g?1g9mEVir|Vddx=>?1033?kGTg|~Tbbz?01324c=iIZe~xR``t12357`c:lBkprHMVEIYK;4nCGQV<=iJLXYSK]Me:lAAWTXNZHTCO[I109mF@TUWOYISRa}012357=iJLXYSK]M_^mq456798;0bOK]R^DPFZYhz9:;=<<4nCGQVZ@TJVUd~=>?1031?kDBZ[UM_OQPos234446:2dII_\PFR@\[jt789;856`MESP\MJDb3gHN^_QFOC]JFP@03gHLSDAMc:lACZOHJVCIYK94nCP\MJDd3gHYSDAM_N@VBc=iJ[UBCOQbuy2345773gHYSDAM_lw{456798:0bO\PIN@\ip~789:9==5aBS]JKGYj}q:;<==>0:lAVZOHJVg~t=>?0533?kDUW@EIS`{w0123146hDIZUfyu>?015g?kEF[Vg~t=>?09f8jFGTWds<=>?979m@QGDCh1eHYOLK^DPFc=iL]KHGRH\B^MAQCghC\HI@Sl`k01236c=iL]KHGRoad12346c>119m@jssGLUjbi>?00324>hCg|~DIRoad12357773gNdyyAJ_`lg4566;<1eE]B=a:lJTI4XAK_M:6`FPM0q0>hKLZ20bAJ\_GQA`>hKLZUM_OQFBTD;?kJC[VCDNh5aLEQ\MJDXGK_MIo5aLEQ\MJDh}}i0bAJ\_`lg4565l2dGH^Qnne23477c3gFO_Road12367bhKLZUjbi>?037g?kJC[Vkeh=>?27f8jIBTWhdo<=>=7e9mHAUXign;<=<7d:lO@VYffm:;hHM11eCHQ@BTDa?kIBWge<=>>c:lLAZhh|9:;=;oMuawjfqVUd~=>?0032?kIqm{fjuRQ`r12347763gE}ibny^]lv5678:;:7cAyesnb}ZYhz9:;<9?>;oMuawjfqVUd~=>?0458jWGJD^Oh7c\NMMUF[JDRN>1e^LCZWDa8jWGJ]^OTCO[I4:lQEV3hUIZ9>7c\NS578jWGT=<1e^L]99:lQEVYHJ\L?7c\JSc9mV@UXAFH^JHm4nSGP[kis89::h6`]ER]mkq6788;<7c\JSnww2>hUGDIX;6`]OLAP5g=iZFGH_RAMUG48jWIJ_L=0b_ABWD3a?kTHE^OTCO[I4:lV@V>hRLZUjbi>?003g?kSC[Vkeh=>?13f8jPBTWhdo<=>>3e9mQAUXign;<=?;d:lV@VYffm:;<<;k;oWGWZgil9:;=;j4nTFP[dhc89::;i5aUEQ\ekb789;3h6`ZDR]bja67883h7c[KS^llp5679m1eYI]Pnnv34576<2d^[H;4nTUF5f=i]^OTmcj?012g?kSPMVkeh=>?00f8jPQBWhdo<=>?2e9mQRCXign;<=><4:lUID>hQEHUTc>?015g?kPJIVUd~=>?09a8jSKFWhdo<=>?d:lUIDYffm:;<=?k;oTNEZgil9:;hQXHUBCOQFBTD`?kPWIVkeh=>?0e9mRUGXign;<=>>c:lUTDYig}:;<5aWD58jRCXNZHh7cYJ_GQA[LDRN11ekilzimf0?wgj02rh58>?xm32?}e>=8>?taz~112.55>90M694>{R;;>1162;2>6<=;b02e>400m>qe8;k51:l72c<13->=m7:91:P=2<3?809484>35`24c<6>>oi7^?j8;754?1=9:>i==h5175fb>U>?3?=<795126a55`=9?=m=6j;6183>4<6sZ33699>:3:6>453j8:m6<88e69'<2<2>;1C5h5yTd294?7=93>9?v]68;645?4?=3;88o??f;353`1<,=9<66<5z26494>{#:m819;=4$d194>"b93?=96*j2;333>d3>90;69l5a58a2~N3;<1/8=o54728^=>=;r;;6<=5158~ 4c12:l=7&;>c;29?l>d2900c9m8:188m1>c2900c8;::188m04?2900c8<;:188k=c=831d9<:50;9j0de=831d9<>50;9l0gg=831d8o>50;9jef<722cnm7>5;h6`=?6=3f>3j7>5;n6b4?6=3`>n97>5;n726?6=3`?>;7>5;n71e?6=3f?;<7>5;h;3>5<1<75`2d;94?"5l=09i55a2e194>=h:l=1<7*=d581a==i:m91=65`2g494?"5l=09i55a2e196>=h:o?1<7*=d581a==i:m91?65`2g694?"5l=09i55a2e190>=h:o91<7*=d581a==i:m91965`2g094?"5l=09i55a2e192>=h:o;1<7*=d581a==i:m91;65`2da94?"5l=09i55a2e19<>=h:lh1<7*=d581a==i:m91565`2dc94?"5l=09i55a2e19e>=h:l<1<7*=d581a==i:m91n65f5`83>!4c<3?27ci:5589m6a5=921b9:4?:%0g0?3>3g8o?7<4;h75>5<#:m>1945a2e197>=n==0;6)07d;<:18'6a2==01e>i=55:9j17<72-8o87;6;o0g7?0<3`?:6=4+2e691<=i:m91;65f5183>!4c<3?27ci:5589m6a5=121b8h4?:%0g0?3>3g8o?7o4;h6g>5<#:m>1945a2e19f>=ni=5d:9j27<72-8o87;6;o0g7?c<3`<:6=4+2e691<=i:m91j65f6183>!4c<3?27co2n3:1(?j;:4;8j7b428;07d;j:18'6a2==01e>i=51398m0b=83.9h94:9:l1`6<6;21b9n4?:%0g0?3>3g8o?7?;;:k6f?6=,;n?6874n3f0>43<3`?>6=4+2e691<=i:m91=;54i2a5>5<#:m>1?n;4n3f0>5=5<#:m>1?n;4n3f0>7=6=4>:183!27i3l=7E:94:J770=#0033fl>6=4+47a96=5<3th?:;4?:083>5}#<9k18>84H547?M24=2.?:n4=7d9'5`1==?>0c?6;:18'03e=:1907pl;7g83>4b=vF;349'05g=101Q454>{039y!7b>39m:6g64;29?j51<3:17b?:a;29?j4a13:17b=k2;29?l>52900e8?l:188k6c42900c<<;:188m03c2900c?h7:188k63a2900c<>?:188m73=83.9h94=4:l1`6<732c9?7>5$3f7>72o583:1(?j;:368j7b42:10e4;;:k2`?6=,;n?6?:4n3f0>0=h5l:0=76g>b;29 7b32;>0b?j<:698m4g=83.9h94=4:l1`65$3f7>72o6?3:1(?j;:368j7b42k10e<850;&1`1<5<2d9h>4l;:k21?6=,;n?6?:4n3f0>a=h5l:0n76g=c;29 7b32;>0b?j<:g98f102290:6=4?{%63e?`13A>=86F;349'03e=<>80(0;684=:7y'05g=ml1C8;:4H516?!77=3o0(5;n0g2?6=3k8o:7>56;294~"3>j0m=6g>0283>!21k3;;?65f9283>!21k33876g64;29 10d20>07d=l0;29 10d2:i;76g<7`83>!21k39j094>54}c65=?6=93:152z\1`3=::m<1>5=4}r;g>5<5sW3o70{t1<0;6?uQ949>6a0=;>k0q~7<:181[?4348o:77<;|q;=?6=:rT3563=d78:0>{tn?0;6?u22e497f6<5=<26?6<;|a6cg=8381<7>t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi>kl50;094?6|,=:j6<>9;I650>N3;<1/8;m5879'5`1==?>0ek950;&72f<68:10c?9m:18'03e=:190D98m;:a6ce=8391<7>t$52b>16<@==o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yg4al3:1?7>50z&74d<382B?:95G4278 10d2:l0(290/8;m51118?j40j3:1(98l:3:0?>{e=931<7=50;2x 16f2;2;7E:94:J770=#:h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi?;;50;094?6|,=:j6<>9;I650>N3;<1/8;m5879'5`1==?>0ek950;&72f<68:10c?9m:18'03e=:190D98m;:a730=8381<7>t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi?;950;094?6|,=:j6<>9;I650>N3;<1/8;m5879'5`1==?>0ek950;&72f<68:10c?9m:18'03e=:190D98m;:a73>=83?1<7>t$52b>14<@==o7??3:9jb<<72->=o7??3:9jbd<72->=o7??3:9jbg<72->=o7??3:9l62d=83.?:n4=8298yg7793:157>50z&74d<3=2B?:95G4278 10d2:l0(290/8;m51118?l`f290/8;m51118?l`e290/8;m51118?l`d290/8;m51118?l`c290/8;m51118?l`b290/8;m51118?l`a290/8;m51118?j40j3:1(98l:3:0?>{e<:h1<7;50;2x 16f2=80D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=nnh0;6):9c;337>=nnk0;6):9c;337>=h:>h1<7*;6b81<6=8h7>53;294~"38h0?<6F;659K063<,=
h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi8>k50;194?6|,=:j6?6?;I650>N3;<1/8;m526d8 4c02<n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e<=:1<7<50;2x 16f28:=7E:94:J770=#n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e<=81<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=??7>53;294~"38h0?<6F;659K063<,=
h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi89:50;194?6|,=:j69>4H547?M24=2.?:n4=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo:n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e;?;1<7<50;2x 16f28:=7E:94:J770=#n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e;?91<7<50;2x 16f28:=7E:94:J770=#n:3:3?M21<2B??85+47a962`<,8o<688;;hd;>5<#5<#t$52b>16<@==o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yg51j3:187>50z&74d<392B?:95G4278 10d2:l0(290/8;m51118?l`f290/8;m51118?j40j3:1(98l:3:0?>{e;l>1<7;50;2x 16f2=80D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=nnh0;6):9c;337>=nnk0;6):9c;337>=h:>h1<7*;6b81<6=53;294~"38h0?<6F;659K063<,=
h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi?hm50;094?6|,=:j6<>9;I650>N3;<1/8;m5879'5`1==?>0ek950;&72f<68:10c?9m:18'03e=:190D98m;:a7`b=8381<7>t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi?hk50;094?6|,=:j6<>9;I650>N3;<1/8;m5879'5`1==?>0ek950;&72f<68:10c?9m:18'03e=:190D98m;:a7``=8381<7>t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi?k>50;094?6|,=:j6<>9;I650>N3;<1/8;m5879'5`1==?>0ek950;&72f<68:10c?9m:18'03e=:190D98m;:a7c7=8381<7>t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi?k<50;094?6|,=:j6<>9;I650>N3;<1/8;m5879'5`1==?>0ek950;&72f<68:10c?9m:18'03e=:190D98m;:a7`3=8381<7>t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi?h850;194?6|,=:j6?6?;I650>N3;<1/8;m526d8 4c02<=83:p(9>n:568L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65ff`83>!21k3;;?65ffc83>!21k3;;?65ffb83>!21k3;;?65ffe83>!21k3;;?65ffd83>!21k3;;?65`26`94?"3>j094>54}c1fN3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>16<@==o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yg5bi3:187>50z&74d<392B?:95G4278 10d2:l0(290/8;m51118?l`f290/8;m51118?j40j3:1(98l:3:0?>{e=9h1<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c73`?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=53;294~"38h0?<6F;659K063<,=
h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi9=h50;194?6|,=:j6?6?;I650>N3;<1/8;m526d8 4c02<n:578L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65ff`83>!21k3;;?65ffc83>!21k3;;?65ffb83>!21k3;;?65ffe83>!21k3;;?65ffd83>!21k3;;?65ffg83>!21k3;;?65`26`94?"3>j094>54}c1gf?6=;3:10D9=:;%65g?40n2.:i:4:659jb=<72->=o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yg5ck3:197>50z&74d<3:2B?:95G4278 10d2:l0(290/8;m51118?l`f290/8;m51118?l`e290/8;m51118?j40j3:1(98l:3:0?>{e;mn1<7<50;2x 16f28:=7E:94:J770=#n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e;ml1<7<50;2x 16f28:=7E:94:J770=#n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e;l;1<7<50;2x 16f28:=7E:94:J770=#n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e;m>1<7<50;2x 16f28:=7E:94:J770=#n:508L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65ff`83>!21k3;;?65ffc83>!21k3;;?65`26`94?"3>j094>54}c1g2?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>7>73A>=86F;349'03e=:>l0(290/8;m51118?j40j3:1(98l:3:0?>{e;m21<7:50;2x 16f2=;0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=nnh0;6):9c;337>=h:>h1<7*;6b81<6=53;294~"38h0?<6F;659K063<,=
h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi?io50;194?6|,=:j69>4H547?M24=2.?:n4=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo?=5;297?6=8r.?7):9c;04b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c31e?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=55;294~"38h0?>6F;659K063<,=
h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3`lj6=4+47a9555<3`li6=4+47a9555<3f85$54`>7>432wi=?m50;194?6|,=:j69>4H547?M24=2.?:n4=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo?=d;297?6=8r.?7):9c;04b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c31a?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=53;294~"38h094=5G4768L1523->=o7<8f:&2a2<2>=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo?<0;297?6=8r.?n:528L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c312?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>7>73A>=86F;349'03e=:>l0(290/8;m51118?j40j3:1(98l:3:0?>{e9;21<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=53;294~"38h094=5G4768L1523->=o7<8f:&2a2<2>=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10q~?::187[72349n87h7;<1gg?`?349oh7h8;|q22?6=;4lj0m563{t9>0;69uQ169>7`b=n>16?im5f`9>7a`=n>1v<650;7xZ4><5=9n6k64=2g7>cg<5:n86k64=00g>c>a;297~X6i278ih4i7:?0`6>:g;8915e2o201>km:g;896b42oh01<8n7hn;<1fb?`0349o?7hk;<31f?`f3ty:i7>56z\2a>;6880mn63;3c8ef>;4n90m;63;6:k0mn6s|2183><}Y:916?;;5f69>557=nj168>j5f99>737=n>16?k?5f69>7a5=nl16=?;5f89>57e=n11v?<50;;xZ74<5:<=6k94=022>cb<5=9o6k74=241>c1<5:l96k94=2f0>c`<588>6k64=00`>c?:4i8:p6f<72:qU>n523d49b==:;mh1j55rs3f94?5|V;n01>kl:g5896be2o30q~6=:187[>534?;57h6;<73f?`?34?;o7h8;|q:0?6=:rT2863:068;=>{t99:1<7{t9;?1<771e34;9j7h7;|q263<72;q6=?8526`8944?2o30q~?=7;296~;6:>09;o5213;9b==z{8836=4={<3144i9:p57g=838p1<2c83>7}:9;h1>:l4=00;>c>52z?26f<5?k16=?85f99~w44c2909w0?=d;04f>;6;90m46s|13g94?4|588n6?9m;<304?`>3ty:>k4?:3y>57`=:>h01<=>:g;8yv7483:1>v3>31813g=:9;<1j45rs012>5<5s4;8=7<8b:?2628o7<8b:p621=838p198::g78906020?0q~kj526`8yv4a13:1?vP=f89>6ce=:>h01?hk:g:8yv4ai3:1>v3=f`813g=::oi1j55rs3da>5<5s48mn7<8b:?1bf8?:35a?85113l27p}<6083>7}:;?;1>:l4=24a>c>7>52z?027<5?k16?;o5f99~w6042909w0=93;04f>;4>h0m56s|37694?5|V:;28k0m56s|37794?4|5:<>6?9m;<153ty8:;4?:3y>730=:>h01>87:gc8yv51?3:1>v3<66813g=:;?21jo5rs24:>5<5s49=57<8b:?02g7}:;m91>:l4=2fb>c>52z?0`1<5?k16?i75f89~w6b22909w0=k5;04f>;4l>0m56s|3e494?4|5:n=6?9m;<1g7a1=:>h01>j7:g:8yv5c03:1>v35<5s49o57<8b:?0`d7a3=n11v>jj:18185cm38{t;ml1<771e349o97hn;|q0a5<72;q6?h>526`896b22oh0q~=j1;296~;4m809;o523e49b==z{:o96=4={<1f6?40j278h;4i9:p7`5=838pR>k<;<1fe?40j2wx?h:50;0x96c32;=i70=ja;d:?xu4m<0;6?u23d7962d<5:o<6kk4}r1f2?6=:r78i;4=7c9>7`>=n01v>k8:18185b?38{t;l21<771e349n57h6;|q0a<<72;q6?h7526`896cf2o20q~=jb;296~;4mk09;o523d;9b==z{:oh6=4={<1fg?40j278i;4i9:p7`b=838p1>kk:35a?85b?3l37p}7}:;lo1>:l4=2g4>c?52z?0ac<5?k16?h95f`9~w6`72909w0=i0;04f>;4m>0mn6s|3g394?4|5:l:6?9m;<1f3?`c3ty8j?4?:3y>7c4=:>h01>k8:ga8yv24j3:1>v3;3c813g=:<:i1j45rs51g>5<5s4>8h7<8b:?701k50;0x915b2;=i70:;2;d;?xu3;o0;6?u242d962d<5=>96k74}r674?6=:r7?8=4=7c9>015=n11v9:>:181823938{t<=81<771e34>?87h6;|q706<72;q689=526`8915d2ok0q~:;4;296~;3<=09;o5242a9b==z{<:<6=4;{<733?4c>279ji4i9:?025l4i7:p15>=838p18>8:8f8906>2;=i7p}:0`83>7}:=9=15>5251d962d52z?64g<5?k169=h5f99~w06d2909w0;?c;04f>;28l0m46s|51f94?4|5<:o6?9m;<73a?`>3ty>15c=:>h018>i:g;8yv36k3:1?vP:1b9>15?=n1169=j5f69~w03c290?wS;:d:?704:1801??c2kopD9=:;%63e?4a?2P3471<75f2g;94?=h>o4jh0;66a:0183>>o3m10;66g>o2=m0;66g:2683>>o5n10;66a:2083>>o4=o0;66a=fd83>>i3nh0;66a=e883>!4c<38n46`=d283?>i5m>0;6)h5l:0:76a=f783>!4c<38n46`=d281?>i5n<0;6)h5l:0876a=f583>!4c<38n46`=d287?>i5n:0;6)h5l:0>76a=f383>!4c<38n46`=d285?>i5n80;6)h5l:0<76a=eb83>!4c<38n46`=d28;?>i5mk0;6)h5l:0276a=e`83>!4c<38n46`=d28b?>i5m?0;6)h5l:0i76g=2;29 7b32;;0b?j<:198m76=83.9h94=1:l1`6<632c:i7>5$3f7>77o6k3:1(?j;:338j7b42=10e4:;:k2e?6=,;n?6??4n3f0>3=h5l:0<76g>8;29 7b32;;0b?j<:998m41=83.9h94=1:l1`6<>32c::7>5$3f7>77o5l3:1(?j;:338j7b42j10e?m50;&1`1<592d9h>4k;:k1f?6=,;n?6??4n3f0>`=h5l:0m76g=9;29 7b32;;0b?j<:028?l4?290/>i:5209m6a5=9810e?950;&1`1<592d9h>4>2:9j63<72-8o87<>;o0g7?7432c:j7>5$3f7>77N3>=1C8>;4$54`>1153-;n;7;94:me1?6=,=78t$52b>`c<@==1b5>4?::k:1?6=3`226=44i8f94?=h:m<1<75m2e494?0=83:p(98l:g38m464290/8;m51118?l?4290/8;m59298m<2=83.?:n464:9j7f6=83.?:n4{e{t1m0;6?uQ9e9>6a0=9990q~7::181[?2348o:7=8a:p=6<72;qU5>522e49=6=z{131<70q~h9:18184c>39h<63;6881<6=zuk8mi7>53;090~"38h0no6F;659K063<,8o<688;;h::>5<3:17d56;294~"3>j0m=6g>0283>!21k3;;?65f9283>!21k33876g64;29 10d20>07d=l0;29 10d2:i;76g<7`83>!21k39j094>54}c653?6=93:11<7*;6b81<6={t:m?1<77}Y:m<01hh52918yv24>3:1>v3jf;;0?821?38386srb383>4<729q/8>:59:&74d2.?:n4;739'5`1==?>0ck;50;&72f<50:10q~i852e48yv?c2909wS7k;<0g2??c3ty357>52z\;=>;5l?0356s|5;297~;5l?09h8522e49=0=::3l>7):<4;a8yxd2890;6>4=:5y'05g=mj1C8;:4H516?!7b?3?=86g79;29?l?c2900c?j9:188f7b1290>6?49{%63e?ca3-;n;7;94:m1`3<722c9h84?::k:`?6=3`3>6=44i9;94?=emo0;6;4?:1y'03e=n81b===50;&72f<68:10e4=50;&72f<>;21b594?:%65g??332c8o=4?:%65g?5d821b?:o50;&72f<4?h10c?6<:18'03e=:1907pl;6683>4<729q/8;m526g8k7>3290/8;m52918?xu>l3:1>vP6d:?fb?77;2wx444?:3y]<<=:mo0286s|9483>7}Y1<16ik4<7`9~w7b22909wSi850;0xZ7b134om6?6<;|q773<72;q6ik463:?722<50=1vqo<50;394?6|,=9?645+41c9b3=#0033fl>6=4+47a96=5<3ty9h;4?:3y]6a0<5;n=6?j9;|q:`?6=:rT2h63=d78:`>{t000;6?uQ889>6a0=001v84?:2y>6a0=:m?01?j9:878970D9=:;%3f3?31<2c357>5;h;g>5<5<22;0=w):?a;ge?!7b?3?=86a=d783>>o5l<0;66g6d;29?l?22900e5750;9aac<72?0;6=u+47a9b4=n9991<7*;6b8246==n1=0;6):9c;;7?>o4k90;6):9c;1`4>=n;>k1<7*;6b803d=5}#:k4o3:7>5<#5=4;|q:`?6=:rT2h63jf;337>{t000;6?uQ889>ac<><2wx584?:3y]=0=:mo08;l5rs3f6>5<5sW8o963jf;1`4>{t:m<1<77}:mo02?63;6681<1=zuk81<7?50;2x 153201/8=o5f79'03e=<>80(l279h;46d:p<<<72;qU44522e49<<=z{<0;6>u22e496a3<5;n=64;4=38e1>"3;=0h7psm8483>6<52=q/8=o5eb9K032<@=9>7)?j7;750>o?13:17d7k:188k7b12900n?j9:186>7<1s->;m7ki;%3f3?31<2e9h;4?::k1`0<722c2h7>5;h;6>5<3<729q/8;m5f09j555=83.?:n4>0298m<5=83.?:n463:9j=1<72->=o77;;:k0g5<72->=o7=l0:9j72g=83.?:n4<7`98k7>4290/8;m52918?xd3>>0;6<4?:1y'03e=:>o0c?6;:18'03e=:1907p}6d;296~X>l27nj7??3:p<<<72;qU4452eg8:0>{t1<0;6?uQ949>ac<4?h1v?j::181[4c=27nj7=l0:p6a0=838pR?j9;7>43ty??;4?:3y>ac<>;27?::4=859~yg4=83;1<7>t$517><=#<9k1j;5+47a9024<,8o<688;;nd6>5<#5=4;|q1`3<72;qU>i84=3f5>7b13ty2h7>52z\:`>;5l?02h6s|8883>7}Y0016>i85889~w0<72:q6>i852e7897b120?01?4i5:&7715;h;g>5<5<22;0=w):?a;ge?!7b?3?=86a=d783>>o5l<0;66g6d;29?l?22900e5750;9aac<72?0;6=u+47a9b4=n9991<7*;6b8246==n1=0;6):9c;;7?>o4k90;6):9c;1`4>=n;>k1<7*;6b803d=5}#:k4o3:7>5<#5=4;|q:`?6=:rT2h63jf;337>{t000;6?uQ889>ac<><2wx584?:3y]=0=:mo08;l5rs3f6>5<5sW8o963jf;1`4>{t:m<1<77}:mo02?63;6681<1=zuk81<7?50;2x 153201/8=o5f79'03e=<>80(l279h;46d:p<<<72;qU44522e49<<=z{<0;6>u22e496a3<5;n=64;4=38e1>"3;=0h7psm30;94?5=:3>p(9>n:da8L1033A>896*>048f?!7b?3?=86g79;29?l?c2900c?j9:188f7b1290>6?49{%63e?ca3-;n;7;94:m1`3<722c9h84?::k:`?6=3`3>6=44i9;94?=emo0;6;4?:1y'03e=n81b===50;&72f<68:10e4=50;&72f<>;21b594?:%65g??332c8o=4?:%65g?5d821b?:o50;&72f<4?h10c?6<:18'03e=:1907pl;6683>4<729q/8;m526g8k7>3290/8;m52918?xu>l3:1>vP6d:?fb?77;2wx444?:3y]<<=:mo0286s|9483>7}Y1<16ik4<7`9~w7b22909wSi850;0xZ7b134om6?6<;|q773<72;q6ik463:?722<50=1vqo<50;394?6|,=9?645+41c9b3=#0033fl>6=4+47a96=5<3ty9h;4?:3y]6a0<5;n=6?j9;|q:`?6=:rT2h63=d78:`>{t000;6?uQ889>6a0=001v84?:2y>6a0=:m?01?j9:878977:t$52b>`e<@=5<i5l?0;66g=d483>>o>l3:17d7::188m=?=831iik4?:783>5}#j0:<>54i8194?"3>j02?65f9583>!21k33?76g!21k39h<65f36c94?"3>j08;l54o3:0>5<#5=4;|`722<7280;6=u+47a962c52z\:`>;bn3;;?6s|8883>7}Y0016ik464:p=0<72;qU5852eg803d=z{;n>6=4={_0g1>;bn39h<6s|2e494?4|V;n=70ki:3:0?xu3;?0;6?u2eg8:7>;3>>09495r}c094?7=83:p(9=;:89'05g=n?1/8;m54608 4c02<7}Y:m<01?j9:3f5?xu>l3:1>vP6d:?1`3<>l2wx444?:3y]<<=::m<1445rs483>6}::m<1>i;4=3f5><3<5;0m96*;358`?x{e;8<1<7=52;6x 16f2li0D98;;I601>"68<0n7)?j7;750>o?13:17d7k:188k7b12900n?j9:186>7<1s->;m7ki;%3f3?31<2e9h;4?::k1`0<722c2h7>5;h;6>5<3<729q/8;m5f09j555=83.?:n4>0298m<5=83.?:n463:9j=1<72->=o77;;:k0g5<72->=o7=l0:9j72g=83.?:n4<7`98k7>4290/8;m52918?xd3>>0;6<4?:1y'03e=:>o0c?6;:18'03e=:1907p}6d;296~X>l27nj7??3:p<<<72;qU4452eg8:0>{t1<0;6?uQ949>ac<4?h1v?j::181[4c=27nj7=l0:p6a0=838pR?j9;7>43ty??;4?:3y>ac<>;27?::4=859~yg4=83;1<7>t$517><=#<9k1j;5+47a9024<,8o<688;;nd6>5<#5=4;|q1`3<72;qU>i84=3f5>7b13ty2h7>52z\:`>;5l?02h6s|8883>7}Y0016>i85889~w0<72:q6>i852e7897b120?01?4i5:&7715;n0g2?6=3k8o:7>55;092~"38h0nj6*>e68621=h:m<1<75f2e794?=n1m0;66g65;29?l>>2900nhh50;494?6|,=5<#5<#54i8694?"3>j02865f3b294?"3>j08o=54i25b>5<#t$54`>71b3f8387>5$54`>7>432wx5i4?:3y]=a=:mo0:<>5rs9;94?4|V1301hh5959~w<3=838pR4;4=dd972g52z\1`0=:mo08o=5rs3f5>5<5sW8o:63jf;0;7>{t<:<1<75:4}|`1>5<6290;w):<4;;8 16f2o<0(98l:551?!7b?3?=86ai5;29 10d2;2876s|2e494?4|V;n=70{t1m0;6?uQ9e9>6a0=1m1v5750;0xZ=?<5;n=6574}r794?5|5;n=6?j:;<0g2??23481j85+4269g>{zj:=i6=4<:387!27i3oh7E:94:J770=#99?1i6*>e68621=n000;66g6d;29?j4c>3:17or.?=1d>i850;9j6a3=831b5i4?::k:1?6=3`226=44bdd94?0=83:p(98l:g38m464290/8;m51118?l?4290/8;m59298m<2=83.?:n464:9j7f6=83.?:n4{e7}Y1m16ik4>029~w=?=838pR574=dd9=1=z{0?1<7k0q~850;0x9``=1:168;952968yxd5290:6=4?{%600??<,=:j6k84$54`>1153-;n;7;94:me1?6=,=2wx5i4?:3y]=a=::m<15i5rs9;94?4|V1301?j9:9;8yv3=839p1?j9:3f6?84c>33>70<5f49'062=k2wvn>9l:180>7<3s->;m7kl;I650>N3;<1/==;5e:&2a2<2>=1b444?::k:`?6=3f8o:7>5;c0g2?6==381:v*;0`8fb>"6m>0>:95`2e494?=n:m?1<75f9e83>>o>=3:17d66:188f``=83<1<7>t$54`>c71<7*;6b8:0>=n;j:1<7*;6b80g5=5$54`>7>432wi8;950;394?6|,=;bn383?6s|42494?4|5ll15>5247596=2:183!24<330(9>n:g48 10d2==97)?j7;750>ia=3:1(98l:3:0?>{t:m<1<738o:6s|9e83>7}Y1m16>i859e9~w=?=838pR574=3f5>=?7b2348o:77:;<09b0=#<:>1o6srb25g>5<42;0?w):?a;g`?M21<2B??85+1179a>"6m>0>:95f8883>>o>l3:17b3:197<56z&74di;50;9j=a<722c297>5;h::>5<<:18'03e=99907d7<:18'03e=1:10e4:50;&72f<><21b?n>50;&72f<4k910e>9n:18'03e=;>k07b<73;29 10d2;2876sm47594?7=83:p(98l:35f?j4?<3:1(98l:3:0?>{t1m0;6?uQ9e9>ac<68:1v5750;0xZ=?<5ll1595rs8794?4|V0?01hh536c8yv4c=3:1>vP=d49>ac<4k91v?j9:181[4c>27nj7<73:p060=838p1hh5929>031=:1>0qpl=:182>5<7s->88774$52b>c0<,=5$54`>7>432wx>i850;0xZ7b1348o:770:5c:~f61b29086?4;{%63e?cd3A>=86F;349'553=m2.:i:4:659j<<<722c2h7>5;n0g2?6=3k8o:7>55;092~"38h0nj6*>e68621=h:m<1<75f2e794?=n1m0;66g65;29?l>>2900nhh50;494?6|,=5<#5<#54i8694?"3>j02865f3b294?"3>j08o=54i25b>5<#t$54`>71b3f8387>5$54`>7>432wx5i4?:3y]=a=:mo0:<>5rs9;94?4|V1301hh5959~w<3=838pR4;4=dd972g52z\1`0=:mo08o=5rs3f5>5<5sW8o:63jf;0;7>{t<:<1<75:4}|`1>5<6290;w):<4;;8 16f2o<0(98l:551?!7b?3?=86ai5;29 10d2;2876s|2e494?4|V;n=70{t1m0;6?uQ9e9>6a0=1m1v5750;0xZ=?<5;n=6574}r794?5|5;n=6?j:;<0g2??23481j85+4269g>{zj:2:6=4<:387!27i3oh7E:94:J770=#99?1i6*>e68621=n000;66g6d;29?j4c>3:17or.?=1d>i850;9j6a3=831b5i4?::k:1?6=3`226=44bdd94?0=83:p(98l:g38m464290/8;m51118?l?4290/8;m59298m<2=83.?:n464:9j7f6=83.?:n4{e7}Y1m16ik4>029~w=?=838pR574=dd9=1=z{0?1<7k0q~850;0x9``=1:168;952968yxd5290:6=4?{%600??<,=:j6k84$54`>1153-;n;7;94:me1?6=,=2wx5i4?:3y]=a=::m<15i5rs9;94?4|V1301?j9:9;8yv3=839p1?j9:3f6?84c>33>70<5f49'062=k2wvn>69:180>7<3s->;m7kl;I650>N3;<1/==;5e:&2a2<2>=1b444?::k:`?6=3f8o:7>5;c0g2?6==381:v*;0`8fb>"6m>0>:95`2e494?=n:m?1<75f9e83>>o>=3:17d66:188f``=83<1<7>t$54`>c71<7*;6b8:0>=n;j:1<7*;6b80g5=5$54`>7>432wi8;950;394?6|,=;bn383?6s|42494?4|5ll15>5247596=2:183!24<330(9>n:g48 10d2==97)?j7;750>ia=3:1(98l:3:0?>{t:m<1<738o:6s|9e83>7}Y1m16>i859e9~w=?=838pR574=3f5>=?7b2348o:77:;<09b0=#<:>1o6srb2:a>5<42;0?w):?a;g`?M21<2B??85+1179a>"6m>0>:95f8883>>o>l3:17b3:197<56z&74di;50;9j=a<722c297>5;h::>5<<:18'03e=99907d7<:18'03e=1:10e4:50;&72f<><21b?n>50;&72f<4k910e>9n:18'03e=;>k07b<73;29 10d2;2876sm47594?7=83:p(98l:35f?j4?<3:1(98l:3:0?>{t1m0;6?uQ9e9>ac<68:1v5750;0xZ=?<5ll1595rs8794?4|V0?01hh536c8yv4c=3:1>vP=d49>ac<4k91v?j9:181[4c>27nj7<73:p060=838p1hh5929>031=:1>0qpl=:182>5<7s->88774$52b>c0<,=5$54`>7>432wx>i850;0xZ7b1348o:770:5c:~f6?729086?4;{%63e?cd3A>=86F;349'553=n2.:i:4:659j<<<722c2h7>5;n0g2?6=3k8o:7>55;092~"38h0nj6*>e68621=h:m<1<75f2e794?=n1m0;66g65;29?l>>2900nhh50;494?6|,=5<#5<#54i8694?"3>j02865f3b294?"3>j08o=54i25b>5<#t$54`>71b3f8387>5$54`>7>432wx5i4?:3y]=a=:mo0:<>5rs9;94?4|V1301hh5959~w<3=838pR4;4=dd972g52z\1`0=:mo08o=5rs3f5>5<5sW8o:63jf;0;7>{t<:<1<75:4}|`1>5<6290;w):<4;;8 16f2o<0(98l:551?!7b?3?=86ai5;29 10d2;2876s|2e494?4|V;n=70{t1m0;6?uQ9e9>6a0=1m1v5750;0xZ=?<5;n=6574}r794?5|5;n=6?j:;<0g2??23481j85+4269g>{zj<896=4=:183!27i3;;:6F;659K063<,=0033`l<6=4+47a9555<3f85$54`>7>43A>=n65rb41b>5<5290;w):?a;332>N3>=1C8>;4$54`>=0<,8o<688;;hd4>5<#=zj=li6=4=:183!27i3;;:6F;659K063<,=0033`l<6=4+47a9555<3f85$54`>7>43A>=n65rb2`;>5<5290;w):?a;332>N3>=1C8>;4$54`>=0<,8o<688;;hd4>5<#=zj:h26=4<:183!27i3>;7E:94:J770=#52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c1;4?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#=8381<7>t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi9?;50;194?6|,=:j6?6?;I650>N3;<1/8;m526d8 4c02<n:3:3?M21<2B??85+47a962`<,8o<688;;hd;>5<#5<#t$52b>16<@==o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yg57k3:1>7>50z&74d<68?1C8;:4H516?!21k32=7)?j7;750>oa?3:1(98l:020?>i5?k0;6):9c;0;7>N3>k10qo=?d;297?6=8r.?n:3:3?M21<2B??85+47a962`<,8o<688;;hd;>5<#5<#t$52b>16<@==o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yg56k3:1?7>50z&74d<5091C8;:4H516?!21k38e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=53;294~"38h094=5G4768L1523->=o7<8f:&2a2<2>=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo=>e;297?6=8r.?n:3:3?M21<2B??85+47a962`<,8o<688;;hd;>5<#5<#t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi?<<50;094?6|,=:j6<>9;I650>N3;<1/8;m5879'5`1==?>0ek950;&72f<68:10c?9m:18'03e=:190D98m;:a745=8391<7>t$52b>16<@==o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yg56<3:1?7>50z&74d<382B?:95G4278 10d2:l0(290/8;m51118?j40j3:1(98l:3:0?>{e;8?1<7:50;2x 16f2;2:7E:94:J770=#:h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3`lj6=4+47a9555<3f85$54`>7>432wi?=>50;194?6|,=:j6?6?;I650>N3;<1/8;m526d8 4c02<n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e;981<7<50;2x 16f28:=7E:94:J770=#n:528L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c130?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>7>73A>=86F;349'03e=:>l0(290/8;m51118?j40j3:1(98l:3:0?>{e;9<1<7:50;2x 16f2;2:7E:94:J770=#:h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3`lj6=4+47a9555<3f85$54`>7>432wi8oj50;194?6|,=:j6?6?;I650>N3;<1/8;m526d8 4c02<n:528L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c6ab?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=h<7>53;294~"38h094=5G4768L1523->=o7<8f:&2a2<2>=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo:l1;296?6=8r.?079K032<@=9>7):9c;:5?!7b?3?=86gi7;29 10d28:876a=7c83>!21k383?6F;6c98yg2d:3:1?7>50z&74d<5091C8;:4H516?!21k38e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=h?7>53;294~"38h0?<6F;659K063<,=
h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi8n:50;194?6|,=:j69>4H547?M24=2.?:n4=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo:l5;291?6=8r.?7):9c;04b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65ff`83>!21k3;;?65ffc83>!21k3;;?65`26`94?"3>j094>54}c70g?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c70a?6=<3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#5<#t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi8kk50;094?6|,=:j6<>9;I650>N3;<1/8;m5879'5`1==?>0ek950;&72f<68:10c?9m:18'03e=:190D98m;:a0c`=83>1<7>t$52b>17<@==o7??3:9jb<<72->=o7??3:9jbd<72->=o7??3:9l62d=83.?:n4=8298yg5713:187>50z&74d<392B?:95G4278 10d2:l0(290/8;m51118?l`f290/8;m51118?j40j3:1(98l:3:0?>{e;;81<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c110?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>7>73A>=86F;349'03e=:>l0(290/8;m51118?j40j3:1(98l:3:0?>{e;;l1<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c105?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>7>73A>=86F;349'03e=:>l0(290/8;m51118?j40j3:1(98l:3:0?>{e;:i1<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c10a?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>7>73A>=86F;349'03e=:>l0(290/8;m51118?j40j3:1(98l:3:0?>{e;=81<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c170?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>7>73A>=86F;349'03e=:>l0(290/8;m51118?j40j3:1(98l:3:0?>{e;=21<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c17e?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>7>73A>=86F;349'03e=:>l0(290/8;m51118?j40j3:1(98l:3:0?>{e;=o1<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c164?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>7>73A>=86F;349'03e=:>l0(290/8;m51118?j40j3:1(98l:3:0?>{e;<>1<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=97>52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c162?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>7>73A>=86F;349'03e=:>l0(290/8;m51118?j40j3:1(98l:3:0?>{e;"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=n7>52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c16g?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>7>73A>=86F;349'03e=:>l0(290/8;m51118?j40j3:1(98l:3:0?>{e;:?1<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c103?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#=8391<7>t$52b>7>73A>=86F;349'03e=:>l0(290/8;m51118?j40j3:1(98l:3:0?>{e;;21<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c11e?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>7>73A>=86F;349'03e=:>l0(290/8;m51118?j40j3:1(98l:3:0?>{e:ln1<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c0fb?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>7>73A>=86F;349'03e=:>l0(290/8;m51118?j40j3:1(98l:3:0?>{e;081<7<50;2x 16f28:=7E:94:J770=#n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e;0?1<7<50;2x 16f28:=7E:94:J770=#n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e;0=1<7<50;2x 16f28:=7E:94:J770=#n:528L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c1:=?6=<3:10D9=:;%65g?40n2.:i:4:659jb=<72->=o7??3:9jb<<72->=o7??3:9jbd<72->=o7??3:9l62d=83.?:n4=8298yg5>i3:1?7>50z&74d<5091C8;:4H516?!21k38e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=54;294~"38h0?=6F;659K063<,=
h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3`lj6=4+47a9555<3f85$54`>7>432wi?4=50;194?6|,=:j6?6?;I650>N3;<1/8;m526d8 4c02<n:528L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c1;a?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>7>73A>=86F;349'03e=:>l0(290/8;m51118?j40j3:1(98l:3:0?>{e;121<7;50;2x 16f2=80D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=nnh0;6):9c;337>=nnk0;6):9c;337>=h:>h1<7*;6b81<6=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c1;e?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi?5:50;194?6|,=:j69>4H547?M24=2.?:n4=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo=75;297?6=8r.?7):9c;04b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c1b0D9=:;%65g?40n2.:i:4:659jb=<72->=o7??3:9jb<<72->=o7??3:9jbd<72->=o7??3:9jbg<72->=o7??3:9l62d=83.?:n4=8298yg5f13:1>7>50z&74d<68?1C8;:4H516?!21k32=7)?j7;750>oa?3:1(98l:020?>i5?k0;6):9c;0;7>N3>k10qo=na;296?6=8r.?079K032<@=9>7):9c;:5?!7b?3?=86gi7;29 10d28:876a=7c83>!21k383?6F;6c98yg5fj3:1?7>50z&74d<382B?:95G4278 10d2:l0(290/8;m51118?j40j3:1(98l:3:0?>{e;hi1<7=50;2x 16f2;2;7E:94:J770=#:h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi9==50;194?6|,=:j69>4H547?M24=2.?:n4=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo;?4;296?6=8r.?079K032<@=9>7):9c;:5?!7b?3?=86gi7;29 10d28:876a=7c83>!21k383?6F;6c98yg37=3:1>7>50z&74d<68?1C8;:4H516?!21k32=7)?j7;750>oa?3:1(98l:020?>i5?k0;6):9c;0;7>N3>k10qo;?6;290?6=8r.?7):9c;04b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65ff`83>!21k3;;?65`26`94?"3>j094>54}c721?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c723?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c72=?6=<3:10D9=:;%65g?40n2.:i:4:659jb=<72->=o7??3:9jb<<72->=o7??3:9jbd<72->=o7??3:9l62d=83.?:n4=8298yg36i3:187>50z&74d<392B?:95G4278 10d2:l0(290/8;m51118?l`f290/8;m51118?j40j3:1(98l:3:0?>{e;?n1<7<50;2x 16f28:=7E:94:J770=#n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e;>91<7<50;2x 16f28:=7E:94:J770=#n:528L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c141?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c143?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=54;294~"38h094<5G4768L1523->=o7<8f:&2a2<2>=1bj54?:%65g?77;21bj44?:%65g?77;21bjl4?:%65g?77;21d>:l50;&72f<50:10qo=89;297?6=8r.?n:528L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c15b?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>7>73A>=86F;349'03e=:>l0(290/8;m51118?j40j3:1(98l:3:0?>{e;>;1<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=6=4={_36?85493l37p}>6;296~X6>278?h4i8:p52<72;qU=:523569b==z{821<7349><7h7;|q2e?6=:rT:m63<5b8e<>{t9k0;6?uQ1c9>761=n11v5<5sW;o70=:6;d;?xu6m3:1>vP>e:?1ac3ty9:7>52z\12>;4:o0m56s|2683>7}Y:>16?>m5f89~w7>=838pR?64=261>c?o523279b<=:;;21j45rs3a94?4|V;i01>;n:g;8yv4c2909wS7>58z\;6>;?=33o70=?9;d;?85>=3l<70=78;d;?837=3l<70=82;d4?850<3l37p}75;297~;?=38o:63:028e=>;4?<0m;6s|9583>44|V0>01>o;:9;897`b213018>?:9;89<`=001648479:?0427=6=n1168ok5f99>7=5=n>169==5f99~wd6=838p14h59e9>e4<5?k1v?98:181821=3l>70=n4;;6?xu5m?0;6?uQ2d4896422;=i7p}=e683>7}Y:l=01>7}Y:lh01>=7:35a?xu5mj0;6>uQ2da8Z7c>348m<7<8b:p6`b=838p1?kk:35a?84a83l37p}=ed83>7}::lo1>:l4=3ge>c?52z?1ac<5?k16>k>5f89~w7`62909wS2789i4=7c9~w7`?290>wS=84i7:p6c?=839pR?h6;<15`?`0349<:7h8;|q1b`<72;qU>kk4=3df>7b13ty9jk4?:3y>6cc=1m16?=8526`8yv5783:1>v3<01813g=:;9<1j45rs222>5<5s49;=7<8b:?0466k74}r130?6=:r78<94=7c9>750=nh1v>>::181857=38{t;9=1<7?6{<133?4c>27>?h4i9:?7bc?4i8:?066k4i8:?07554i8:?06<?l:g:8966>2;=i7p}<0`83>7}:;9=15i5231g962d52z?04g<5?k16?=j5f99~w66d2909w0=?c;04f>;48m0m56s|31f94?4|5::o6?9m;<13a?`>3ty875`=:m<01>>6:gc896?12o=01>67:g`890712o=01>9<:g58yv5683:1>v3<0g8:`>;49<09;o5rs232>5<5s49:=7<8b:?0564;d:?xu49:0;6?u2301962d<5:;>6k64}r120?6=:r78=94=7c9>743=nh1v>?9:181856>38o:63:198e3>{t;8=1<7=t=235>=?<5=hj6574=23;>71e3ty8=44?:3y>74?=:m<018?8:g58yv56i3:1>v3<188:`>;49o09;o5rs23a>5<5s49:n7<8b:?05ae;d:?xu49m0;6?u230f962d<5:;m6k64}r12a?6=:r78=h4=7c9>74`=n01v><>:181855:38{t;;81<771e349987h6;|q066<72;q6??:526`896422o30q~==7;296~;4:109;o5233`9b==z{:836=4={<11=?40j278>l4i9:p77?=838p1>7}:;;l1>:l4=211>c>52z?075<5?k16?>?5f89~w6572909w0=<1;04f>;4;;0m56s|32694?4|5:9>6?9m;<10760=:>h01>=8:g;8yv54>3:1>v3<36813g=:;:21j45rs21a>5<5s498o7<8b:?07cm50;0x965c2;=i70=713=n11v>:=:181853;38{t;=91<771e349?97h6;|q002<72;q6?96526`8962e2o20q~=;8;296~;4<009;o5235c9b<=z{:>26=4={<17e?40j2788o4i9:p71b=838p1>:j:35a?85293l37p}<4d83>7}:;=l1>:l4=273>c?52z?015<5?k16?8?5f89~w6342909w0=:4;04f>;4=>0m46s|34694?4|5:?>6?9m;<162?`>3ty8984?:3y>700=:>h01>;8:g;8yv5213:1>v3<5`813g=:;5<5s49>n7<8b:?01f;49;0m;63<038e3>;3jo0m;63<968e3>;40m0m463<888e3>;4?809;o5rs24g>5<5s49=h7<8b:?03=o0;6?u237d962d<5:=;6k74}r144?6=:r78;=4=7c9>727=n11v>9=:181850:38{t;>91<771e349<47hn;|q031<72;q6?::526`8960a2o20q~=85;296~;4?<09;o5236;9b==z{:==6=4={<142?40j278;44i9:p721=838p1>98:35a?85093l27p}<7983>7}:;>21>:l4=24f>c?52z?03<<5?k16?;h5f89~w61e290=w0=8b;0g2>;49<0m563<048e<>;3k;0m463<9`8e<>;4i10mm6s|36a94?2|5:=i64j4=25`>7b134>ih7h7;<1b72e=1m16?:j52e4891dc2o301>o7:g;8yv50m3:1;v3<7d81`3=:;8h1j4523019b==:;991j5524b29b<=:;021j55239g9b<=z{:=m6=4={<14a??c3493<7<8b:p7=7=83?p1>6>:3f5?85?83l270=?0;d;?82em3l270=74;d;?xu40;0;6?u23939=a=:;1?1>:l4}r1;7?6=:r784>4=7c9>7=2=n01v>6;:18185?<38{t;1<1<7;t=235>7=0=1m16?5o526`8yv5?03:1>v3<89813g=:;1k1j55rs2::>5<5s49357<8b:?06m:3f5?856l3l370:l0;d;?85f03li7p}<8b83>7}:;1h15i5239d962d52z?0b2909w0=7e;04f>;40o0m56s|38294??|5:3;6?j9;<13f?`>349:i7h7;<120?`?349;87h7;<6`7?`?3492n7h6;<1;`?`>349347h6;|q0=4<72;q6?4>59e9>7<5=:>h0q~=62;296~;41;09;o5238:9b<=z{:3?6=4={<1:0?40j278544i9:p7<3=838p1>7::35a?85>13l37p}<9783>7}:;0<1>:l4=2;:>cg52z?0=2<5?k16?4l5f`9~w6??2909w0=68;04f>;41h0m56s|38;94?4|5:326?9m;<1:f?`?3ty85l4?:3y>7h01>7<:g:8yv5>j3:1>v3<9c813g=:;091j45rs2c7>5<4s49j87o;:8f896g12;=i7p}7}:;h>15>523`a962d52z?0e=<5?k16?lm5f99~w6g>2909w0=n9;04f>;4ik0m46s|3`c94?4|5:kj6?9m;<1bf?`>3ty8mo4?:3y>7dd=:>h01>ol:g;8yv5fm3:18vP7d0=n016?l75f69>722=n01v>l8:18485e138;4980m;63<008e3>;3k80m;63<938e3>;40l0m46s|3c:94?4|5:h36?9m;<1a=?`>3ty8nl4?:4y]7gg<5:h26k64=2c5>c><5:kj6k94=24f>c>3o7>53z\7;3jh09h;5rs5``>5<5s4>im77k;<6`1?40j2wx8oj50;0x91dc2;=i70:l5;d;?xu3jl0;6?u24cg962d<5=i96k74}r6ab?6=:r7?nk4=7c9>0f5=n01v9m?:18182d838{t71e34>h87h6;|q7g7<72;q68n<526`891e22o30q~:l3;296~;3k:09;o524b79bd=z{=i?6=4={<6`0?40j27?o84ib:p0f0=838pR9m9;c1n47>52z\7a==:5<5sW>mm63;fc813g=z{=lh6=4={<6ef?`034>mj7<8b:p0cb=838p19hk:35a?82an3l37p};fd83>7}::l4=5de>cg52z\645=:=9:1>i84}r736?6=:r7><=46d:?643<5?k1v8><:181837;38{t=9>1<771e34?;:7h7;|q640<72;q69=;526`890612ok0q~;>4;296~X29=169v3:14813g=:=831j55rs435>5<5s4?::7<8b:?65<a;d;?xu2910;6?u250:962d<5<;j6ko4}r72=?6=:r7>=44=7c9>14g=n01v8<>:181[35927>>?4=7c9~w0432909wS;=4:?660<5?k1v8<8:181[35?27>?i4i7:p16?=838pR8=6;<70e?40j2wx9>l50;0x905f2o=018=j:35a?xu2;j0;6?u252a962d<5<9n6k64}r70`?6=:r7>?i4=7c9>16c=nh1v8;k:186[32l27>>84i8:?67f621npD9=:;%63e?7592.:i;47>5;n36`?6=3`>n47>5;h310?6=3`?>h7>5;h713?6=3f;8i7>5;n3`7?6=3`9>j7>5;n6g1?6=3f;>?7>5;n3ba?6=3f8n6=44o2a94?=h1<75m47794?7=83:p(9>n:g48L1033A>896*;6b8737=#9l=19;:4og794?"3>j094>54}c6b0?6==381:v*;0`8fa>N3>=1C8>;4$0g4>0033`386=44i8794?=n000;66g6d;29?j4c>3:17o=o7??3:9j=6<72->=o77<;:k:0?6=,=m?;:k03d<72->=o7=8a:9l6=5=83.?:n4=8298yg2113:1=7>50z&72f<3?;1d>5=50;&72f<50:10q~i852918yv?c2909wS7k;<0g2?77;2wx584?:3y]=0=::m<1?:o4}r;0>5<5sW3870vP79:?1`3<><2wxj;4?:3y>6a0=;j:01986:3:0?x{e;j21<7;52;4x 16f2lo0D98;;I601>"68<0n7)?j7;750>o>;3:17d7::188m=?=831b5i4?::m1`3<722h9h;4?:783>5}#j0:<>54i8194?"3>j02?65f9583>!21k33?76g!21k39h<65f36c94?"3>j08;l54o3:0>5<#5=4;|`72<<7280;6=u+47a9024;279h;463:p<<<72;qU44522e49=1=z{o<1<76e734>=57<73:~f6e=83?1>78t$52b>`c<@==1b5>4?::k:1?6=3`226=44i8f94?=h:m<1<75m2e494?0=83:p(98l:g38m464290/8;m51118?l?4290/8;m59298m<2=83.?:n464:9j7f6=83.?:n4{e{t1m0;6?uQ9e9>6a0=9990q~7::181[?2348o:7=8a:p=6<72;qU5>522e49=6=z{131<70q~h9:18184c>39h<63;6881<6=zuk3i6=4<:387!27i3oh7E:94:J770=#9l=19;:4i9;94?=n1m0;66a=d783>>d5l?0;684=:7y'05g=mo1/=h955768k7b12900e?j::188mm?:18'03e=;j:07d=8a;29 10d2:=j76a=8283>!21k383?65rb544>5<6290;w):9c;04a>i50=0;6):9c;0;7>=z{0n1<7>34om64:4}r;6>5<5sW3>70ki:25b?xu5l<0;6?uQ2e789``=;j:0q~=o7:82:&2a2<2>=1dj84?:%65g?4?;21v?j9:181[4c>279h;4=d79~w3227p}::18084c>38o963=d78:1>;52o?0(9=;:b9~yg42<3:1?7<54z&74di850;9a6a0=83?1>78t$52b>``<,8o<688;;n0g2?6=3`8o97>5;h;g>5<>dbn3:1:7>50z&72f4?:%65g?77;21b5>4?:%65g??432c287>5$54`><2<3`9h<7>5$54`>6e732c8;l4?:%65g?50i21d>5=50;&72f<50:10qo:97;295?6=8r.?:n4=7d9l6=2=83.?:n4=8298yv?c2909wS7k;4643ty357>52z\;=>;bn33?7p}65;296~X>=27nj7=8a:p6a3=838pR?j:;6e73ty9h;4?:3y]6a0<5ll1>5=4}r602?6=:r7nj77<;<653?4?<2wvn?4?:083>5}#<:>156*;0`8e2>"3>j0?;?5+1d5913252z\1`3=::m<1>i84}r;g>5<5sW3o70vP79:?1`353z?1`3<5l<16>i85949>6?`23->887m4}|`216<72<096;u+41c9a`=O0D9=:;%3f3?31<2c2?7>5;h;6>5<>i5l?0;66l=d783>3<729q/8;m5f09j555=83.?:n4>0298m<5=83.?:n463:9j=1<72->=o77;;:k0g5<72->=o7=l0:9j72g=83.?:n4<7`98k7>4290/8;m52918?xd3>00;6<4?:1y'03e=<>80c?6<:18'03e=:1907p}=d783>7}Y:m<01?j9:3:0?xu>l3:1>vP6d:?1`3<68:1v4;50;0xZ<3<5;n=6>9n;|q:7?6=:rT2?63=d78:7>{t000;6?uQ889>6a0=1=1vk850;0x97b12:i;70:99;0;7>{zj;o1<7=52;6x 16f2li0D98;;I601>"6m>0>:95f8883>>o>l3:17b3:197<56z&74di;50;9j=a<722c297>5;h::>5<<:18'03e=99907d7<:18'03e=1:10e4:50;&72f<><21b?n>50;&72f<4k910e>9n:18'03e=;>k07b<73;29 10d2;2876sm47594?7=83:p(98l:35f?j4?<3:1(98l:3:0?>{t1m0;6?uQ9e9>ac<68:1v5750;0xZ=?<5ll1595rs8794?4|V0?01hh536c8yv4c=3:1>vP=d49>ac<4k91v?j9:181[4c>27nj7<73:p060=838p1hh5929>031=:1>0qpl=:182>5<7s->88774$52b>c0<,=5$54`>7>432wx>i850;0xZ7b1348o:770:5c:~f4gb29086?4;{%63e?cd3A>=86F;349'5`1==?>0e5750;9j=a<722e9h;4?::`1`3<72<096;u+41c9ac=#9l=19;:4o3f5>5<6=44i8f94?=n1<0;66g79;29?gca290=6=4?{%65g?`63`;;?7>5$54`>46432c2?7>5$54`><5<3`3?6=4+47a9=1=5$54`>61f32e94>4?:%65g?4?;21vn988:182>5<7s->=o7<8e:m1<1<72->=o7<73:9~w0q~7::181[?234om6>9n;|q1`0<72;qU>i;4=dd97f652z\1`3=:mo094>5rs515>5<5s4om64=4=544>7>33twi>7>51;294~"3;=027):?a;d5?!21k3><>6*>e68621=hn<0;6):9c;0;7>=z{;n=6=4={_0g2>;5l?09h;5rs8f94?4|V0n01?j9:8f8yv>>2909wS66;<0g2?>>3ty>6=4<{<0g2?4c=279h;465:?1>c3<,=9?6n5r}c30a?6=;3818v*;0`8fg>N3>=1C8>;4$0g4>0033`226=44i8f94?=h:m<1<75m2e494?3=:3n:dd8 4c02<3:1o68:0;6):9c;337>=n1:0;6):9c;;0?>o><3:1(98l:868?l5d83:1(98l:2a3?>o4?h0;6):9c;14e>=h:191<7*;6b81<6==;7>51;294~"3>j09;h5`29694?"3>j094>54}r;g>5<5sW3o70ki:020?xu?13:1>vP79:?fb??33ty297>52z\:1>;bn3970ki:2a3?xu5l?0;6?uQ2e489``=:190q~:<6;296~;bn33870:97;0;0>{zj;0;6<4?:1y'062=12.?3:1>vP=d79>6a0=:m<0q~7k:181[?c348o:77k;|q;=?6=:rT3563=d78;=>{t=3:1?v3=d781`0=::m<158522;d6?!24<3i0qpl>c283>6<52=q/8=o5eb9K032<@=9>7)?j7;750>o?13:17d7k:188k7b12900n?j9:186>7<1s->;m7ki;%3f3?31<2e9h;4?::k1`0<722c2h7>5;h;6>5<3<729q/8;m5f09j555=83.?:n4>0298m<5=83.?:n463:9j=1<72->=o77;;:k0g5<72->=o7=l0:9j72g=83.?:n4<7`98k7>4290/8;m52918?xd3>>0;6<4?:1y'03e=:>o0c?6;:18'03e=:1907p}6d;296~X>l27nj7??3:p<<<72;qU4452eg8:0>{t1<0;6?uQ949>ac<4?h1v?j::181[4c=27nj7=l0:p6a0=838pR?j9;7>43ty??;4?:3y>ac<>;27?::4=859~yg4=83;1<7>t$517><=#<9k1j;5+47a9024<,8o<688;;nd6>5<#5=4;|q1`3<72;qU>i84=3f5>7b13ty2h7>52z\:`>;5l?02h6s|8883>7}Y0016>i85889~w0<72:q6>i852e7897b120?01?4i5:&7715;n0g2?6=3k8o:7>55;092~"38h0nj6*>e68621=h:m<1<75f2e794?=n1m0;66g65;29?l>>2900nhh50;494?6|,=5<#5<#54i8694?"3>j02865f3b294?"3>j08o=54i25b>5<#t$54`>71b3f8387>5$54`>7>432wx5i4?:3y]=a=:mo0:<>5rs9;94?4|V1301hh5959~w<3=838pR4;4=dd972g52z\1`0=:mo08o=5rs3f5>5<5sW8o:63jf;0;7>{t<:<1<75:4}|`1>5<6290;w):<4;;8 16f2o<0(98l:551?!7b?3?=86ai5;29 10d2;2876s|2e494?4|V;n=70{t1m0;6?uQ9e9>6a0=1m1v5750;0xZ=?<5;n=6574}r794?5|5;n=6?j:;<0g2??23481j85+4269g>{zj89o6=4<:387!27i3oh7E:94:J770=#9l=19;:4i9;94?=n1m0;66a=d783>>d5l?0;684=:7y'05g=mo1/=h955768k7b12900e?j::188mm?:18'03e=;j:07d=8a;29 10d2:=j76a=8283>!21k383?65rb544>5<6290;w):9c;04a>i50=0;6):9c;0;7>=z{0n1<7>34om64:4}r;6>5<5sW3>70ki:25b?xu5l<0;6?uQ2e789``=;j:0q~=o7:82:&2a2<2>=1dj84?:%65g?4?;21v?j9:181[4c>279h;4=d79~w3227p}::18084c>38o963=d78:1>;52o?0(9=;:b9~yg76k3:1?7<54z&74d3}#<9k1ik5+1d591325<>o?13:17oki:185>5<7s->=o7h>;h337?6=,=<;:k:7?6=,=5<#5<#4;h14e?6=,=
9n;:m1<6<72->=o7<73:9~f100290:6=4?{%65g?40m2e9494?:%65g?4?;21v4j50;0xZ5<5sW2270ki:868yv?22909wS7:;61f3ty9h84?:3y]6a3<5ll1?n>4}r0g2?6=:rT9h;52eg81<6=z{=9=6=4={<5<5=<<6?6;;|a6?6=93:1"6m>0>:95`f483>!21k383?65rs3f5>5<5sW8o:63=d781`3=z{0n1<7>348o:766;|q6>5<4s48o:7=2796k;4$517>f=zuk;ij7>55;092~"38h0ni6F;659K063<,8o<688;;h;0>5<>o>l3:17b3:1:7>50z&72f4?:%65g?77;21b5>4?:%65g??432c287>5$54`><2<3`9h<7>5$54`>6e732c8;l4?:%65g?50i21d>5=50;&72f<50:10qo:99;295?6=8r.?:n4;739l6=5=83.?:n4=8298yv4c>3:1>vP=d79>6a0=:190q~7k:181[?c348o:7??3:p=0<72;qU58522e4972g3387p}79;296~X?1279h;464:pb3<72;q6>i853b28910>2;287psm15d94?3=:3n:dg8L1033A>896*>e68621=n1:0;66g65;29?l>>2900e4j50;9l6a0=831i>i850;494?6|,=5<#5<#54i8694?"3>j02865f3b294?"3>j08o=54i25b>5<#t$54`>1153f83?7>5$54`>7>432wx>i850;0xZ7b1348o:7<73:p=a<72;qU5i522e495556=4={_;6?84c>397}Y1:16>i85929~w=?=838pR574=3f5><23:197<56z&74d5;c0g2?6=>3:1o68:0;6):9c;337>=n1:0;6):9c;;0?>o><3:1(98l:868?l5d83:1(98l:2a3?>o4?h0;6):9c;14e>=h:191<7*;6b81<6==57>51;294~"3>j0?;?5`29194?"3>j094>54}r0g2?6=:rT9h;522e496=53;;?6s|9483>7}Y1<16>i8536c8yv?42909wS7<;<0g2??43ty357>52z\;=>;5l?0286s|f783>7}::m<1?n>4=54:>7>43twi?k950;196?2|,=:j6hm4H547?M24=2.:<84j;%3f3?31<2c357>5;h;g>5<5<22;0=w):?a;ge?!7b?3?=86a=d783>>o5l<0;66g6d;29?l?22900e5750;9aac<72?0;6=u+47a9b4=n9991<7*;6b8246==n1=0;6):9c;;7?>o4k90;6):9c;1`4>=n;>k1<7*;6b803d=5}#:k4o3:7>5<#5=4;|q:`?6=:rT2h63jf;337>{t000;6?uQ889>ac<><2wx584?:3y]=0=:mo08;l5rs3f6>5<5sW8o963jf;1`4>{t:m<1<77}:mo02?63;6681<1=zuk81<7?50;2x 153201/8=o5f79'03e=<>80(l279h;46d:p<<<72;qU44522e49<<=z{<0;6>u22e496a3<5;n=64;4=38e1>"3;=0h7psm3g`94?5=:3>p(9>n:da8L1033A>896*>048f?!7b?3?=86g79;29?l?c2900c?j9:188f7b1290>6?49{%63e?ca3-;n;7;94:m1`3<722c9h84?::k:`?6=3`3>6=44i9;94?=emo0;6;4?:1y'03e=n81b===50;&72f<68:10e4=50;&72f<>;21b594?:%65g??332c8o=4?:%65g?5d821b?:o50;&72f<4?h10c?6<:18'03e=:1907pl;6683>4<729q/8;m526g8k7>3290/8;m52918?xu>l3:1>vP6d:?fb?77;2wx444?:3y]<<=:mo0286s|9483>7}Y1<16ik4<7`9~w7b22909wSi850;0xZ7b134om6?6<;|q773<72;q6ik463:?722<50=1vqo<50;394?6|,=9?645+41c9b3=#0033fl>6=4+47a96=5<3ty9h;4?:3y]6a0<5;n=6?j9;|q:`?6=:rT2h63=d78:`>{t000;6?uQ889>6a0=001v84?:2y>6a0=:m?01?j9:87897t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi8i850;094?6|,=:j6<>9;I650>N3;<1/8;m5879'5`1==?>0ek950;&72f<68:10c?9m:18'03e=:190D98m;:a507=8381<7>t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi=n?50;094?6|,=:j6<>9;I650>N3;<1/8;m5879'5`1==?>0ek950;&72f<68:10c?9m:18'03e=:190D98m;:a0d1=8381<7>t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi?h4?:283>5}#<9k1>5>4H547?M24=2.?:n4=7g9'5`1==?>0ek650;&72f<68:10ek750;&72f<68:10c?9m:18'03e=:1907pl;0983>7<729q/8=o51148L1033A>896*;6b8;2>"6m>0>:95ff683>!21k3;;?65`26`94?"3>j094>5G47`8?xd3800;6>4?:1y'05g=<91C8;:4H516?!21k39m7)?j7;750>oa03:1(98l:020?>oa13:1(98l:020?>i5?k0;6):9c;0;7>=zj=n26=4<:183!27i3>;7E:94:J770=#5}#<9k1==84H547?M24=2.?:n476:&2a2<2>=1bj:4?:%65g?77;21d>:l50;&72f<50:1C8;l4;|`7`g<72;0;6=u+41c9550<@=2.:i:4:659jb2<72->=o7??3:9l62d=83.?:n4=829K03d<3th?hn4?:283>5}#<9k18=5G4768L1523->=o7=i;%3f3?31<2cm47>5$54`>46432cm57>5$54`>46432e9;o4?:%65g?4?;21vn9jk:180>5<7s->;m7:?;I650>N3;<1/8;m53g9'5`1==?>0ek650;&72f<68:10ek750;&72f<68:10c?9m:18'03e=:1907pl>5g83>6<729q/8=o5419K032<@=9>7):9c;1e?!7b?3?=86gi8;29 10d28:876gi9;29 10d28:876a=7c83>!21k383?65rb043>5<5290;w):?a;332>N3>=1C8>;4$54`>=0<,8o<688;;hd4>5<#=zj8<:6=4<:183!27i3>;7E:94:J770=#5}#<9k1==84H547?M24=2.?:n476:&2a2<2>=1bj:4?:%65g?77;21d>:l50;&72f<50:1C8;l4;|`0bc<72:0;6=u+41c96=6<@==83.?:n4>0298mc?=83.?:n4>0298k71e290/8;m52918?xd3890;6>4?:1y'05g=<91C8;:4H516?!21k39m7)?j7;750>oa03:1(98l:020?>oa13:1(98l:020?>i5?k0;6):9c;0;7>=zj=::6=4=:183!27i3;;:6F;659K063<,=0033`l<6=4+47a9555<3f85$54`>7>43A>=n65rb521>5<5290;w):?a;332>N3>=1C8>;4$54`>=0<,8o<688;;hd4>5<#=zj=:86=4<:183!27i3>;7E:94:J770=#5}#<9k18=5G4768L1523->=o7=i;%3f3?31<2cm47>5$54`>46432cm57>5$54`>46432e9;o4?:%65g?4?;21vn9>::180>5<7s->;m7<70:J721=O<:?0(98l:35e?!7b?3?=86gi8;29 10d28:876gi9;29 10d28:876a=7c83>!21k383?65rb525>5<4290;w):?a;63?M21<2B??85+47a97c=#9l=19;:4ig:94?"3>j0:<>54ig;94?"3>j0:<>54o35a>5<#5=4;|`0b`<72:0;6=u+41c96=6<@==83.?:n4>0298mc?=83.?:n4>0298k71e290/8;m52918?xd4n00;6>4?:1y'05g=<91C8;:4H516?!21k39m7)?j7;750>oa03:1(98l:020?>oa13:1(98l:020?>i5?k0;6):9c;0;7>=zj:lj6=4<:183!27i383<6F;659K063<,=5$54`>46432cm57>5$54`>46432e9;o4?:%65g?4?;21vn9o6:180>5<7s->;m7:?;I650>N3;<1/8;m53g9'5`1==?>0ek650;&72f<68:10ek750;&72f<68:10c?9m:18'03e=:1907pl;a`83>7<729q/8=o51148L1033A>896*;6b8;2>"6m>0>:95ff683>!21k3;;?65`26`94?"3>j094>5G47`8?xd3ik0;6>4?:1y'05g=:1:0D98;;I601>"3>j09;k5+1d591325}#<9k18=5G4768L1523->=o7=i;%3f3?31<2cm47>5$54`>46432cm57>5$54`>46432e9;o4?:%65g?4?;21vn<;9:181>5<7s->;m7??6:J721=O<:?0(98l:948 4c02<2B?:95G4278 10d21<0(5<7s->;m7:?;I650>N3;<1/8;m53g9'5`1==?>0ek650;&72f<68:10ek750;&72f<68:10c?9m:18'03e=:1907pl>5883>6<729q/8=o52928L1033A>896*;6b813c=#9l=19;:4ig:94?"3>j0:<>54ig;94?"3>j0:<>54o35a>5<#5=4;|`226<72:0;6=u+41c905=O0D9=:;%65g?5a3-;n;7;94:ke<;:ke=?6=,=<;:m13g<72->=o7<73:9~f40>29096=4?{%63e?77>2B?:95G4278 10d21<0(5<7s->;m7??6:J721=O<:?0(98l:948 4c02<=86F;349'03e=;o1/=h955768mc>=83.?:n4>0298mc?=83.?:n4>0298k71e290/8;m52918?xd6>j0;6>4?:1y'05g=<91C8;:4H516?!21k39m7)?j7;750>oa03:1(98l:020?>oa13:1(98l:020?>i5?k0;6):9c;0;7>=zj80033`l<6=4+47a9555<3f85$54`>7>43A>=n65rb04f>5<5290;w):?a;332>N3>=1C8>;4$54`>=0<,8o<688;;hd4>5<#=zj80033`l<6=4+47a9555<3f85$54`>7>43A>=n65rb053>5<4290;w):?a;0;4>N3>=1C8>;4$54`>71a3-;n;7;94:ke<;:ke=?6=,=<;:m13g<72->=o7<73:9~f40329086=4?{%63e?273A>=86F;349'03e=;o1/=h955768mc>=83.?:n4>0298mc?=83.?:n4>0298k71e290/8;m52918?xd6><0;6>4?:1y'05g=<91C8;:4H516?!21k39m7)?j7;750>oa03:1(98l:020?>oa13:1(98l:020?>i5?k0;6):9c;0;7>=zj8<=6=4<:183!27i383<6F;659K063<,=5$54`>46432cm57>5$54`>46432e9;o4?:%65g?4?;21vn<88:187>5<7s->;m7:>;I650>N3;<1/8;m53g9'5`1==?>0ek650;&72f<68:10ek750;&72f<68:10eko50;&72f<68:10c?9m:18'03e=:1907pl>6983>6<729q/8=o52928L1033A>896*;6b813c=#9l=19;:4ig:94?"3>j0:<>54ig;94?"3>j0:<>54o35a>5<#5=4;|`2g0<72:0;6=u+41c905=O0D9=:;%65g?5a3-;n;7;94:ke<;:ke=?6=,=<;:m13g<72->=o7<73:9~f4ed29096=4?{%63e?77>2B?:95G4278 10d21<0(5<7s->;m7??6:J721=O<:?0(98l:948 4c02<2B?:95G4278 10d21<0(5<7s->;m7??6:J721=O<:?0(98l:948 4c02<2B?:95G4278 10d21<0(:181>5<7s->;m7??6:J721=O<:?0(98l:948 4c02<2B?:95G4278 10d21<0(5<7s->;m7<70:J721=O<:?0(98l:35e?!7b?3?=86gi8;29 10d28:876gi9;29 10d28:876a=7c83>!21k383?65rb0a5>5<4290;w):?a;63?M21<2B??85+47a97c=#9l=19;:4ig:94?"3>j0:<>54ig;94?"3>j0:<>54o35a>5<#5=4;|`2g2<72=0;6=u+41c904=O0D9=:;%65g?5a3-;n;7;94:ke<;:ke=?6=,=<;:kee?6=,=<;:m13g<72->=o7<73:9~f4e?29086=4?{%63e?273A>=86F;349'03e=;o1/=h955768mc>=83.?:n4>0298mc?=83.?:n4>0298k71e290/8;m52918?xd6k00;6>4?:1y'05g=:1:0D98;;I601>"3>j09;k5+1d591325}#<9k18<5G4768L1523->=o7=i;%3f3?31<2cm47>5$54`>46432cm57>5$54`>46432cmm7>5$54`>46432e9;o4?:%65g?4?;21vn5<7s->;m7<70:J721=O<:?0(98l:35e?!7b?3?=86gi8;29 10d28:876gi9;29 10d28:876a=7c83>!21k383?65rb05;>5<4290;w):?a;63?M21<2B??85+47a97c=#9l=19;:4ig:94?"3>j0:<>54ig;94?"3>j0:<>54o35a>5<#5=4;|`23<<72:0;6=u+41c96=6<@==83.?:n4>0298mc?=83.?:n4>0298k71e290/8;m52918?xd6?h0;6?4?:1y'05g=99<0D98;;I601>"3>j03:6*>e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=O7c83>7<729q/8=o51148L1033A>896*;6b8;2>"6m>0>:95ff683>!21k3;;?65`26`94?"3>j094>5G47`8?xd6?j0;6>4?:1y'05g=<91C8;:4H516?!21k39m7)?j7;750>oa03:1(98l:020?>oa13:1(98l:020?>i5?k0;6):9c;0;7>=zj8=o6=4<:183!27i3>;7E:94:J770=#5}#<9k18<5G4768L1523->=o7=i;%3f3?31<2cm47>5$54`>46432cm57>5$54`>46432cmm7>5$54`>46432e9;o4?:%65g?4?;21vn5<7s->;m7:?;I650>N3;<1/8;m53g9'5`1==?>0ek650;&72f<68:10ek750;&72f<68:10c?9m:18'03e=:1907pl>b683>7<729q/8=o51148L1033A>896*;6b8;2>"6m>0>:95ff683>!21k3;;?65`26`94?"3>j094>5G47`8?xd6j10;6?4?:1y'05g=99<0D98;;I601>"3>j03:6*>e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=Ob883>6<729q/8=o52928L1033A>896*;6b813c=#9l=19;:4ig:94?"3>j0:<>54ig;94?"3>j0:<>54o35a>5<#5=4;|`2fd<72;0;6=u+41c9550<@=2.:i:4:659jb2<72->=o7??3:9l62d=83.?:n4=829K03d<3th:no4?:383>5}#<9k1==84H547?M24=2.?:n476:&2a2<2>=1bj:4?:%65g?77;21d>:l50;&72f<50:1C8;l4;|`2ff<72;0;6=u+41c9550<@=2.:i:4:659jb2<72->=o7??3:9l62d=83.?:n4=829K03d<3th:ni4?:283>5}#<9k18=5G4768L1523->=o7=i;%3f3?31<2cm47>5$54`>46432cm57>5$54`>46432e9;o4?:%65g?4?;21vn5<7s->;m7??6:J721=O<:?0(98l:948 4c02<=86F;349'03e=;o1/=h955768mc>=83.?:n4>0298mc?=83.?:n4>0298mcg=83.?:n4>0298k71e290/8;m52918?xd6j;0;694?:1y'05g=<81C8;:4H516?!21k39m7)?j7;750>oa03:1(98l:020?>oa13:1(98l:020?>oai3:1(98l:020?>i5?k0;6):9c;0;7>=zj8h86=4<:183!27i3>;7E:94:J770=#5}#<9k1>5>4H547?M24=2.?:n4=7g9'5`1==?>0ek650;&72f<68:10ek750;&72f<68:10c?9m:18'03e=:1907pl>b483>6<729q/8=o5419K032<@=9>7):9c;1e?!7b?3?=86gi8;29 10d28:876gi9;29 10d28:876a=7c83>!21k383?65rb0`5>5<3290;w):?a;0;5>N3>=1C8>;4$54`>71a3-;n;7;94:ke<;:ke=?6=,=<;:kee?6=,=<;:m13g<72->=o7<73:9~f42729086=4?{%63e?273A>=86F;349'03e=;o1/=h955768mc>=83.?:n4>0298mc?=83.?:n4>0298k71e290/8;m52918?xd6<>0;6?4?:1y'05g=99<0D98;;I601>"3>j03:6*>e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=O4983>7<729q/8=o51148L1033A>896*;6b8;2>"6m>0>:95ff683>!21k3;;?65`26`94?"3>j094>5G47`8?xd6<00;6>4?:1y'05g=:1:0D98;;I601>"3>j09;k5+1d591325}#<9k1==84H547?M24=2.?:n476:&2a2<2>=1bj:4?:%65g?77;21d>:l50;&72f<50:1C8;l4;|`20g<72;0;6=u+41c9550<@=2.:i:4:659jb2<72->=o7??3:9l62d=83.?:n4=829K03d<3th:8n4?:383>5}#<9k1==84H547?M24=2.?:n476:&2a2<2>=1bj:4?:%65g?77;21d>:l50;&72f<50:1C8;l4;|`20a<72:0;6=u+41c905=O0D9=:;%65g?5a3-;n;7;94:ke<;:ke=?6=,=<;:m13g<72->=o7<73:9~f42b29096=4?{%63e?77>2B?:95G4278 10d21<0(:187>5<7s->;m7:>;I650>N3;<1/8;m53g9'5`1==?>0ek650;&72f<68:10ek750;&72f<68:10eko50;&72f<68:10c?9m:18'03e=:1907pl>4383>1<729q/8=o5409K032<@=9>7):9c;1e?!7b?3?=86gi8;29 10d28:876gi9;29 10d28:876gia;29 10d28:876a=7c83>!21k383?65rb060>5<4290;w):?a;63?M21<2B??85+47a97c=#9l=19;:4ig:94?"3>j0:<>54ig;94?"3>j0:<>54o35a>5<#5=4;|`201<72:0;6=u+41c96=6<@==83.?:n4>0298mc?=83.?:n4>0298k71e290/8;m52918?xd6<<0;6>4?:1y'05g=<91C8;:4H516?!21k39m7)?j7;750>oa03:1(98l:020?>oa13:1(98l:020?>i5?k0;6):9c;0;7>=zj8>=6=4;:183!27i383=6F;659K063<,=5$54`>46432cm57>5$54`>46432cmm7>5$54`>46432e9;o4?:%65g?4?;21vn>mn:181>5<7s->;m7??6:J721=O<:?0(98l:948 4c02<2B?:95G4278 10d21<0(ml:181>5<7s->;m7??6:J721=O<:?0(98l:948 4c02<2B?:95G4278 10d21<0(mj:180>5<7s->;m7:?;I650>N3;<1/8;m53g9'5`1==?>0ek650;&72f<68:10ek750;&72f<68:10c?9m:18'03e=:1907pl6<729q/8=o52928L1033A>896*;6b813c=#9l=19;:4ig:94?"3>j0:<>54ig;94?"3>j0:<>54o35a>5<#5=4;|`0`5<72=0;6=u+41c904=O0D9=:;%65g?5a3-;n;7;94:ke<;:ke=?6=,=<;:kee?6=,=<;:m13g<72->=o7<73:9~f6b629086=4?{%63e?4?82B?:95G4278 10d2;=m7)?j7;750>oa03:1(98l:020?>oa13:1(98l:020?>i5?k0;6):9c;0;7>=z{;o1<734;?o7h8;<37`?`>349ho7h8;|q0`?6=:r78o77<;<1f>71e3ty3>7>5az\;6>;4k102h63>528:`>;3i>0m;63>5g8e=>;3890m563;a`8e3>;6=>0m;63>798e<>;4km0m;6s|9583>45|V0>019o;:9;896e?21301>m5889>=g>34;8i766;<3`7?>>34;>h766;<30`?>>34;:o766;<3ab?>>34;?j766;<342?>>349m;766;<1ef?>>3ty2n7>52z?:f?4c>278i7h7;|q:g?6=:r72n77k;<63=?40j2wx==750;0xZ46>34;:o77k;|q25f<72h6:g;8940>2o=01vP>1e9>0d?=n01v<<;:185[75<27?h44i8:?21c56b=:m<01<;::g:8yv74m3:18vP>3d9>56c=:m<01<:?:g:894262o30q~?47813g=z{8>;6=4={<374?40j27:8;4i9:p517=838p1<:>:35a?873<3l37p}>4383>7}:9=81>:l4=067>c?52z?206<5?k16=985f`9~w4232909w0?;4;04f>;6<<0m46s|15794?4|58>>6?9m;<372?`?3ty:8:4?:3y>511=:>h01<:>:g:8yv7303:1>v3>49813g=:9=;1jl5rs06:>5<5s4;?57<8b:?20796ko4}r37g?6=:r7:8n4=7c9>513=n01v<:k:181873l38428e<>{t9=o1<771e34;??7h6;|q20c<72;q6=9h52e48942c2o20q~?:0;296~;650813g=z{8?86=4={_367>;6=:09h;5rs077>5<5s4;>?77<;<36=?40j2wx=8;50;0x94322;=i70?:8;d;?xu6=?0;6?u2144962d<58?36k74}r363?6=:r7:9:4=7c9>50?=n01v<;7:181872038588e<>{t93l<70?8c;d;?85dn3l37p}>5c83>7}Y9uQ14f8943c2;n=70?93;d;?xu6=l0;6:u2173962d<58c?<58h<6k94=0`:>c?<58>36k94=06:>c?j7>52z?21c<5?k16=;?5f99~w4072909w0?90;04f>;6>80m56s|17094?4|58?o64j4=04;>71e3ty::>4?:3y>535=:>h01<8::g:8yv71<3:1>v3>65813g=:9?<1j45rs046>5<5s4;=97<8b:?22=>0;6?u2175962d<58<36k74}r35=?6=:r7::44=7c9>526=n11v<8n:181871i38718e=>{t9?h1<771e34;=:7h7;|q22f<72;q6=;m526`894032o20q~?9d;296~;6>m09;o521769b<=z{87183>7}:9>:1>:l4=046>c?53z?233<5l?16=;l5f89>5fc=n>1v<98:1818700387d8e=>{t9>21<771e34;ad83>1}Y9ho01:l4}r3a4?6=:r7:n=4=7c9>5g0=n01v:18187e938b58e<>{t9k81<771e34;i87h6;|q2f6<72;q6=o=526`894d12ok0q~?m4;296~;6j=09;o521c79b==z{8h>6=4={<3a1?40j27:n;4i8:p5g1=838p1b983>7}:9k21>:l4=0`2>cg52z?2f<<5?k16=o<5f89~w4df2909w0?ma;04f>;6j;0m46s|1c`94?4|58hi6?9m;<3a6?`f3ty:nn4?:3y>5ge=:>h01v3>be813g=:9k91j55rs0`f>5<5s4;ii7<8b:?2f6:l4}r3`7?6=;rT:o>521b196a0<58i>6k64}r3`0?6=:r7:o>46d:?2gg<5?k1vc98e<>{t9j<1<771e34;h57h7;|q2g2<72;q6=n9526`894e>2o30q~?l8;296~;6k109;o521b`9b==z{8i26=4={<3`=?40j27:ol4i9:p5fg=838p1cb83>7}:9ji1>:l4=0f0>c>52z?2ga<5?k16=i=5f89~w4eb2909w0?le;04f>;6k?0m56s|1bd94?4|58im6?9m;<3`3?`?3ty:h=4?:3y>5a6=:>h01v3>d0813g=:9jk1j55rs0f1>5<5s4;o>7<8b:?2gd;5==09h;521cd9=6=:9=l15>523d8e=>{t:71e3ty9;:4?:9y>033=n<168l:5949>7f>=1<16?n465:?216<>=27:nk465:?20c<>=27:;;465:p70`=838pR>;i;<6gf?`03ty8o54?:7y>7f>=:m<01<8?:g5891662o=019o6:g:894322o301<9m:g58yv5d13:1>v3;4l809;o5rs2ab>5<5s49hm7<8b:?0g`7a7=n01v>mj:18185dm38{t;jl1<771e349o<7h7;|q0`5<72;q6?i>526`896b62o20q~=i7;2955}:;o=1>i84=52;>c1<5=:96k94=2d:>c><58c1<58io6k94=0f2>c1<58=26k74=0`3>c?<58hi6k94=0`f>c1<58>;6k74=06a>c1<58>n6k94=2aa>c152z?0b2<>l278jl4=7c9~w6`>2909w0=i9;04f>;4nh0m56s|3g`94?77s48n64j4=2da>7b134>;57h7;<1eb?`>34>;?7h7;<1ee?`?34;=?7h6;<35b?`034;h97h6;<3g6?`034;<57h7;<3a7cd=1m16?kk526`8yv5al3:1>v35<5s49mj7<8b:?74050;0x91672;=i70:?4;d;?xu3880;6?u2413962d<5=:?6k74}r636?6=:r7?050=n01v9><:181827;38{t<9>1<771e34>;97h6;|q740<72;q68=;526`891612o20q~:?6;296~;38?09;o523gg9b<=z{=:<6=4={<630d1=:>h0q~:n8;296~;3i=02?63;ac813g=z{=k26=4={<6b=?40j27?mo4i8:p0dg=838p19on:35a?82fj3l27p};d483>7}Y:l4}r6g=?6=:r7?h44=7c9>0ab=n11v9jn:18182ci38{t71e34>oo7h6;|q7`f<72;q68im526`891bc2o30q~:j8;297~X3m116=8?5f69>51g=n>1v8<8:180[35?27:o<4i7:?2fd34;=o7h6;<3g4?`03twi85>50;f951<6mrB??85+41c9b1=#9l<1?k84o477>5<5<5<>o5l>0;66g=f983>>o4=o0;66g>0183>>o5nl0;66g>5283>>d3k?0;6>4=:5y'05g=mj1C8;:4H516?!7b?3?=86g79;29?l?c2900c?j9:188f7b1290>6?49{%63e?ca3-;n;7;94:m1`3<722c9h84?::k:`?6=3`3>6=44i9;94?=emo0;6;4?:1y'03e=n81b===50;&72f<68:10e4=50;&72f<>;21b594?:%65g??332c8o=4?:%65g?5d821b?:o50;&72f<4?h10c?6<:18'03e=:1907pl;6683>4<729q/8;m526g8k7>3290/8;m52918?xu>l3:1>vP6d:?fb?77;2wx444?:3y]<<=:mo0286s|9483>7}Y1<16ik4<7`9~w7b22909wSi850;0xZ7b134om6?6<;|q773<72;q6ik463:?722<50=1vqo<50;394?6|,=9?645+41c9b3=#0033fl>6=4+47a96=5<3ty9h;4?:3y]6a0<5;n=6?j9;|q:`?6=:rT2h63=d78:`>{t000;6?uQ889>6a0=001v84?:2y>6a0=:m?01?j9:878970D9=:;%331?c<,8o<688;;h::>5<3:17d56;294~"3>j0m=6g>0283>!21k3;;?65f9283>!21k33876g64;29 10d20>07d=l0;29 10d2:i;76g<7`83>!21k39j094>54}c653?6=93:11<7*;6b81<6={t:m?1<77}Y:m<01hh52918yv24>3:1>v3jf;;0?821?38386srb383>4<729q/8>:59:&74d2.?:n4;739'5`1==?>0ck;50;&72f<50:10q~i852e48yv?c2909wS7k;<0g2??c3ty357>52z\;=>;5l?0356s|5;297~;5l?09h8522e49=0=::3l>7):<4;a8yxd2==0;694=:4y'05g=n91C8;:4H516?k`5281/=h955768m=?=831b5i4?::k1`0<722e9h;4?::`1`3<72<096;u+41c9ac=#9l=19;:4o3f5>5<6=44i8f94?=n1<0;66g79;29?gca290=6=4?{%65g?`63`;;?7>5$54`>46432c2?7>5$54`><5<3`3?6=4+47a9=1=5$54`>61f32e94>4?:%65g?4?;21vn988:182>5<7s->=o7<8e:m1<1<72->=o7<73:9~w0q~7::181[?234om6>9n;|q1`0<72;qU>i;4=dd97f652z\1`3=:mo094>5rs515>5<5s4om64=4=544>7>33twi=7>51;294~"3;=037):?a;d5?!21k3><>6*>e68621=hn<0;6):9c;0;7>=z{;n=6=4={_0g2>;5l?09h;5rs3f6>5<5sW8o963=d781`0=z{0n1<7>348o:766;|q7>5<5s48o:77:;<39b0=#<:>1n6srb9194?4=83:p(9>n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e0=0;6>4?:1y'05g=:1:0D98;;I601>"3>j09;k5+1d5913252;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c6g4?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=o7>52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c64>5<5290;w):?a;332>N3>=1C8>;4$54`>=0<,8o<688;;hd4>5<#=zj=ih6=4<:183!27i3>;7E:94:J770=#5}#<9k1==84H547?M24=2.?:n476:&2a2<2>=1bj:4?:%65g?77;21d>:l50;&72f<50:1C8;l4;|`7g`<72:0;6=u+41c905=O0D9=:;%65g?5a3-;n;7;94:ke<;:ke=?6=,=<;:m13g<72->=o7<73:9~f03f290?6=4?{%63e?263A>=86F;349'03e=;o1/=h955768mc>=83.?:n4>0298mc?=83.?:n4>0298mcg=83.?:n4>0298k71e290/8;m52918?xd41m0;6>4?:1y'05g=<91C8;:4H516?!21k39m7)?j7;750>oa03:1(98l:020?>oa13:1(98l:020?>i5?k0;6):9c;0;7>=zj:3n6=4=:183!27i3;;:6F;659K063<,=0033`l<6=4+47a9555<3f85$54`>7>43A>=n65rb2;e>5<4290;w):?a;0;4>N3>=1C8>;4$54`>71a3-;n;7;94:ke<;:ke=?6=,=<;:m13g<72->=o7<73:9~f6g729086=4?{%63e?273A>=86F;349'03e=;o1/=h955768mc>=83.?:n4>0298mc?=83.?:n4>0298k71e290/8;m52918?xd4i80;6>4?:1y'05g=:1:0D98;;I601>"3>j09;k5+1d591325}#<9k18=5G4768L1523->=o7=i;%3f3?31<2cm47>5$54`>46432cm57>5$54`>46432e9;o4?:%65g?4?;21vn>o<:180>5<7s->;m7<70:J721=O<:?0(98l:35e?!7b?3?=86gi8;29 10d28:876gi9;29 10d28:876a=7c83>!21k383?65rs5494?4|5=<1>i84=969b==z{=21<709;o5rs5;94?4|5=<15i524`813g=z{181<7:t^9089=2=:>h019ml:g:8903f2o30q~6<:1818>42;=i706;:g;8yvcf290?wSkn;<6`2?>>34?>8766;<64>c153z\245=:;4i:0m46s|14c94?4|V8?j70=6e;d4?xu6=k0;6?uQ14`896?a2o20q~16?l<5f99~w7`?2909wSkk50;0xZ7`b3492h7h6;|q01c<72;qU?8h4=2c2>c>53z?7gf9l4i8:?0e6<5?k1v>7k:18185>l38{t;0o1<771e3492j7h6;|q0=c<72;q6?4h526`896g72o30q~=n0;296~;4i909;o523`39b<=z{:k:6=4={<1b5?40j278m?4i9:p7d4=838p1>o=:35a?85f;3l27p};8b83>1}Y<1i018;;:3f6?8>42o=019o5f69~w1e12909wS:l6:?7g3<5l?1v9mm:18182c83l<70:le;04f>{t71e34>hi7h7;|q7ga<72;q68nj526`891eb2o30q~:lf;296~;3k?02h63;d1813g=z{;2==09h;5rs47:>5<5s4?>o7h8;<76e?40j2wx98l50;0x903320n018;l:35a?x{e<1;1<7?9:c;9g0}O<:?0(9>n:003?!7b>39m:6a>1e83>>o2==0;66a;9383>>o3k?0;66a>0883>>ofk3:17d;>c;29?j74:3:17d7?:188m43c2900e5o50;9l0`>=831b=8<50;9j3d<722e>>:4?::k2g7<722c:o>4?::k7e6<722e?h<4?::m7``<722e9i7>5;n70b?6=3k>=97>51;294~"38h0m:6F;659K063<,=5$54`>7>432wi9>h50;796?0|,=:j6hk4H547?M24=2.:i:4:659j=6<722c297>5;h::>5<<:18'03e=99907d7<:18'03e=1:10e4:50;&72f<><21b?n>50;&72f<4k910e>9n:18'03e=;>k07b<73;29 10d2;2876sm47;94?7=83:p(98l:551?j4?;3:1(98l:3:0?>{t:m<1<7383?6s|9e83>7}Y1m16>i851118yv?22909wS7:;<0g2?50i2wx5>4?:3y]=6=::m<15>5rs9;94?4|V1301?j9:868yv`12909w0;3>0094>5r}ca5>5<42;0?w):?a;g`?M21<2B??85+1d59132>i5l?0;66l=d783>0<52?q/8=o5eg9'5`1==?>0c?j9:188m7b22900e4j50;9j=0<722c357>5;cge>5<1290;w):9c;d2?l77;3:1(98l:020?>o>;3:1(98l:818?l?3290/8;m59598m6e7290/8;m53b28?l50i3:1(98l:25b?>i50:0;6):9c;0;7>=zj=<<6=4>:183!21k38!21k383?65rs8f94?4|V0n01hh51118yv>>2909wS66;<26=4={_;6?8ca2:=j7p}=d483>7}Y:m?01hh53b28yv4c>3:1>vP=d79>ac<50:1v9=9:1818ca20901988:3:7?x{e:3:1=7>50z&771<>3->;m7h9;%65g?20:2.:i:4:659lb0<72->=o7<73:9~w7b12909wS5<5sW2270;5l?02963=:g78 1532j1vqom=:180>7<3s->;m7kl;I650>N3;<1/=h955768m=?=831b5i4?::m1`3<722h9h;4?:481>3}#<9k1ik5+1d591325<>o?13:17oki:185>5<7s->=o7h>;h337?6=,=<;:k:7?6=,=5<#5<#4;h14e?6=,=
9n;:m1<6<72->=o7<73:9~f100290:6=4?{%65g?40m2e9494?:%65g?4?;21v4j50;0xZ5<5sW2270ki:868yv?22909wS7:;61f3ty9h84?:3y]6a3<5ll1?n>4}r0g2?6=:rT9h;52eg81<6=z{=9=6=4={<5<5=<<6?6;;|a6?6=93:1"6m>0>:95`f483>!21k383?65rs3f5>5<5sW8o:63=d781`3=z{0n1<7>348o:766;|q6>5<4s48o:7=2796k;4$517>f=zukhn6=4<:387!27i3oh7E:94:J770=#9l=19;:4i9;94?=n1m0;66a=d783>>d5l?0;684=:7y'05g=mo1/=h955768k7b12900e?j::188mm?:18'03e=;j:07d=8a;29 10d2:=j76a=8283>!21k383?65rb544>5<6290;w):9c;04a>i50=0;6):9c;0;7>=z{0n1<7>34om64:4}r;6>5<5sW3>70ki:25b?xu5l<0;6?uQ2e789``=;j:0q~=o7:82:&2a2<2>=1dj84?:%65g?4?;21v?j9:181[4c>279h;4=d79~w3227p}::18084c>38o963=d78:1>;52o?0(9=;:b9~ygd329086?4;{%63e?cd3A>=86F;349'5`1==?>0e5750;9j=a<722e9h;4?::`1`3<72<096;u+41c9ac=#9l=19;:4o3f5>5<6=44i8f94?=n1<0;66g79;29?gca290=6=4?{%65g?`63`;;?7>5$54`>46432c2?7>5$54`><5<3`3?6=4+47a9=1=5$54`>61f32e94>4?:%65g?4?;21vn988:182>5<7s->=o7<8e:m1<1<72->=o7<73:9~w0q~7::181[?234om6>9n;|q1`0<72;qU>i;4=dd97f652z\1`3=:mo094>5rs515>5<5s4om64=4=544>7>33twi>7>51;294~"3;=027):?a;d5?!21k3><>6*>e68621=hn<0;6):9c;0;7>=z{;n=6=4={_0g2>;5l?09h;5rs8f94?4|V0n01?j9:8f8yv>>2909wS66;<0g2?>>3ty>6=4<{<0g2?4c=279h;465:?1>c3<,=9?6n5r}c0f>5<42;0?w):?a;g`?M21<2B??85+1d59132>i5l?0;66l=d783>0<52?q/8=o5eg9'5`1==?>0c?j9:188m7b22900e4j50;9j=0<722c357>5;cge>5<1290;w):9c;d2?l77;3:1(98l:020?>o>;3:1(98l:818?l?3290/8;m59598m6e7290/8;m53b28?l50i3:1(98l:25b?>i50:0;6):9c;0;7>=zj=<<6=4>:183!21k38!21k383?65rs8f94?4|V0n01hh51118yv>>2909wS66;<26=4={_;6?8ca2:=j7p}=d483>7}Y:m?01hh53b28yv4c>3:1>vP=d79>ac<50:1v9=9:1818ca20901988:3:7?x{e:3:1=7>50z&771<>3->;m7h9;%65g?20:2.:i:4:659lb0<72->=o7<73:9~w7b12909wS5<5sW2270;5l?02963=:g78 1532j1vqo??9;297?4=>2900e4j50;9l6a0=831i>i850;796?0|,=:j6hh4$0g4>0033f8o:7>5;h0g1?6=3`3o6=44i8794?=n000;66ljf;292?6=8r.?:n4i1:k246<72->=o7??3:9j=6<72->=o77<;:k:0?6=,=m?;:k03d<72->=o7=8a:9l6=5=83.?:n4=8298yg21?3:1=7>50z&72f<5?l1d>5:50;&72f<50:10q~7k:181[?c34om6<><;|q;=?6=:rT3563jf;;7?xu>=3:1>vP65:?fb?50i2wx>i;50;0xZ7b234om6>m?;|q1`3<72;qU>i84=dd96=58:7>52z?fb??434>=;7<74:~f7<7280;6=u+4269=>"38h0m:6*;6b8737=#9l=19;:4og794?"3>j094>54}r0g2?6=:rT9h;522e496a033o7p}79;296~X?1279h;479:p1?6=;r79h;4=d49>6a0=1<16>7h:;%600?e1}#<9k1in5G4768L1523-;n;7;94:k;=?6=3`3o6=44o3f5>5<i5l?0;66g=d483>>o>l3:17d7::188m=?=831iik4?:783>5}#j0:<>54i8194?"3>j02?65f9583>!21k33?76g!21k39h<65f36c94?"3>j08;l54o3:0>5<#5=4;|`722<7280;6=u+47a962c52z\:`>;bn3;;?6s|8883>7}Y0016ik464:p=0<72;qU5852eg803d=z{;n>6=4={_0g1>;bn39h<6s|2e494?4|V;n=70ki:3:0?xu3;?0;6?u2eg8:7>;3>>09495r}c094?7=83:p(9=;:89'05g=n?1/8;m54608 4c02<7}Y:m<01?j9:3f5?xu>l3:1>vP6d:?1`3<>l2wx444?:3y]<<=::m<1445rs483>6}::m<1>i;4=3f5><3<5;0m96*;358`?x{e9m>1<7=52;6x 16f2li0D98;;I601>"6m>0>:95f8883>>o>l3:17b3:197<56z&74di;50;9j=a<722c297>5;h::>5<<:18'03e=99907d7<:18'03e=1:10e4:50;&72f<><21b?n>50;&72f<4k910e>9n:18'03e=;>k07b<73;29 10d2;2876sm47594?7=83:p(98l:35f?j4?<3:1(98l:3:0?>{t1m0;6?uQ9e9>ac<68:1v5750;0xZ=?<5ll1595rs8794?4|V0?01hh536c8yv4c=3:1>vP=d49>ac<4k91v?j9:181[4c>27nj7<73:p060=838p1hh5929>031=:1>0qpl=:182>5<7s->88774$52b>c0<,=5$54`>7>432wx>i850;0xZ7b1348o:770:5c:~f41629086?4;{%63e?cd3A>=86F;349'5`1==?>0e5750;9j=a<722e9h;4?::`1`3<72<096;u+41c9ac=#9l=19;:4o3f5>5<6=44i8f94?=n1<0;66g79;29?gca290=6=4?{%65g?`63`;;?7>5$54`>46432c2?7>5$54`><5<3`3?6=4+47a9=1=5$54`>61f32e94>4?:%65g?4?;21vn988:182>5<7s->=o7<8e:m1<1<72->=o7<73:9~w0q~7::181[?234om6>9n;|q1`0<72;qU>i;4=dd97f652z\1`3=:mo094>5rs515>5<5s4om64=4=544>7>33twi>7>51;294~"3;=027):?a;d5?!21k3><>6*>e68621=hn<0;6):9c;0;7>=z{;n=6=4={_0g2>;5l?09h;5rs8f94?4|V0n01?j9:8f8yv>>2909wS66;<0g2?>>3ty>6=4<{<0g2?4c=279h;465:?1>c3<,=9?6n5r}c;1>5<42;0?w):?a;g`?M21<2B??85+1d59132>i5l?0;66l=d783>0<52?q/8=o5eg9'5`1==?>0c?j9:188m7b22900e4j50;9j=0<722c357>5;cge>5<1290;w):9c;d2?l77;3:1(98l:020?>o>;3:1(98l:818?l?3290/8;m59598m6e7290/8;m53b28?l50i3:1(98l:25b?>i50:0;6):9c;0;7>=zj=<<6=4>:183!21k38!21k383?65rs8f94?4|V0n01hh51118yv>>2909wS66;<26=4={_;6?8ca2:=j7p}=d483>7}Y:m?01hh53b28yv4c>3:1>vP=d79>ac<50:1v9=9:1818ca20901988:3:7?x{e:3:1=7>50z&771<>3->;m7h9;%65g?20:2.:i:4:659lb0<72->=o7<73:9~w7b12909wS5<5sW2270;5l?02963=:g78 1532j1vqo;=7;297?4=>2900e4j50;9l6a0=831i>i850;796?0|,=:j6hh4$0g4>0033f8o:7>5;h0g1?6=3`3o6=44i8794?=n000;66ljf;292?6=8r.?:n4i1:k246<72->=o7??3:9j=6<72->=o77<;:k:0?6=,=m?;:k03d<72->=o7=8a:9l6=5=83.?:n4=8298yg21?3:1=7>50z&72f<5?l1d>5:50;&72f<50:10q~7k:181[?c34om6<><;|q;=?6=:rT3563jf;;7?xu>=3:1>vP65:?fb?50i2wx>i;50;0xZ7b234om6>m?;|q1`3<72;qU>i84=dd96=58:7>52z?fb??434>=;7<74:~f7<7280;6=u+4269=>"38h0m:6*;6b8737=#9l=19;:4og794?"3>j094>54}r0g2?6=:rT9h;522e496a033o7p}79;296~X?1279h;479:p1?6=;r79h;4=d49>6a0=1<16>7h:;%600?e1}#<9k1in5G4768L1523-;n;7;94:k;=?6=3`3o6=44o3f5>5<i5l?0;66g=d483>>o>l3:17d7::188m=?=831iik4?:783>5}#j0:<>54i8194?"3>j02?65f9583>!21k33?76g!21k39h<65f36c94?"3>j08;l54o3:0>5<#5=4;|`722<7280;6=u+47a962c52z\:`>;bn3;;?6s|8883>7}Y0016ik464:p=0<72;qU5852eg803d=z{;n>6=4={_0g1>;bn39h<6s|2e494?4|V;n=70ki:3:0?xu3;?0;6?u2eg8:7>;3>>09495r}c094?7=83:p(9=;:89'05g=n?1/8;m54608 4c02<7}Y:m<01?j9:3f5?xu>l3:1>vP6d:?1`3<>l2wx444?:3y]<<=::m<1445rs483>6}::m<1>i;4=3f5><3<5;0m96*;358`?x{e<081<7=52;6x 16f2li0D98;;I601>"6m>0>:95f8883>>o>l3:17b3:197<56z&74di;50;9j=a<722c297>5;h::>5<<:18'03e=99907d7<:18'03e=1:10e4:50;&72f<><21b?n>50;&72f<4k910e>9n:18'03e=;>k07b<73;29 10d2;2876sm47594?7=83:p(98l:35f?j4?<3:1(98l:3:0?>{t1m0;6?uQ9e9>ac<68:1v5750;0xZ=?<5ll1595rs8794?4|V0?01hh536c8yv4c=3:1>vP=d49>ac<4k91v?j9:181[4c>27nj7<73:p060=838p1hh5929>031=:1>0qpl=:182>5<7s->88774$52b>c0<,=5$54`>7>432wx>i850;0xZ7b1348o:770:5c:~f1b629086?4;{%63e?cd3A>=86F;349'5`1==?>0e5750;9j=a<722e9h;4?::`1`3<72<096;u+41c9ac=#9l=19;:4o3f5>5<6=44i8f94?=n1<0;66g79;29?gca290=6=4?{%65g?`63`;;?7>5$54`>46432c2?7>5$54`><5<3`3?6=4+47a9=1=5$54`>61f32e94>4?:%65g?4?;21vn988:182>5<7s->=o7<8e:m1<1<72->=o7<73:9~w0q~7::181[?234om6>9n;|q1`0<72;qU>i;4=dd97f652z\1`3=:mo094>5rs515>5<5s4om64=4=544>7>33twi>7>51;294~"3;=027):?a;d5?!21k3><>6*>e68621=hn<0;6):9c;0;7>=z{;n=6=4={_0g2>;5l?09h;5rs8f94?4|V0n01?j9:8f8yv>>2909wS66;<0g2?>>3ty>6=4<{<0g2?4c=279h;465:?1>c3<,=9?6n5r}cg6>5<42;0?w):?a;g`?M21<2B??85+1d59132>i5l?0;66l=d783>0<52?q/8=o5eg9'5`1==?>0c?j9:188m7b22900e4j50;9j=0<722c357>5;cge>5<1290;w):9c;d2?l77;3:1(98l:020?>o>;3:1(98l:818?l?3290/8;m59598m6e7290/8;m53b28?l50i3:1(98l:25b?>i50:0;6):9c;0;7>=zj=<<6=4>:183!21k38!21k383?65rs8f94?4|V0n01hh51118yv>>2909wS66;<26=4={_;6?8ca2:=j7p}=d483>7}Y:m?01hh53b28yv4c>3:1>vP=d79>ac<50:1v9=9:1818ca20901988:3:7?x{e:3:1=7>50z&771<>3->;m7h9;%65g?20:2.:i:4:659lb0<72->=o7<73:9~w7b12909wS5<5sW2270;5l?02963=:g78 1532j1vqo:<8;297?4=>2900e4j50;9l6a0=831i>i850;796?0|,=:j6hh4$0g4>0033f8o:7>5;h0g1?6=3`3o6=44i8794?=n000;66ljf;292?6=8r.?:n4i1:k246<72->=o7??3:9j=6<72->=o77<;:k:0?6=,=m?;:k03d<72->=o7=8a:9l6=5=83.?:n4=8298yg21?3:1=7>50z&72f<5?l1d>5:50;&72f<50:10q~7k:181[?c34om6<><;|q;=?6=:rT3563jf;;7?xu>=3:1>vP65:?fb?50i2wx>i;50;0xZ7b234om6>m?;|q1`3<72;qU>i84=dd96=58:7>52z?fb??434>=;7<74:~f7<7280;6=u+4269=>"38h0m:6*;6b8737=#9l=19;:4og794?"3>j094>54}r0g2?6=:rT9h;522e496a033o7p}79;296~X?1279h;479:p1?6=;r79h;4=d49>6a0=1<16>7h:;%600?e0}#<9k1ii5G4768L1523-;n;7;94:k:1?6=3`226=44i8f94?=h:m<1<75m2e494?3=:3n:dd8 4c02<3:1o68:0;6):9c;337>=n1:0;6):9c;;0?>o><3:1(98l:868?l5d83:1(98l:2a3?>o4?h0;6):9c;14e>=h:191<7*;6b81<6==;7>51;294~"3>j09;h5`29694?"3>j094>54}r;g>5<5sW3o70ki:020?xu?13:1>vP79:?fb??33ty297>52z\:1>;bn3970ki:2a3?xu5l?0;6?uQ2e489``=:190q~:<6;296~;bn33870:97;0;0>{zj:0;6<4?:1y'062=i2.?3:1>vP=d79>6a0=:m<0q~7k:181[?c348o:77k;|q:1?6=:rT2963=d78:1>{t000;6?uQ889>6a0=001v;4?:3y>6a0=:m?01>4i5:&771<50;696?3|,=:j6hj4H547?M24=2.:i:4:659j=0<722c357>5;h;g>5<5<22;0=w):?a;ge?!7b?3?=86a=d783>>o5l<0;66g6d;29?l?22900e5750;9aac<72?0;6=u+47a9b4=n9991<7*;6b8246==n1=0;6):9c;;7?>o4k90;6):9c;1`4>=n;>k1<7*;6b803d=5}#:k4o3:7>5<#5=4;|q:`?6=:rT2h63jf;337>{t000;6?uQ889>ac<><2wx584?:3y]=0=:mo08;l5rs3f6>5<5sW8o963jf;1`4>{t:m<1<77}:mo02?63;6681<1=zuk91<7?50;2x 1532h1/8=o5f79'03e=<>80(l279h;46d:p=0<72;qU58522e49=0=z{131<770=5f49'062=l2wvnl:50;196?2|,=:j6hm4H547?M24=2.:<84j;%3f3?31<2c357>5;h;g>5<5<22;0=w):?a;ge?!7b?3?=86a=d783>>o5l<0;66g6d;29?l?22900e5750;9aac<72?0;6=u+47a9b4=n9991<7*;6b8246==n1=0;6):9c;;7?>o4k90;6):9c;1`4>=n;>k1<7*;6b803d=5}#:k4o3:7>5<#5=4;|q:`?6=:rT2h63jf;337>{t000;6?uQ889>ac<><2wx584?:3y]=0=:mo08;l5rs3f6>5<5sW8o963jf;1`4>{t:m<1<77}:mo02?63;6681<1=zuk81<7?50;2x 153201/8=o5f79'03e=<>80(l279h;46d:p<<<72;qU44522e49<<=z{<0;6>u22e496a3<5;n=64;4=38e1>"3;=0h7psm19d94?5=:3>p(9>n:da8L1033A>896*>048f?!7b?3?=86g79;29?l?c2900c?j9:188f7b1290>6?49{%63e?ca3-;n;7;94:m1`3<722c9h84?::k:`?6=3`3>6=44i9;94?=emo0;6;4?:1y'03e=n81b===50;&72f<68:10e4=50;&72f<>;21b594?:%65g??332c8o=4?:%65g?5d821b?:o50;&72f<4?h10c?6<:18'03e=:1907pl;6683>4<729q/8;m526g8k7>3290/8;m52918?xu>l3:1>vP6d:?fb?77;2wx444?:3y]<<=:mo0286s|9483>7}Y1<16ik4<7`9~w7b22909wSi850;0xZ7b134om6?6<;|q773<72;q6ik463:?722<50=1vqo<50;394?6|,=9?645+41c9b3=#0033fl>6=4+47a96=5<3ty9h;4?:3y]6a0<5;n=6?j9;|q:`?6=:rT2h63=d78:`>{t000;6?uQ889>6a0=001v84?:2y>6a0=:m?01?j9:878977:t$52b>`e<@=5<i5l?0;66g=d483>>o>l3:17d7::188m=?=831iik4?:783>5}#j0:<>54i8194?"3>j02?65f9583>!21k33?76g!21k39h<65f36c94?"3>j08;l54o3:0>5<#5=4;|`722<7280;6=u+47a962c52z\:`>;bn3;;?6s|8883>7}Y0016ik464:p=0<72;qU5852eg803d=z{;n>6=4={_0g1>;bn39h<6s|2e494?4|V;n=70ki:3:0?xu3;?0;6?u2eg8:7>;3>>09495r}c094?7=83:p(9=;:89'05g=n?1/8;m54608 4c02<7}Y:m<01?j9:3f5?xu>l3:1>vP6d:?1`3<>l2wx444?:3y]<<=::m<1445rs483>6}::m<1>i;4=3f5><3<5;0m96*;358`?x{e90?1<7=52;6x 16f2li0D98;;I601>"68<0n7)?j7;750>o?13:17d7k:188k7b12900n?j9:186>7<1s->;m7ki;%3f3?31<2e9h;4?::k1`0<722c2h7>5;h;6>5<3<729q/8;m5f09j555=83.?:n4>0298m<5=83.?:n463:9j=1<72->=o77;;:k0g5<72->=o7=l0:9j72g=83.?:n4<7`98k7>4290/8;m52918?xd3>>0;6<4?:1y'03e=:>o0c?6;:18'03e=:1907p}6d;296~X>l27nj7??3:p<<<72;qU4452eg8:0>{t1<0;6?uQ949>ac<4?h1v?j::181[4c=27nj7=l0:p6a0=838pR?j9;7>43ty??;4?:3y>ac<>;27?::4=859~yg4=83;1<7>t$517><=#<9k1j;5+47a9024<,8o<688;;nd6>5<#5=4;|q1`3<72;qU>i84=3f5>7b13ty2h7>52z\:`>;5l?02h6s|8883>7}Y0016>i85889~w0<72:q6>i852e7897b120?01?4i5:&7715;h;g>5<5<22;0=w):?a;ge?!7b?3?=86a=d783>>o5l<0;66g6d;29?l?22900e5750;9aac<72?0;6=u+47a9b4=n9991<7*;6b8246==n1=0;6):9c;;7?>o4k90;6):9c;1`4>=n;>k1<7*;6b803d=5}#:k4o3:7>5<#5=4;|q:`?6=:rT2h63jf;337>{t000;6?uQ889>ac<><2wx584?:3y]=0=:mo08;l5rs3f6>5<5sW8o963jf;1`4>{t:m<1<77}:mo02?63;6681<1=zuk81<7?50;2x 153201/8=o5f79'03e=<>80(l279h;46d:p<<<72;qU44522e49<<=z{<0;6>u22e496a3<5;n=64;4=38e1>"3;=0h7psm18g94?5=:3>p(9>n:da8L1033A>896*>048f?!7b?3?=86g79;29?l?c2900c?j9:188f7b1290>6?49{%63e?ca3-;n;7;94:m1`3<722c9h84?::k:`?6=3`3>6=44i9;94?=emo0;6;4?:1y'03e=n81b===50;&72f<68:10e4=50;&72f<>;21b594?:%65g??332c8o=4?:%65g?5d821b?:o50;&72f<4?h10c?6<:18'03e=:1907pl;6683>4<729q/8;m526g8k7>3290/8;m52918?xu>l3:1>vP6d:?fb?77;2wx444?:3y]<<=:mo0286s|9483>7}Y1<16ik4<7`9~w7b22909wSi850;0xZ7b134om6?6<;|q773<72;q6ik463:?722<50=1vqo<50;394?6|,=9?645+41c9b3=#0033fl>6=4+47a96=5<3ty9h;4?:3y]6a0<5;n=6?j9;|q:`?6=:rT2h63=d78:`>{t000;6?uQ889>6a0=001v84?:2y>6a0=:m?01?j9:878977:t$52b>`e<@=5<i5l?0;66g=d483>>o>l3:17d7::188m=?=831iik4?:783>5}#j0:<>54i8194?"3>j02?65f9583>!21k33?76g!21k39h<65f36c94?"3>j08;l54o3:0>5<#5=4;|`722<7280;6=u+47a962c52z\:`>;bn3;;?6s|8883>7}Y0016ik464:p=0<72;qU5852eg803d=z{;n>6=4={_0g1>;bn39h<6s|2e494?4|V;n=70ki:3:0?xu3;?0;6?u2eg8:7>;3>>09495r}c094?7=83:p(9=;:89'05g=n?1/8;m54608 4c02<7}Y:m<01?j9:3f5?xu>l3:1>vP6d:?1`3<>l2wx444?:3y]<<=::m<1445rs483>6}::m<1>i;4=3f5><3<5;0m96*;358`?x{e9h<1<7=52;6x 16f2li0D98;;I601>"68<0m7)?j7;750>o?13:17d7k:188k7b12900n?j9:186>7<1s->;m7ki;%3f3?31<2e9h;4?::k1`0<722c2h7>5;h;6>5<3<729q/8;m5f09j555=83.?:n4>0298m<5=83.?:n463:9j=1<72->=o77;;:k0g5<72->=o7=l0:9j72g=83.?:n4<7`98k7>4290/8;m52918?xd3>>0;6<4?:1y'03e=:>o0c?6;:18'03e=:1907p}6d;296~X>l27nj7??3:p<<<72;qU4452eg8:0>{t1<0;6?uQ949>ac<4?h1v?j::181[4c=27nj7=l0:p6a0=838pR?j9;7>43ty??;4?:3y>ac<>;27?::4=859~yg4=83;1<7>t$517><=#<9k1j;5+47a9024<,8o<688;;nd6>5<#5=4;|q1`3<72;qU>i84=3f5>7b13ty2h7>52z\:`>;5l?02h6s|8883>7}Y0016>i85889~w0<72:q6>i852e7897b120?01?4i5:&7716}O<:?0(9>n:0ga?lg52900el=50;9l7g`=831i8:>50;194?6|,=:j698<;I650>N3;<1/8;m54708 4c02<7}Yi;168:>5f89~wd5=838pRl=4=553>c>52z\0fc=:<>:1>:l4}|``f?6=;3;1?vF;349'05g=9lh0el<50;9je6<722e8nk4?::`735<72:0;6=u+41c9035<@=;1/=h955768mc>=83.?:n4>0298mc?=83.?:n4>0298k71e290/8;m52918?xuf:3:1>vPn2:?7354?:3y]e6=:<>:1j55rs2`e>5<5sW9ij63;71813g=zukij6=4<:080M24=2.?ec9je7<722cj?7>5;n1ab?6=3k><<7>53;294~"38h0?:>5G4768L1523->=o7:92:&2a2<2>=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10q~o=:181[g534><<7h6;|qb7?6=:rTj?63;718e<>{t;kl1<75<5290;w):?a;332>N3>=1C8>;4$54`>=0<,8o<688;;hd4>5<#=zj8=86=4=:183!27i3;;:6F;659K063<,=0033`l<6=4+47a9555<3f85$54`>7>43A>=n65rb057>5<5290;w):?a;332>N3>=1C8>;4$54`>=0<,8o<688;;hd4>5<#=zj8=>6=4<:183!27i3>;7E:94:J770=#5}#<9k1>5>4H547?M24=2.?:n4=7g9'5`1==?>0ek650;&72f<68:10ek750;&72f<68:10c?9m:18'03e=:1907pl;3`83>7<729q/8=o51148L1033A>896*;6b8;2>"6m>0>:95ff683>!21k3;;?65`26`94?"3>j094>5G47`8?xd69o0;6?4?:1y'05g=99<0D98;;I601>"3>j03:6*>e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=O7<729q/8=o51148L1033A>896*;6b8;2>"6m>0>:95ff683>!21k3;;?65`26`94?"3>j094>5G47`8?xd2;10;6?4?:1y'05g=99<0D98;;I601>"3>j03:6*>e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=O7<729q/8=o51148L1033A>896*;6b8;2>"6m>0>:95ff683>!21k3;;?65`26`94?"3>j094>5G47`8?xdd03:1>7>50z&74d<68?1C8;:4H516?!21k32=7)?j7;750>oa?3:1(98l:020?>i5?k0;6):9c;0;7>N3>k10qom6:180>5<7s->;m7:?;I650>N3;<1/8;m53g9'5`1==?>0ek650;&72f<68:10ek750;&72f<68:10c?9m:18'03e=:1907pll4;296?6=8r.?079K032<@=9>7):9c;:5?!7b?3?=86gi7;29 10d28:876a=7c83>!21k383?6F;6c98yge229086=4?{%63e?273A>=86F;349'03e=;o1/=h955768mc>=83.?:n4>0298mc?=83.?:n4>0298k71e290/8;m52918?xdd83:1>7>50z&74d<68?1C8;:4H516?!21k32=7)?j7;750>oa?3:1(98l:020?>i5?k0;6):9c;0;7>N3>k10qom>:180>5<7s->;m7:?;I650>N3;<1/8;m53g9'5`1==?>0ek650;&72f<68:10ek750;&72f<68:10c?9m:18'03e=:1907plm6;296?6=8r.?079K032<@=9>7):9c;:5?!7b?3?=86gi7;29 10d28:876a=7c83>!21k383?6F;6c98ygeb29086=4?{%63e?273A>=86F;349'03e=;o1/=h955768mc>=83.?:n4>0298mc?=83.?:n4>0298k71e290/8;m52918?xdc83:1?7>50z&74d<382B?:95G4278 10d2:l0(290/8;m51118?j40j3:1(98l:3:0?>{e<0>1<7<50;2x 16f28:=7E:94:J770=#n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e9181<7<50;2x 16f28:=7E:94:J770=#n:538L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65ff`83>!21k3;;?65`26`94?"3>j094>54}c3b0D9=:;%65g?40n2.:i:4:659jb=<72->=o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yg7f13:1>7>50z&74d<68?1C8;:4H516?!21k32=7)?j7;750>oa?3:1(98l:020?>i5?k0;6):9c;0;7>N3>k10qo?na;297?6=8r.?7):9c;04b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c3bf?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>7>73A>=86F;349'03e=:>l0(290/8;m51118?j40j3:1(98l:3:0?>{e9h;1<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=7>52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c3b7?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=53;294~"38h0?<6F;659K063<,=
h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi=l;50;194?6|,=:j69>4H547?M24=2.?:n4=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo?67;296?6=8r.?079K032<@=9>7):9c;:5?!7b?3?=86gi7;29 10d28:876a=7c83>!21k383?6F;6c98yg7>03:1>7>50z&74d<68?1C8;:4H516?!21k32=7)?j7;750>oa?3:1(98l:020?>i5?k0;6):9c;0;7>N3>k10qo?69;297?6=8r.?n:3:3?M21<2B??85+47a962`<,8o<688;;hd;>5<#5<#t$52b>16<@==o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yg7>k3:1?7>50z&74d<5091C8;:4H516?!21k38e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=7>53;294~"38h094=5G4768L1523->=o7<8f:&2a2<2>=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo?63;296?6=8r.?079K032<@=9>7):9c;:5?!7b?3?=86gi7;29 10d28:876a=7c83>!21k383?6F;6c98yg7><3:187>50z&74d<392B?:95G4278 10d2:l0(290/8;m51118?l`f290/8;m51118?j40j3:1(98l:3:0?>{e==l1<7;50;2x 16f2=80D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=nnh0;6):9c;337>=nnk0;6):9c;337>=h:>h1<7*;6b81<6=<7>52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c765?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=>7>53;294~"38h0?<6F;659K063<,=
h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi98=50;194?6|,=:j69>4H547?M24=2.?:n4=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qoo9:186>5<7s->;m7<72:J721=O<:?0(98l:35e?!7b?3?=86gi8;29 10d28:876gi9;29 10d28:876gia;29 10d28:876gib;29 10d28:876a=7c83>!21k383?65rb`594?5=83:p(9>n:528L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}cc;>5<5290;w):?a;332>N3>=1C8>;4$54`>=0<,8o<688;;hd4>5<#=zjh31<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=;7E:94:J770=#53;294~"38h094=5G4768L1523->=o7<8f:&2a2<2>=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo:jf;297?6=8r.?7):9c;04b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c6e4?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=m=7>53;294~"38h0?<6F;659K063<,=
h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi8k<50;094?6|,=:j6<>9;I650>N3;<1/8;m5879'5`1==?>0ek950;&72f<68:10c?9m:18'03e=:190D98m;:a0c5=8391<7>t$52b>16<@==o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yg2a<3:1?7>50z&74d<382B?:95G4278 10d2:l0(290/8;m51118?j40j3:1(98l:3:0?>{e:h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi8k850;694?6|,=:j6?6>;I650>N3;<1/8;m526d8 4c02<n:528L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c71a?6=;3:10D9=:;%65g?40n2.:i:4:659jb=<72->=o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yg35n3:1>7>50z&74d<68?1C8;:4H516?!21k32=7)?j7;750>oa?3:1(98l:020?>i5?k0;6):9c;0;7>N3>k10qo;<0;297?6=8r.?n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e=:81<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=53;294~"38h0?<6F;659K063<,=
h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi9>:50;194?6|,=:j6?6?;I650>N3;<1/8;m526d8 4c02<n:3:2?M21<2B??85+47a962`<,8o<688;;hd;>5<#5<#5<#t$52b>16<@==o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yg33>3:197>50z&74d<3:2B?:95G4278 10d2:l0(290/8;m51118?l`f290/8;m51118?l`e290/8;m51118?j40j3:1(98l:3:0?>{e===1<7<50;2x 16f28:=7E:94:J770=#n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e==31<7<50;2x 16f28:=7E:94:J770=#n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e==h1<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=53;294~"38h094=5G4768L1523->=o7<8f:&2a2<2>=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo;;d;290?6=8r.?n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e9:?1<7<50;2x 16f28:=7E:94:J770=#n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e9:=1<7<50;2x 16f28:=7E:94:J770=#n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e9:31<7<50;2x 16f28:=7E:94:J770=#n:518L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65ff`83>!21k3;;?65ffc83>!21k3;;?65ffb83>!21k3;;?65`26`94?"3>j094>54}c30f?6=<3:10D9=:;%65g?40n2.:i:4:659jb=<72->=o7??3:9jb<<72->=o7??3:9jbd<72->=o7??3:9l62d=83.?:n4=8298yg7?=3:1>7>50z&74d<68?1C8;:4H516?!21k32=7)?j7;750>oa?3:1(98l:020?>i5?k0;6):9c;0;7>N3>k10qo?77;296?6=8r.?079K032<@=9>7):9c;:5?!7b?3?=86gi7;29 10d28:876a=7c83>!21k383?6F;6c98yg7?03:1>7>50z&74d<68?1C8;:4H516?!21k32=7)?j7;750>oa?3:1(98l:020?>i5?k0;6):9c;0;7>N3>k10qo?79;296?6=8r.?079K032<@=9>7):9c;:5?!7b?3?=86gi7;29 10d28:876a=7c83>!21k383?6F;6c98yg7?i3:1>7>50z&74d<68?1C8;:4H516?!21k32=7)?j7;750>oa?3:1(98l:020?>i5?k0;6):9c;0;7>N3>k10qo?7b;296?6=8r.?079K032<@=9>7):9c;:5?!7b?3?=86gi7;29 10d28:876a=7c83>!21k383?6F;6c98yg7?k3:1>7>50z&74d<68?1C8;:4H516?!21k32=7)?j7;750>oa?3:1(98l:020?>i5?k0;6):9c;0;7>N3>k10qo?7d;297?6=8r.?=83:p(9>n:568L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65ff`83>!21k3;;?65ffc83>!21k3;;?65ffb83>!21k3;;?65ffe83>!21k3;;?65ffd83>!21k3;;?65`26`94?"3>j094>54}c3;2?6=<3:10D9=:;%65g?40n2.:i:4:659jb=<72->=o7??3:9jb<<72->=o7??3:9jbd<72->=o7??3:9l62d=83.?:n4=8298yg55290>6=4?{%63e?4?:2B?:95G4278 10d2;=m7)?j7;750>oa03:1(98l:020?>oa13:1(98l:020?>oai3:1(98l:020?>oaj3:1(98l:020?>i5?k0;6):9c;0;7>=zj:>1<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=6=4=:183!27i3;;:6F;659K063<,=0033`l<6=4+47a9555<3f85$54`>7>43A>=n65rb2494?4=83:p(9>n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e;>0;6?4?:1y'05g=99<0D98;;I601>"3>j03:6*>e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=O079K032<@=9>7):9c;:5?!7b?3?=86gi7;29 10d28:876a=7c83>!21k383?6F;6c98yg5>29086=4?{%63e?273A>=86F;349'03e=;o1/=h955768mc>=83.?:n4>0298mc?=83.?:n4>0298k71e290/8;m52918?xd4i3:197>50z&74d<3:2B?:95G4278 10d2:l0(290/8;m51118?l`f290/8;m51118?l`e290/8;m51118?j40j3:1(98l:3:0?>{e;k0;6>4?:1y'05g=:1:0D98;;I601>"3>j09;k5+1d5913253;294~"38h094=5G4768L1523->=o7<8f:&2a2<2>=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo??b;297?6=8r.?7):9c;04b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c320?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c322?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi=<650;094?6|,=:j6<>9;I650>N3;<1/8;m5879'5`1==?>0ek950;&72f<68:10c?9m:18'03e=:190D98m;:a54?=8381<7>t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi=9;I650>N3;<1/8;m5879'5`1==?>0ek950;&72f<68:10c?9m:18'03e=:190D98m;:a54d=8381<7>t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi==m50;194?6|,=:j69>4H547?M24=2.?:n4=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo??d;297?6=8r.?n:518L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65ff`83>!21k3;;?65ffc83>!21k3;;?65ffb83>!21k3;;?65`26`94?"3>j094>54}c33b?6=;3:10D9=:;%65g?40n2.:i:4:659jb=<72->=o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yg7683:1?7>50z&74d<5091C8;:4H516?!21k38e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=53;294~"38h0?<6F;659K063<,=
h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi=<<50;194?6|,=:j6?6?;I650>N3;<1/8;m526d8 4c02<n:3:2?M21<2B??85+47a962`<,8o<688;;hd;>5<#5<#5<#t$52b>7>73A>=86F;349'03e=:>l0(290/8;m51118?j40j3:1(98l:3:0?>{e<021<7<50;2x 16f28:=7E:94:J770=#n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e<0k1<7<50;2x 16f28:=7E:94:J770=#n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e<0i1<7<50;2x 16f28:=7E:94:J770=#n:3:3?M21<2B??85+47a962`<,8o<688;;hd;>5<#5<#t$52b>16<@==o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yg2>n3:187>50z&74d<392B?:95G4278 10d2:l0(290/8;m51118?l`f290/8;m51118?j40j3:1(98l:3:0?>{e<0=1<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=n>7>53;294~"38h0?<6F;659K063<,=
h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi8h=50;194?6|,=:j6?6?;I650>N3;<1/8;m526d8 4c02<n:528L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}cf1>5<5290;w):?a;332>N3>=1C8>;4$54`>=0<,8o<688;;hd4>5<#=zjm?1<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=0033`l<6=4+47a9555<3f85$54`>7>43A>=n65rbe594?4=83:p(9>n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{el10;6?4?:1y'05g=99<0D98;;I601>"3>j03:6*>e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=O079K032<@=9>7):9c;:5?!7b?3?=86gi7;29 10d28:876a=7c83>!21k383?6F;6c98ygbf29086=4?{%63e?273A>=86F;349'03e=;o1/=h955768mc>=83.?:n4>0298mc?=83.?:n4>0298k71e290/8;m52918?xdcj3:1?7>50z&74d<382B?:95G4278 10d2:l0(290/8;m51118?j40j3:1(98l:3:0?>{elj0;6>4?:1y'05g=:1:0D98;;I601>"3>j09;k5+1d5913254;294~"38h0?=6F;659K063<,=
h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3`lj6=4+47a9555<3f85$54`>7>432wih94?:283>5}#<9k1>5>4H547?M24=2.?:n4=7g9'5`1==?>0ek650;&72f<68:10ek750;&72f<68:10c?9m:18'03e=:1907plm8;296?6=8r.?079K032<@=9>7):9c;:5?!7b?3?=86gi7;29 10d28:876a=7c83>!21k383?6F;6c98ygd>290?6=4?{%63e?4?92B?:95G4278 10d2;=m7)?j7;750>oa03:1(98l:020?>oa13:1(98l:020?>oai3:1(98l:020?>i5?k0;6):9c;0;7>=zjkk1<7<50;2x 16f28:=7E:94:J770=#6<729q/8=o5419K032<@=9>7):9c;1e?!7b?3?=86gi8;29 10d28:876gi9;29 10d28:876a=7c83>!21k383?65rbca94?5=83:p(9>n:528L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c`g>5<4290;w):?a;0;4>N3>=1C8>;4$54`>71a3-;n;7;94:ke<;:ke=?6=,=<;:m13g<72->=o7<73:9~w7c=839pR?k4=3g96a0<5:k1j55rs2394?4|5;o15i5232813g=z{:81<71>:l4=2;9b==z{:?1<7:l4=2c9b<=z{:=1<7:l4=2c9bg=z{:31<7:l4=2`9b<=z{:h1<7k01<6<:g;894g>2o=01:g;8962=n116=<85f89>0`2=n11v5o50;33[>f348n6574=02:>=?<58=m6574=0f7>=?<58=:6574=809<<=:i=03563>8g8;=>;61903563>948;=>;61m03563>9d8;=>;61o03563>a78;=>;31=0m;6s|9183>1}Y19169>h5889>=7<>l27i:7h8;|q:6?6=jr72>72:7h6;|qb0?6=;r7j87n?7h7;|qb1?6=:r7j877k;71e3tyj:7>52z?b2?40j27j57h6;|qb3?6=:r7j;7<8b:?be?`?3tyj47>52z?b52z?be?40j27jn7h6;|qbg?6=8;4i8:?602;d>32270m=:9;89gc=0016n;4=7c9~wg1=838p1o:59e9>fa<5?k1vo650;0x9g>=:>h01ol5f89~wg?=838p1o7526`89ge=n11voo50;0x9gg=:>h01om5f89~wgd=838p1ol526`89gb=n11vom50;0x9ge=:>h01oj5f89~wg7=832p1ok52e489fg=i:16oh4i8:?6158l4i7:?273c>:l4}ra3>5<5s4i;6?9m;c?7b134ii6l=4=e29b==:==l1jo525549b<=:==21j:521259b2=:l10m;63m9;d:?xud;3:1>v3l2;;g?8e22;=i7p}l4;296~;d<38;dk3k870;:1;d4?83313l<70?f<g3<>l27h57<8b:pg=<72;q6o54=7c9>g<gg3tyhj7>52z?`g?g534n;6?9m;|qg5?6=c1<5j:1j:52d5813g=z{m81<7:l4=e69b<=z{m?1<7:l4=e`9b==z{m=1<7:l4=e19b==z{m31<7:l4=e69b==z{mh1<7:l4=e19b<=z{mn1<7i84=ec9b==:jk0m46s|11;94?3|V8:270??9;0g2>;2;68l0m56s|11c94?4|58:264j4=030>71e3ty:55d=:>h01v3>0b813g=:99l1j45rs02g>5<5s4;;h7<8b:?2550;d:?xu68o0;6?u211d962d<58;:6k74}r324?6=:r7:==4=7c9>545=nh1v:181876938138e=>{t9881<771e34;:?7h7;|q251<72;q6=<:526`8946d2o20q~?>5;296~;69<09;o5211a9b<=z{8;=6=4={<322?40j27:1983>7}:9821>:l4=02f>c>52z?25<<5?k16==k5f`9~w47f2909w0?>a;04f>;68l0mn6s|10`94?4|58;i6?9m;<33a?`d3ty:=i4?:4y]54b<58;o6?j9;<3:3?`034;2>7h7;<320?`03ty:=h4?:3y>54b=1m16=339>564=:m<01<77:g5894?52o301v3>338:`>;6;k09;o5rs017>5<5s4;887<8b:?27d;50;0x94522;=i70?56g=nj1v<=7:1818740383c8e<>{t9:31<771e34;8n7hn;|q27d<72;q6=>o526`8945e2o30q~?:2;296~X6=;168k95f99~w43c2909wS?:d:?234<>l2wx=:?50;6x94162;n=70?84;d4?82a93l370;<0;d;?xu6?;0;65u2167962d<58296k94=0c;>c><58k96k94=279b2=:98=1j:524849b==:5<5s4;u216d96a0<582n6k64=5g1>c?52z?2<6<5?k16=5;5f69~w4>62909w0?71;04f>;60:0m46s|19094?4|58296?9m;<3;7?`f3ty:494?:3y>52`=1m16=58526`8yv7?=3:1>v3>84813g=:91n1j45rs0:4>5<5s4;3;7<8b:?2<`?2;=i70?7e;db?xu6000;6?u219;962d<582n6kl4}r3;e?6=:r7:4l4=7c9>5=c=nm1v<6m:18187?j388d8eg>{t91i1<771e34;3i7hj;|q212o20q~?7e;296~;60l09;o521949bd=z{82m6=4:{<3;b?4c>27:mn4i8:?2<35=`=1m16=4>52e4894>f2o=01>85f69>54g=n>1v<7>:18187>833o70?64;04f>{t9081<771e34;287h7;|q2=6<72;q6=4=526`894?32ok0q~?65;29g~;61<09h;524e69b==:90h1j5521869b<=:i?0mn63;f48e<>;2;=0m463>8c8e3>;4:3l370?>9;d4?87693l370:6c;d4?xu61?0;6?u21879=a=:90i1>:l4}r3:3?6=:r7:5:4=7c9>5038988e=>{t9031<771e34;2m7h6;|q2=d<72;q6=4o526`894?e2o30q~?6b;296~;61k09;o5218a9b<=z{83o6=4n{<3:`?4c>27?h94i9:?2=fc><5=3i6k94}r3:a?6=1r7:5i46d:?2=`<5l?16m;4i9:?7ac>h4i9:?2<=7hm;<33f?`?34>257h8;|q2=c<720q6=4k59e9>5<`=:m<01l85f99>0c5=n1169><5f99>5=1=n>16??4ia:?24ga083>7}:9h;1>:l4=0c6>c>7>52z?2e7<5?k16=l:5f99~w4g42909w0?n3;04f>;6i=0m56s|1`694?4|58k?6?9m;<3b1?`>3ty:m;4?:ey>5d0=:m<010c7=n0169>>5f89>5=e=n>16=5j5f99>715d0=1m16=lm526`8yv7f03:1>v3>a9813g=:9hk1j55rs0c:>5<5s4;j57<8b:?2ed;6l=02h6s|1e694?5|58n?6?j9;<347?`034k<6k74}r043?6=:r7?:84i5:?67c<>=2wx8>650;1x915?2;n=70;;f;d;?833>3li7p};3883>7}:<:215i5242c962d2>7>54z\7=7=:<081>i84=03g><3<589964;4}r6:7?6==r7?5?479:?662?380<>=:>h0197k:g;8yv2>13:1>v3;98813g=:<0l1j55rs5;b>5<5s4>2m7<8b:?7=`0<`=n01v97j:18182>m38{t<0l1<771e34>2;7h7;|q7e6<72;qU8l=4=03e>c1h:7>52z\7g3=:9:21j:5rs5f2>5<5sW>o=63;d081`3=z{=n86=4={<6g5??c34>o87<8b:p0ac=838pR9jj;<6gb?40j2wx8h?50;0x91ba2o=019k;:35a?xu3m;0;6?u24d0962d<5=o86k74}r6f7?6=:r7?i>4=7c9>0`2=n01v9k7:180[2b027?i54=d79>0c6=n>1v9kj:18182a13l<70:i7;04f>{t71e34>m:7h6;|q7b5<72;q68k>526`891`42o30q~:i1;296~;3n809;o524g69b==z{=l96=4={<6e6?40j27?j94i9:p0c5=838p19h<:35a?82a=3l27p};f583>7}:1>:l4=5d5>cgm97>52z?7b0<5?k168k85f99~w1`12909w0:i6;04f>;3n>0m56s|4g:94?4|5=o364j4=5d:>71e3ty>=n4?:3y]14e<58926k94}r713?6=;rT>>:5253596a0<5<8m6k94}r71`?6=:r7>?54i7:?673<5?k1v8{t=;l1<771e34?8>7h6;|q675<72;q69>>526`890542o20q~;<1;296~;2;809;o525219b<=z{<996=4={<706?40j27>?94i9:p165=838p18=<:35a?834=3lj7p}:3583>7}:=:>1>:l4=416>c>52z?670<5?k169>85f89~w0502909w0;=7;;g?834038;6;<0m;6s|55194?4|5<9m64j4=467>71e3ty>884?:3y>16`=1:1699j526`8yv33>3:1>v3:47813g=:==i1j55rs464>5<5s4??;7<8b:?60go6k64}r77e?6=:r7>8l4=7c9>11b=nh1v8:m:181833j38{t==i1<771e34??h7h6;|q60`<72;q699:5f69>105=:>h0q~;;f;296~;29?4i8:p107=838p18;>:35a?832:3l27p}:5383>7}:=<81>:l4=470>c?87>52z\611=:<:k1j:5r}c64a?6=m3i86nltH516?!27i33=7)?j6;1e2>i4j>0;66g64;29?l5c:3:17b=nd;29?l>52900c9l?:188mde=831b?h=50;9j0`3=831d9?>50;9l3d<722c>9i4?::m1`2<722c9j54?::`720<7280;6=u+41c9b3=O0D9=:;%65g?20:2.:i:4:659lb0<72->=o7<73:9~f13429086?4;{%63e?cd3A>=86F;349'553=m2.:i:4:659j<<<722c2h7>5;n0g2?6=3k8o:7>55;092~"38h0nj6*>e68621=h:m<1<75f2e794?=n1m0;66g65;29?l>>2900nhh50;494?6|,=5<#5<#54i8694?"3>j02865f3b294?"3>j08o=54i25b>5<#t$54`>71b3f8387>5$54`>7>432wx5i4?:3y]=a=:mo0:<>5rs9;94?4|V1301hh5959~w<3=838pR4;4=dd972g52z\1`0=:mo08o=5rs3f5>5<5sW8o:63jf;0;7>{t<:<1<75:4}|`1>5<6290;w):<4;;8 16f2o<0(98l:551?!7b?3?=86ai5;29 10d2;2876s|2e494?4|V;n=70{t1m0;6?uQ9e9>6a0=1m1v5750;0xZ=?<5;n=6574}r794?5|5;n=6?j:;<0g2??23481j85+4269g>{zj=?;6=4<:387!27i3oh7E:94:J770=#99?1i6*>e68621=n000;66g6d;29?j4c>3:17or.?=1d>i850;9j6a3=831b5i4?::k:1?6=3`226=44bdd94?0=83:p(98l:g38m464290/8;m51118?l?4290/8;m59298m<2=83.?:n464:9j7f6=83.?:n4{e7}Y1m16ik4>029~w=?=838pR574=dd9=1=z{0?1<7k0q~850;0x9``=1:168;952968yxd5290:6=4?{%600??<,=:j6k84$54`>1153-;n;7;94:me1?6=,=2wx5i4?:3y]=a=::m<15i5rs9;94?4|V1301?j9:9;8yv3=839p1?j9:3f6?84c>33>70<5f49'062=k2wvn9:k:180>7<3s->;m7kl;I650>N3;<1/==;5e:&2a2<2>=1b444?::k:`?6=3f8o:7>5;c0g2?6==381:v*;0`8fb>"6m>0>:95`2e494?=n:m?1<75f9e83>>o>=3:17d66:188f``=83<1<7>t$54`>c71<7*;6b8:0>=n;j:1<7*;6b80g5=5$54`>7>432wi8;950;394?6|,=;bn383?6s|42494?4|5ll15>5247596=2:183!24<330(9>n:g48 10d2==97)?j7;750>ia=3:1(98l:3:0?>{t:m<1<738o:6s|9e83>7}Y1m16>i859e9~w=?=838pR574=3f5>=?7b2348o:77:;<09b0=#<:>1o6srb56:>5<42;0?w):?a;g`?M21<2B??85+1179a>"6m>0>:95f8883>>o>l3:17b3:197<56z&74di;50;9j=a<722c297>5;h::>5<<:18'03e=99907d7<:18'03e=1:10e4:50;&72f<><21b?n>50;&72f<4k910e>9n:18'03e=;>k07b<73;29 10d2;2876sm47594?7=83:p(98l:35f?j4?<3:1(98l:3:0?>{t1m0;6?uQ9e9>ac<68:1v5750;0xZ=?<5ll1595rs8794?4|V0?01hh536c8yv4c=3:1>vP=d49>ac<4k91v?j9:181[4c>27nj7<73:p060=838p1hh5929>031=:1>0qpl=:182>5<7s->88774$52b>c0<,=5$54`>7>432wx>i850;0xZ7b1348o:770:5c:~f777290>6?49{%63e?cb3A>=86F;349'5`1==?>0e4=50;9j=0<722c357>5;h;g>5<5<1290;w):9c;d2?l77;3:1(98l:020?>o>;3:1(98l:818?l?3290/8;m59598m6e7290/8;m53b28?l50i3:1(98l:25b?>i50:0;6):9c;0;7>=zj=<26=4>:183!21k3><>6a=8283>!21k383?65rs3f5>5<5sW8o:63=d781<6=z{0n1<7=279h;4<7`9~w<5=838pR4=4=3f5><533?7p}i6;296~;5l?08o=5247;96=53}#<9k1ih5G4768L1523-;n;7;94:k:7?6=3`3>6=44i9;94?=n1m0;66a=d783>>d5l?0;6;4?:1y'03e=n81b===50;&72f<68:10e4=50;&72f<>;21b594?:%65g??332c8o=4?:%65g?5d821b?:o50;&72f<4?h10c?6<:18'03e=:1907pl;6883>4<729q/8;m54608k7>4290/8;m52918?xu5l?0;6?uQ2e4897b12;287p}6d;296~X>l279h;4>029~w<3=838pR4;4=3f5>61f3ty2?7>52z\:7>;5l?02?6s|8883>7}Y0016>i85959~wc0=838p1?j9:2a3?8211383?6srb373>5<22;0=w):?a;gf?M21<2B??85+1d59132>o?13:17d7k:188k7b12900n?j9:185>5<7s->=o7h>;h337?6=,=<;:k:7?6=,=5<#5<#4;h14e?6=,=
9n;:m1<6<72->=o7<73:9~f10>290:6=4?{%65g?20:2e94>4?:%65g?4?;21v?j9:181[4c>279h;4=829~w4643ty297>52z\:1>;5l?08;l5rs8194?4|V0901?j9:818yv>>2909wS66;<0g2??33tym:7>52z?1`3<4k9168;752918yxd5=n1=0;6):9c;;7?>o4k90;6):9c;1`4>=n;>k1<7*;6b803d=5}#5<#5=4;|q1`3<72;qU>i84=3f5>7>43ty2h7>52z\:`>;5l?0:<>5rs8794?4|V0?01?j9:25b?xu>;3:1>vP63:?1`3<>;2wx444?:3y]<<=::m<1595rsg494?4|5;n=6>m?;<65=?4?;2wvn?:7:186>7<1s->;m7kj;I650>N3;<1/=h955768m<5=831b584?::k;=?6=3`3o6=44o3f5>5<m?:18'03e=;j:07d=8a;29 10d2:=j76a=8283>!21k383?65rb54:>5<6290;w):9c;646>i50:0;6):9c;0;7>=z{;n=6=4={_0g2>;5l?094>5rs8f94?4|V0n01?j9:020?xu>=3:1>vP65:?1`3<4?h1v4=50;0xZ<5<5;n=64=4}r::>5<5sW22703:1>v3=d780g5=:5=4}|`101<72<096;u+41c9a`=O0D9=:;%3f3?31<2c2?7>5;h;6>5<>i5l?0;66l=d783>3<729q/8;m5f09j555=83.?:n4>0298m<5=83.?:n463:9j=1<72->=o77;;:k0g5<72->=o7=l0:9j72g=83.?:n4<7`98k7>4290/8;m52918?xd3>00;6<4?:1y'03e=<>80c?6<:18'03e=:1907p}=d783>7}Y:m<01?j9:3:0?xu>l3:1>vP6d:?1`3<68:1v4;50;0xZ<3<5;n=6>9n;|q:7?6=:rT2?63=d78:7>{t000;6?uQ889>6a0=1=1vk850;0x97b12:i;70:99;0;7>{zj;>;6=4::385!27i3on7E:94:J770=#9l=19;:4i8194?=n1<0;66g79;29?l?c2900c?j9:188f7b1290=6=4?{%65g?`63`;;?7>5$54`>46432c2?7>5$54`><5<3`3?6=4+47a9=1=5$54`>61f32e94>4?:%65g?4?;21vn986:182>5<7s->=o7:82:m1<6<72->=o7<73:9~w7b12909wS<;|q:1?6=:rT2963=d7803d=z{091<7>348o:77;;|qe2?6=:r79h;403?=:190qpl=3b83>0<52?q/8=o5ed9K032<@=9>7)?j7;750>o>;3:17d7::188m=?=831b5i4?::m1`3<722h9h;4?:783>5}#j0:<>54i8194?"3>j02?65f9583>!21k33?76g!21k39h<65f36c94?"3>j08;l54o3:0>5<#5=4;|`72<<7280;6=u+47a9024;279h;463:p<<<72;qU44522e49=1=z{o<1<76e734>=57<73:~f75?290>6?49{%63e?cb3A>=86F;349'5`1==?>0e4=50;9j=0<722c357>5;h;g>5<5<1290;w):9c;d2?l77;3:1(98l:020?>o>;3:1(98l:818?l?3290/8;m59598m6e7290/8;m53b28?l50i3:1(98l:25b?>i50:0;6):9c;0;7>=zj=<26=4>:183!21k3><>6a=8283>!21k383?65rs3f5>5<5sW8o:63=d781<6=z{0n1<7=279h;4<7`9~w<5=838pR4=4=3f5><533?7p}i6;296~;5l?08o=5247;96=53}#<9k1ih5G4768L1523-;n;7;94:k:7?6=3`3>6=44i9;94?=n1m0;66a=d783>>d5l?0;6;4?:1y'03e=n81b===50;&72f<68:10e4=50;&72f<>;21b594?:%65g??332c8o=4?:%65g?5d821b?:o50;&72f<4?h10c?6<:18'03e=:1907pl;6883>4<729q/8;m54608k7>4290/8;m52918?xu5l?0;6?uQ2e4897b12;287p}6d;296~X>l279h;4>029~w<3=838pR4;4=3f5>61f3ty2?7>52z\:7>;5l?02?6s|8883>7}Y0016>i85959~wc0=838p1?j9:2a3?8211383?6srb500>5<22;0=w):?a;gf?M21<2B??85+1179a>"6m>0>:95f9283>>o>=3:17d66:188mi850;9a6a0=83<1<7>t$54`>c71<7*;6b8:0>=n;j:1<7*;6b80g5=5$54`>7>432wi8;750;394?6|,=5<5sW3>70{t1:0;6?uQ929>6a0=1:1v5750;0xZ=?<5;n=64:4}rd5>5<5s48o:7=l0:?72<<50:1vqo:>f;291?4=>r.?::d9'5`1==?>0e4=50;9j=0<722c357>5;h;g>5<5<1290;w):9c;d2?l77;3:1(98l:020?>o>;3:1(98l:818?l?3290/8;m59598m6e7290/8;m53b28?l50i3:1(98l:25b?>i50:0;6):9c;0;7>=zj=<26=4>:183!21k3><>6a=8283>!21k383?65rs3f5>5<5sW8o:63=d781<6=z{0n1<7=279h;4<7`9~w<5=838pR4=4=3f5><533?7p}i6;296~;5l?08o=5247;96=53}#<9k1ih5G4768L1523-;;97k4$0g4>0033`386=44i8794?=n000;66g6d;29?j4c>3:17o=o7??3:9j=6<72->=o77<;:k:0?6=,=m?;:k03d<72->=o7=8a:9l6=5=83.?:n4=8298yg2113:1=7>50z&72f<3?;1d>5=50;&72f<50:10q~i852918yv?c2909wS7k;<0g2?77;2wx584?:3y]=0=::m<1?:o4}r;0>5<5sW3870vP79:?1`3<><2wxj;4?:3y>6a0=;j:01986:3:0?x{e<8<1<7;52;4x 16f2lo0D98;;I601>"68<0n7)?j7;750>o>;3:17d7::188m=?=831b5i4?::m1`3<722h9h;4?:783>5}#j0:<>54i8194?"3>j02?65f9583>!21k33?76g!21k39h<65f36c94?"3>j08;l54o3:0>5<#5=4;|`72<<7280;6=u+47a9024;279h;463:p<<<72;qU44522e49=1=z{o<1<76e734>=57<73:~f`?=8391>7:t$52b>`e<@==1b444?::k:`?6=3f8o:7>5;c0g2?6==381:v*;0`8fb>"6m>0>:95`2e494?=n:m?1<75f9e83>>o>=3:17d66:188f``=83<1<7>t$54`>c71<7*;6b8:0>=n;j:1<7*;6b80g5=5$54`>7>432wi8;950;394?6|,=;bn383?6s|42494?4|5ll15>5247596=2:183!24<330(9>n:g48 10d2==97)?j7;750>ia=3:1(98l:3:0?>{t:m<1<738o:6s|9e83>7}Y1m16>i859e9~w=?=838pR574=3f5>=?7b2348o:77:;<09b0=#<:>1o6srbd:94?5=:3>p(9>n:da8L1033A>896*>e68621=n000;66g6d;29?j4c>3:17or.?=1d>i850;9j6a3=831b5i4?::k:1?6=3`226=44bdd94?0=83:p(98l:g38m464290/8;m51118?l?4290/8;m59298m<2=83.?:n464:9j7f6=83.?:n4{e7}Y1m16ik4>029~w=?=838pR574=dd9=1=z{0?1<7k0q~850;0x9``=1:168;952968yxd5290:6=4?{%600??<,=:j6k84$54`>1153-;n;7;94:me1?6=,=2wx5i4?:3y]=a=::m<15i5rs9;94?4|V1301?j9:9;8yv3=839p1?j9:3f6?84c>33>70<5f49'062=k2wvn9l?:180>7<3s->;m7kl;I650>N3;<1/=h955768m=?=831b5i4?::m1`3<722h9h;4?:481>3}#<9k1ik5+1d591325<>o?13:17oki:185>5<7s->=o7h>;h337?6=,=<;:k:7?6=,=5<#5<#4;h14e?6=,=
9n;:m1<6<72->=o7<73:9~f100290:6=4?{%65g?40m2e9494?:%65g?4?;21v4j50;0xZ5<5sW2270ki:868yv?22909wS7:;61f3ty9h84?:3y]6a3<5ll1?n>4}r0g2?6=:rT9h;52eg81<6=z{=9=6=4={<5<5=<<6?6;;|a6?6=93:1"6m>0>:95`f483>!21k383?65rs3f5>5<5sW8o:63=d781`3=z{0n1<7>348o:766;|q6>5<4s48o:7=2796k;4$517>f=zuk?9<7>53;090~"38h0no6F;659K063<,8o<688;;h::>5<3:17d56;294~"3>j0m=6g>0283>!21k3;;?65f9283>!21k33876g64;29 10d20>07d=l0;29 10d2:i;76g<7`83>!21k39j094>54}c653?6=93:11<7*;6b81<6={t:m?1<77}Y:m<01hh52918yv24>3:1>v3jf;;0?821?38386srb383>4<729q/8>:59:&74d2.?:n4;739'5`1==?>0ck;50;&72f<50:10q~i852e48yv?c2909wS7k;<0g2??c3ty357>52z\;=>;5l?0356s|5;297~;5l?09h8522e49=0=::3l>7):<4;a8yxd0i3:1?7<54z&74di850;9a6a0=83?1>78t$52b>``<,8o<688;;n0g2?6=3`8o97>5;h;g>5<>dbn3:1:7>50z&72f4?:%65g?77;21b5>4?:%65g??432c287>5$54`><2<3`9h<7>5$54`>6e732c8;l4?:%65g?50i21d>5=50;&72f<50:10qo:97;295?6=8r.?:n4=7d9l6=2=83.?:n4=8298yv?c2909wS7k;4643ty357>52z\;=>;bn33?7p}65;296~X>=27nj7=8a:p6a3=838pR?j:;6e73ty9h;4?:3y]6a0<5ll1>5=4}r602?6=:r7nj77<;<653?4?<2wvn?4?:083>5}#<:>156*;0`8e2>"3>j0?;?5+1d5913252z\1`3=::m<1>i84}r;g>5<5sW3o70vP79:?1`353z?1`3<5l<16>i85949>6?`23->887m4}|`1`2<72:0969u+41c9af=O0D9=:;%3f3?31<2c357>5;h;g>5<5<22;0=w):?a;ge?!7b?3?=86a=d783>>o5l<0;66g6d;29?l?22900e5750;9aac<72?0;6=u+47a9b4=n9991<7*;6b8246==n1=0;6):9c;;7?>o4k90;6):9c;1`4>=n;>k1<7*;6b803d=5}#:k4o3:7>5<#5=4;|q:`?6=:rT2h63jf;337>{t000;6?uQ889>ac<><2wx584?:3y]=0=:mo08;l5rs3f6>5<5sW8o963jf;1`4>{t:m<1<77}:mo02?63;6681<1=zuk81<7?50;2x 153201/8=o5f79'03e=<>80(l279h;46d:p<<<72;qU44522e49<<=z{<0;6>u22e496a3<5;n=64;4=38e1>"3;=0h7psm1g:94?5=:3>p(9>n:da8L1033A>896*>e68621=n000;66g6d;29?j4c>3:17or.?=1d>i850;9j6a3=831b5i4?::k:1?6=3`226=44bdd94?0=83:p(98l:g38m464290/8;m51118?l?4290/8;m59298m<2=83.?:n464:9j7f6=83.?:n4{e7}Y1m16ik4>029~w=?=838pR574=dd9=1=z{0?1<7k0q~850;0x9``=1:168;952968yxd5290:6=4?{%600??<,=:j6k84$54`>1153-;n;7;94:me1?6=,=2wx5i4?:3y]=a=::m<15i5rs9;94?4|V1301?j9:9;8yv3=839p1?j9:3f6?84c>33>70<5f49'062=k2wvn9k8:180>7<3s->;m7kl;I650>N3;<1/=h955768m=?=831b5i4?::m1`3<722h9h;4?:481>3}#<9k1ik5+1d591325<>o?13:17oki:185>5<7s->=o7h>;h337?6=,=<;:k:7?6=,=5<#5<#4;h14e?6=,=
9n;:m1<6<72->=o7<73:9~f100290:6=4?{%65g?40m2e9494?:%65g?4?;21v4j50;0xZ5<5sW2270ki:868yv?22909wS7:;61f3ty9h84?:3y]6a3<5ll1?n>4}r0g2?6=:rT9h;52eg81<6=z{=9=6=4={<5<5=<<6?6;;|a6?6=93:1"6m>0>:95`f483>!21k383?65rs3f5>5<5sW8o:63=d781`3=z{0n1<7>348o:766;|q6>5<4s48o:7=2796k;4$517>f=zuk8;<7>55;092~"38h0ni6F;659K063<,8o<688;;h;0>5<>o>l3:17b3:1:7>50z&72f4?:%65g?77;21b5>4?:%65g??432c287>5$54`><2<3`9h<7>5$54`>6e732c8;l4?:%65g?50i21d>5=50;&72f<50:10qo:99;295?6=8r.?:n4;739l6=5=83.?:n4=8298yv4c>3:1>vP=d79>6a0=:190q~7k:181[?c348o:7??3:p=0<72;qU58522e4972g3387p}79;296~X?1279h;464:pb3<72;q6>i853b28910>2;287psm21;94?3=:3n:dg8L1033A>896*>e68621=n1:0;66g65;29?l>>2900e4j50;9l6a0=831i>i850;494?6|,=5<#5<#54i8694?"3>j02865f3b294?"3>j08o=54i25b>5<#t$54`>1153f83?7>5$54`>7>432wx>i850;0xZ7b1348o:7<73:p=a<72;qU5i522e495556=4={_;6?84c>397}Y1:16>i85929~w=?=838pR574=3f5><25;c0g2?6=>3:1o68:0;6):9c;337>=n1:0;6):9c;;0?>o><3:1(98l:868?l5d83:1(98l:2a3?>o4?h0;6):9c;14e>=h:191<7*;6b81<6==57>51;294~"3>j0?;?5`29194?"3>j094>54}r0g2?6=:rT9h;522e496=53;;?6s|9483>7}Y1<16>i8536c8yv?42909wS7<;<0g2??43ty357>52z\;=>;5l?0286s|f783>7}::m<1?n>4=54:>7>43twi?lj50;796?0|,=:j6hk4H547?M24=2.:i:4:659j=6<722c297>5;h::>5<<:18'03e=99907d7<:18'03e=1:10e4:50;&72f<><21b?n>50;&72f<4k910e>9n:18'03e=;>k07b<73;29 10d2;2876sm47;94?7=83:p(98l:551?j4?;3:1(98l:3:0?>{t:m<1<7383?6s|9e83>7}Y1m16>i851118yv?22909wS7:;<0g2?50i2wx5>4?:3y]=6=::m<15>5rs9;94?4|V1301?j9:868yv`12909w0;3>0094>5r}c1a3?6==381:v*;0`8fa>N3>=1C8>;4$0g4>0033`386=44i8794?=n000;66g6d;29?j4c>3:17o=o7??3:9j=6<72->=o77<;:k:0?6=,=m?;:k03d<72->=o7=8a:9l6=5=83.?:n4=8298yg2113:1=7>50z&72f<3?;1d>5=50;&72f<50:10q~i852918yv?c2909wS7k;<0g2?77;2wx584?:3y]=0=::m<1?:o4}r;0>5<5sW3870vP79:?1`3<><2wxj;4?:3y>6a0=;j:01986:3:0?x{e9m=1<7=52;6x 16f2li0D98;;I601>"68<0n7)?j7;750>o?13:17d7k:188k7b12900n?j9:186>7<1s->;m7ki;%3f3?31<2e9h;4?::k1`0<722c2h7>5;h;6>5<3<729q/8;m5f09j555=83.?:n4>0298m<5=83.?:n463:9j=1<72->=o77;;:k0g5<72->=o7=l0:9j72g=83.?:n4<7`98k7>4290/8;m52918?xd3>>0;6<4?:1y'03e=:>o0c?6;:18'03e=:1907p}6d;296~X>l27nj7??3:p<<<72;qU4452eg8:0>{t1<0;6?uQ949>ac<4?h1v?j::181[4c=27nj7=l0:p6a0=838pR?j9;7>43ty??;4?:3y>ac<>;27?::4=859~yg4=83;1<7>t$517><=#<9k1j;5+47a9024<,8o<688;;nd6>5<#5=4;|q1`3<72;qU>i84=3f5>7b13ty2h7>52z\:`>;5l?02h6s|8883>7}Y0016>i85889~w0<72:q6>i852e7897b120?01?4i5:&7715;h;g>5<5<22;0=w):?a;ge?!7b?3?=86a=d783>>o5l<0;66g6d;29?l?22900e5750;9aac<72?0;6=u+47a9b4=n9991<7*;6b8246==n1=0;6):9c;;7?>o4k90;6):9c;1`4>=n;>k1<7*;6b803d=5}#:k4o3:7>5<#5=4;|q:`?6=:rT2h63jf;337>{t000;6?uQ889>ac<><2wx584?:3y]=0=:mo08;l5rs3f6>5<5sW8o963jf;1`4>{t:m<1<77}:mo02?63;6681<1=zuk81<7?50;2x 153201/8=o5f79'03e=<>80(l279h;46d:p<<<72;qU44522e49<<=z{<0;6>u22e496a3<5;n=64;4=38e1>"3;=0h7psm43;94?5=939pD9=:;%63e?7bj2cj>7>5;hc0>5<5<4290;w):?a;657>N3>=1C8>;4$54`>1053-;n;7;94:ke<;:ke=?6=,=<;:m13g<72->=o7<73:9~wd4=838pRl<4=553>c?7}Y;kl0199?:35a?x{e<;21<7=51;1xL1523->;m7?jb:kb6?6=3`k86=44o2`e>5<=?6F;659K063<,=5$54`>46432cm57>5$54`>46432e9;o4?:%65g?4?;21vl<50;0xZd4<5==;6k74}rc0>5<5sWk870:80;d;?xu4jo0;6?uQ3cd891172;=i7psm43594?5=939pD9=:;%63e?7bj2cj>7>5;hc0>5<5<4290;w):?a;657>N3>=1C8>;4$54`>1053-;n;7;94:ke<;:ke=?6=,=<;:m13g<72->=o7<73:9~wd4=838pRl<4=553>c?7}Y;kl0199?:35a?x{e<<21<7=51;1xL1523->;m7?jb:kb6?6=3`k86=44o2`e>5<=?6F;659K063<,=5$54`>46432cm57>5$54`>46432e9;o4?:%65g?4?;21vl<50;0xZd4<5==;6k74}rc0>5<5sWk870:80;d;?xu4jo0;6?uQ3cd891172;=i7psm44594?5=939pD9=:;%63e?7bj2cj>7>5;hc0>5<5<4290;w):?a;657>N3>=1C8>;4$54`>1053-;n;7;94:ke<;:ke=?6=,=<;:m13g<72->=o7<73:9~wd4=838pRl<4=553>c?7}Y;kl0199?:35a?x{e<<<1<7=51;1xL1523->;m7?jb:kb6?6=3`k86=44o2`e>5<=?6F;659K063<,=5$54`>46432cm57>5$54`>46432e9;o4?:%65g?4?;21vl<50;0xZd4<5==;6k74}rc0>5<5sWk870:80;d;?xu4jo0;6?uQ3cd891172;=i7psm24;94?5=939pD9=:;%63e?7bj2cj>7>5;hc0>5<5<4290;w):?a;657>N3>=1C8>;4$54`>1053-;n;7;94:ke<;:ke=?6=,=<;:m13g<72->=o7<73:9~wd4=838pRl<4=553>c?7}Y;kl0199?:35a?x{e:;m7?jb:kb6?6=3`k86=44o2`e>5<=?6F;659K063<,=5$54`>46432cm57>5$54`>46432e9;o4?:%65g?4?;21vl<50;0xZd4<5==;6k74}rc0>5<5sWk870:80;d;?xu4jo0;6?uQ3cd891172;=i7psm24`94?5=939pD9=:;%63e?7bj2cj>7>5;hc0>5<5<4290;w):?a;657>N3>=1C8>;4$54`>1053-;n;7;94:ke<;:ke=?6=,=<;:m13g<72->=o7<73:9~wd4=838pRl<4=553>c?7}Y;kl0199?:35a?x{e:;m7?jb:kb6?6=3`k86=44o2`e>5<=?6F;659K063<,=5$54`>46432cm57>5$54`>46432e9;o4?:%65g?4?;21vl<50;0xZd4<5==;6k74}rc0>5<5sWk870:80;d;?xu4jo0;6?uQ3cd891172;=i7psm24f94?5=939pD9=:;%63e?7bj2cj>7>5;hc0>5<5<4290;w):?a;657>N3>=1C8>;4$54`>1053-;n;7;94:ke<;:ke=?6=,=<;:m13g<72->=o7<73:9~wd4=838pRl<4=553>c?7}Y;kl0199?:35a?x{e:;m7?jb:kb6?6=3`k86=44o2`e>5<=?6F;659K063<,=5$54`>46432cm57>5$54`>46432e9;o4?:%65g?4?;21vl<50;0xZd4<5==;6k74}rc0>5<5sWk870:80;d;?xu4jo0;6?uQ3cd891172;=i7psm24d94?5=939pD9=:;%63e?7bj2cj>7>5;hc0>5<5<4290;w):?a;657>N3>=1C8>;4$54`>1053-;n;7;94:ke<;:ke=?6=,=<;:m13g<72->=o7<73:9~wd4=838pRl<4=553>c?7}Y;kl0199?:35a?x{e:?:1<7=51;1xL1523->;m7?jb:kb6?6=3`k86=44o2`e>5<=?6F;659K063<,=5$54`>46432cm57>5$54`>46432e9;o4?:%65g?4?;21vl<50;0xZd4<5==;6k74}rc0>5<5sWk870:80;d;?xu4jo0;6?uQ3cd891172;=i7psm24594?5=939pD9=:;%63e?7bj2cj>7>5;hc0>5<5<4290;w):?a;657>N3>=1C8>;4$54`>1053-;n;7;94:ke<;:ke=?6=,=<;:m13g<72->=o7<73:9~wd4=838pRl<4=553>c?7}Y;kl0199?:35a?x{e:<21<7=51;1xL1523->;m7?jb:kb6?6=3`k86=44o2`e>5<=?6F;659K063<,=5$54`>46432cm57>5$54`>46432e9;o4?:%65g?4?;21vl<50;0xZd4<5==;6k74}rc0>5<5sWk870:80;d;?xu4jo0;6?uQ3cd891172;=i7psm24494?5=939pD9=:;%63e?7bj2cj>7>5;hc0>5<5<4290;w):?a;657>N3>=1C8>;4$54`>1053-;n;7;94:ke<;:ke=?6=,=<;:m13g<72->=o7<73:9~wd4=838pRl<4=553>c?7}Y;kl0199?:35a?x{eil0;6?4?:1y'05g=99<0D98;;I601>"3>j03:6*>e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=On:528L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c1a2?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c003?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi>>l50;194?6|,=:j69>4H547?M24=2.?:n4=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo<079K032<@=9>7):9c;:5?!7b?3?=86gi7;29 10d28:876a=7c83>!21k383?6F;6c98yg44n3:1?7>50z&74d<382B?:95G4278 10d2:l0(290/8;m51118?j40j3:1(98l:3:0?>{e:=81<7<50;2x 16f28:=7E:94:J770=#n:528L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c072?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=53;294~"38h0?<6F;659K063<,=
h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi>9o50;094?6|,=:j6<>9;I650>N3;<1/8;m5879'5`1==?>0ek950;&72f<68:10c?9m:18'03e=:190D98m;:a61d=8391<7>t$52b>16<@==o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yg43m3:1>7>50z&74d<68?1C8;:4H516?!21k32=7)?j7;750>oa?3:1(98l:020?>i5?k0;6):9c;0;7>N3>k10qo<;f;297?6=8r.?n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e:<91<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=53;294~"38h094=5G4768L1523->=o7<8f:&2a2<2>=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo079K032<@=9>7):9c;:5?!7b?3?=86gi7;29 10d28:876a=7c83>!21k383?6F;6c98yg47l3:1?7>50z&74d<382B?:95G4278 10d2:l0(290/8;m51118?j40j3:1(98l:3:0?>{e:881<7<50;2x 16f28:=7E:94:J770=#n:528L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c040?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>16<@==o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yg4083:1?7>50z&74d<382B?:95G4278 10d2:l0(290/8;m51118?j40j3:1(98l:3:0?>{e:?o1<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=53;294~"38h0?<6F;659K063<,=
h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi>;o50;194?6|,=:j69>4H547?M24=2.?:n4=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo<98;297?6=8r.?n:528L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c050?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>16<@==o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yg22=3:1?7>50z&74d<382B?:95G4278 10d2:l0(290/8;m51118?j40j3:1(98l:3:0?>{e<<81<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=?j7>53;294~"38h0?<6F;659K063<,=
h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi89l50;094?6|,=:j6<>9;I650>N3;<1/8;m5879'5`1==?>0ek950;&72f<68:10c?9m:18'03e=:190D98m;:a01e=8391<7>t$52b>16<@==o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yg22i3:1?7>50z&74d<382B?:95G4278 10d2:l0(290/8;m51118?j40j3:1(98l:3:0?>{e<"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=997>52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c612?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi8?<50;194?6|,=:j69>4H547?M24=2.?:n4=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo:>d;296?6=8r.?079K032<@=9>7):9c;:5?!7b?3?=86gi7;29 10d28:876a=7c83>!21k383?6F;6c98yg26m3:1?7>50z&74d<382B?:95G4278 10d2:l0(290/8;m51118?j40j3:1(98l:3:0?>{e<821<7<50;2x 16f28:=7E:94:J770=#n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e<8k1<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=9n7>53;294~"38h0?<6F;659K063<,=
h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi8?j50;194?6|,=:j69>4H547?M24=2.?:n4=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo?j3;296?6=8r.?079K032<@=9>7):9c;:5?!7b?3?=86gi7;29 10d28:876a=7c83>!21k383?6F;6c98yg7b<3:1?7>50z&74d<382B?:95G4278 10d2:l0(290/8;m51118?j40j3:1(98l:3:0?>{e9m<1<7:50;2x 16f2=;0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=nnh0;6):9c;337>=h:>h1<7*;6b81<6=:=7>53;294~"38h0?<6F;659K063<,=
h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi8<<50;094?6|,=:j6<>9;I650>N3;<1/8;m5879'5`1==?>0ek950;&72f<68:10c?9m:18'03e=:190D98m;:a045=8381<7>t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi8<:50;194?6|,=:j69>4H547?M24=2.?:n4=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo:>5;297?6=8r.?n:578L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65ff`83>!21k3;;?65ffc83>!21k3;;?65ffb83>!21k3;;?65ffe83>!21k3;;?65ffd83>!21k3;;?65ffg83>!21k3;;?65`26`94?"3>j094>54}c3ef?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi=kj50;194?6|,=:j69>4H547?M24=2.?:n4=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo?ie;297?6=8r.?n:528L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c021?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c02`?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c02b?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c015?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=7>52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c017?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c023?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c02=?6=13:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#5<#5<#5<#5<#5<#5<#t$52b>14<@==o7??3:9jb<<72->=o7??3:9jbd<72->=o7??3:9jbg<72->=o7??3:9l62d=83.?:n4=8298yg46j3:1?7>50z&74d<382B?:95G4278 10d2:l0(290/8;m51118?j40j3:1(98l:3:0?>{e;k:1<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c1a6?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=53;294~"38h0?<6F;659K063<,=
h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi?o:50;194?6|,=:j69>4H547?M24=2.?:n4=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo?kd;296?6=8r.?079K032<@=9>7):9c;:5?!7b?3?=86gi7;29 10d28:876a=7c83>!21k383?6F;6c98yg7cm3:1>7>50z&74d<68?1C8;:4H516?!21k32=7)?j7;750>oa?3:1(98l:020?>i5?k0;6):9c;0;7>N3>k10qo?kf;297?6=8r.?n:528L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c3f5?6=;3:10D9=:;%65g?40n2.:i:4:659jb=<72->=o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yg7c13:187>50z&74d<392B?:95G4278 10d2:l0(290/8;m51118?l`f290/8;m51118?j40j3:1(98l:3:0?>{e9mk1<7=50;2x 16f2;2;7E:94:J770=#:h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi?om50;094?6|,=:j6<>9;I650>N3;<1/8;m5879'5`1==?>0ek950;&72f<68:10c?9m:18'03e=:190D98m;:a7gb=8381<7>t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi?ok50;694?6|,=:j69?4H547?M24=2.?:n4=1bj54?:%65g?77;21bj44?:%65g?77;21bjl4?:%65g?77;21d>:l50;&72f<50:10qo<=5;296?6=8r.?079K032<@=9>7):9c;:5?!7b?3?=86gi7;29 10d28:876a=7c83>!21k383?6F;6c98yg45>3:1>7>50z&74d<68?1C8;:4H516?!21k32=7)?j7;750>oa?3:1(98l:020?>i5?k0;6):9c;0;7>N3>k10qo<=7;296?6=8r.?079K032<@=9>7):9c;:5?!7b?3?=86gi7;29 10d28:876a=7c83>!21k383?6F;6c98yg4503:1>7>50z&74d<68?1C8;:4H516?!21k32=7)?j7;750>oa?3:1(98l:020?>i5?k0;6):9c;0;7>N3>k10qo<=9;297?6=8r.?7):9c;04b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c01e?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>7>73A>=86F;349'03e=:>l0(290/8;m51118?j40j3:1(98l:3:0?>{e:;i1<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=7>52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c037?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c031?6=<3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#5<#t$52b>7>73A>=86F;349'03e=:>l0(290/8;m51118?j40j3:1(98l:3:0?>{e:9=1<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=53;294~"38h094=5G4768L1523->=o7<8f:&2a2<2>=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo9l:181>5<7s->;m7??6:J721=O<:?0(98l:948 4c02<t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi;h4?:283>5}#<9k18=5G4768L1523->=o7=i;%3f3?31<2cm47>5$54`>46432cm57>5$54`>46432e9;o4?:%65g?4?;21vn:h50;194?6|,=:j69>4H547?M24=2.?:n4=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo6?:180>5<7s->;m7<70:J721=O<:?0(98l:35e?!7b?3?=86gi8;29 10d28:876gi9;29 10d28:876a=7c83>!21k383?65rb9394?5=83:p(9>n:528L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c6a7?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi8o;50;094?6|,=:j6<>9;I650>N3;<1/8;m5879'5`1==?>0ek950;&72f<68:10c?9m:18'03e=:190D98m;:a0g0=8391<7>t$52b>16<@==o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yg2e?3:1?7>50z&74d<5091C8;:4H516?!21k38e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=i47>53;294~"38h0?<6F;659K063<,=
h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi8o750;194?6|,=:j6?6?;I650>N3;<1/8;m526d8 4c02<n:508L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65ff`83>!21k3;;?65ffc83>!21k3;;?65`26`94?"3>j094>54}c0ga?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c0f4?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c0f6?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=53;294~"38h094=5G4768L1523->=o7<8f:&2a2<2>=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qon:528L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c0ge?6=;3:10D9=:;%65g?40n2.:i:4:659jb=<72->=o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yg4cj3:1?7>50z&74d<5091C8;:4H516?!21k38e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=53;294~"38h0?<6F;659K063<,=
h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi>ij50;194?6|,=:j6?6?;I650>N3;<1/8;m526d8 4c02<n:528L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c01b?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi>>?50;194?6|,=:j6?6?;I650>N3;<1/8;m526d8 4c02<n:538L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65ff`83>!21k3;;?65`26`94?"3>j094>54}c007?6=;3:10D9=:;%65g?40n2.:i:4:659jb=<72->=o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yv1f2908wS9n;<5b>7b134=n6k64}r5a>5<5s4=j64j4=93962d71e34=n6k74}r5g>5<5s4=o6?9m;<5e>c?71e342;6k64}r5e>5<5s4=m6?9m;<:3>c?71e342:6k74}r:1>5<>sW2970::5;d;?822:3l370:;f;d;?823k3l370<=5;d4?847=3l370006=001689j5889>01?=0016><>5889>65g=0016>8>5889>61e=0016>965889>612=0016>9>5889>66e=0016>>65889>662=00168?=5889>04`=00168040=0016i4479:?f>34>i<766;<714?>>34=j6574=3f4>=?<58l36574=5g4>=?<5;:;6574=32:>=?<5=:m6574=2cg>=?<5:h<6574=0f4>=?<58ni6574}rc`>5<5sWkh70k7:8f8yvgc290iw0:?f;;0?85fl33870=m7;;0?826>33870:=3;;0?826n33870:>b;;0?8ga2;=i70:<2;d:?87c>3l370<=e;d;?xufm3:1>v3ne;04f>;fn3l27p}j6;297~;b133o70k7:3f5?8gb2o=0q~k8:1818c>2;n=70oi:g:8yv7c=3:18v3>d7813g=:9mo1j:521ed9b==:9m31j55rs0f4>5i87h8;<006?`>3ty:h54?:3y>5a1=1m16=io526`8yv7c13:1>v3>d8813g=:9mk1j45rs0fa>5c><5=h36k64=313>c152z?2`g<>l27:i<4=7c9~w4bc2909w0?kd;04f>;6lo0m56s|1eg94?4|58nn6?9m;<3f4?`>3ty:hk4?:3y>5a`=:>h01:g:8yv7b83:1>v3>e1813g=:9l;1j45rs0g1>5<5s4;n?7<8b:?2a12;d4?87b<38;58;0m;63=048e=>{t9o21<77b134;o:7hn;|q2b<<72;q6=k659e9>5c`=:>h0q~?ia;296~;6nh09;o521gd9b==z{8li6=4={<3ef?40j27:jh4i8:p5ce=838p1fe83>7}:9on1>:l4=0df>c?52z?2b`<5?k16=kh5f89~w4cd290;5=?0j>63=638e=>;6nj0m;63=1b8e3>;58=0m;63=e08e3>{t:9;1<7=<526`897612o20q~::35a?84703l27p}=0783>7}::9<1>:l4=324>c>52z?142<5?k16>=65f99~w4ca290=w0;5=?0j?63=638e<>;6nh0m463=148e3>;5m;0m;6s|1df94?3|5;:j6?j9;<063?g4348<87h7;<3ef?`?348::7h8;|q14g<72;q6>=o59e9>65b=:>h0q~<77<;<07g??4348?477<;<070??4348?<77<;<00g??43488477<;<000??4348:<77<;<03b?40j2wx=hk50;6x97772;n=70<:8;c0?87al3l370<>8;d4?xu5980;6?u22029=a=::891>:l4}r026?6=:r79=?4=7c9>645=n01v??;:187846j38;58:0m;63=308e<>{t:8?1<771e348:57h7;|q153<72;q6><8526`8977f2o30q~<>7;296~;59>09;o5220c9bd=z{;;36=4={<027}::8k1>:l4=33a>c?52z?15f<5?k16><75f89~w77c2909w0<>d;04f>;5900mm6s|20g94?4|5;;n6?9m;<02=?`e3ty9=k4?:3y>64`=:>h01??6:ga8yv4583:1>v3=21813g=::831ji5rs302>5<5s489=7<8b:?15<?<50;0x97452;=i70<>9;de?xu5::0;6?u2231962d<5;;j6k64}r010?6=:r79<446d:?16f<5?k1v?<::181845=38{t:;<1<771e348957h6;|q162<72;q6>?9526`8974f2o30q~<=8;296~;5:109;o5223`9b<=z{;826=4={<01=?40j279>l4i8:p67g=838p1?7}::;h1>:l4=30`>c?53z?145<>;279<4463:?176<5?k1v?{t:;l1<771e3488=7h6;|q175<72;q6>>>526`897552ok0q~<<1;296~;5;809;o522209b==z{;996=4={<006?40j279?>4i9:p5c6=83?p1?=;:3f5?84213k870<94;d;?87ai3l270<>d;d4?xu5;<0;6?u22269=a=:::=1>:l4}r002?6=:r79?;4=7c9>661=n01v:186844038o:63=5`8b7>;5>?0m463>f`8ef>;59o0m;6s|22;94?4|5;9364j4=31a>71e3ty9?l4?:3y>66g=:>h01?=m:g;8yv7a:3:19v3=3b81`3=::5227:9b==:9ok1jl5220g9b2=z{;9o6=4={<00g??c3488j7<8b:p66c=838p1?=j:35a?844n3l27p}>f283>0}::=:1>i84=37`>d5<5;ce<5;8;6k94}r075?6=:r798=46d:?106<5?k1v?:=:181843:38{t9o>1<7;t=367>7b1348>h7o<;<05g?`?34;mm7hj;<016?`03ty9884?:3y>612=1m16>99526`8yv43>3:1>v3=47813g=::==1j45rs0d6>5<2s48?47<4i7:p61?=838p1?:7:8f8972e2;=i7p}=4`83>7}::=k1>:l4=36a>c?55z?10f<5l?16>8h5a29>626=n116=ko5fg9>675=n>1v?:k:181843k33o70<;f;04f>{t:=o1<771e348?j7h6;|q2b2<728>52e4897072h901?9=:g:894`e2o301??8:g58yv4293:1>v3=518:`>;5=:09;o5rs371>5<5s48>>7<8b:?116;?50;1x973>2h801?8;:g;897052;=i7p}=6283>6}::1>:l4}r051?6=;r799o4n2:?12={t:?31<7=t=37g>d4<5;71e3ty9:o4?:2y>60c=i;16>;k5f89>63e=:>h0q~<9d;297~;5=o0j>63=718e=>;5>l09;o5rs34e>5<4s48=<7o=;<046?`>348<<7<8b:p627=839p1?;8:`0897132o301?9=:35a?xu5?:0;6?u224:9e7=::>>1>:l4}r043?6=9=q68;;5f49>646=1<16>=o5949>606=1<16>9m5949>61>=1<16>9:5949>616=1<16>>m5949>66>=1<16>>:5949>075=1<16804d=1<168<85949>656=1<16>=75949>05`=1<16?lj5949>7g1=1<1v?j8:180[4c?279h:4=d79>6`5=n11v?j7:18184c?33o70{t:m31<771e348n?7h6;|q1`d<72;q6>io526`897be2o30q~7}::ml1>:l4=3g7>c?52z?1a5<5?k16>io5f89~w7c62909w0;5m<0m46s|2d094?4|5;o96?9m;<0f1?`>3ty9i>4?:3y>6`5=:>h01?jm:g:8yv4b<3:1>v3=e5813g=::mk1j55rs3g6>5<5s48n97<8b:?1`ak650;0xZ7`?348oi7h8;|q0ea<72;qU?lj4=2cg>7b13ty8mk4?:3y>7g0=n>16?o:526`8yv5e83:1>v31j55rs2`2>5<5s49i=7<8b:?0f6l8:181[5e?278n:4=d79~w6de2909w0=m7;;g?85em387gb=:>h01>lj:gc8yv5c:3:18vP670=n>16>=;5f`9>67`=n01v>k<:181[5b;279hk4i7:p05`=83>p19>i:3f5?824:3l370?k6;d:?845m3l27p};1183>7}:<9l15i52407962d:=7>52z?754<5?k168<;5f99~w1752909w0:>2;04f>;39=0m46s|40194?4|5=;86?9m;<620?`>3ty?=94?:3y>042=:>h019?::g;8yv27j3:1:v3;1781`3=:<;=1m?5240;9b2=:<;h1j4524039b==:;k;1j:5rs534>5<5s4>::77k;<62e?40j2wx8<650;0x917?2;=i70:>a;d;?xu3900;6?u240;962d<5=;j6k74}r63g?6=>r7?=o4=d79>071=i:168?l5f99>044=n>16?o>5f99>7gb=n>1v9?l:181826j33o70:>e;04f>{t<8n1<771e34>:i7h6;|q74a<72?q68l=:g5896dd2o=0q~:=0;296~;39o02h63;23813g=z{=8:6=4={<615?40j27?>?4i9:p05c=83?p19<<:3f5?82513k870:>1;d:?85e83l270=me;d:?xu3:=0;6?u24319=a=:<;<1>:l4}r611?6=:r7?>84=7c9>070=n01v9;3:m0m56s|43a94?4|5=826l<4=50g>71e3ty?>h4?:3y>071=;kl019?j:g:8yv25n3:1>v3;2980fc=:<;81j55rs513>5<5s4>957=mf:?763?50;4x91552;=i708;d4?xu3<<0;68u245;96a0<5=?=6l<4=56a>c1<5=?j6k74=3f:>c>?m7>52z?70<<>l27?8n4=7c9~w12e2909w0:;b;04f>;3o6?j9;<662?g434>>m7h7;<0g=?`>3ty?8h4?:3y>01b=1m1689h526`8yv23?3:18v3;5181`3=:<<=1m>5244a9b==::m31jl5rs572>5<5s4>><77k;<666?40j2wx89650;1x91342;n=70::8;c0?84c13li7p};5583>7}:<<915i52447962d>57>53z?71200e=n01v9;m:18182203k970::c;04f>{t<6da34>?j7h6;|q71`<72;q688953cd891352o30q~::f;296~;3=108nk524479b<=z{==86=4={<062?5en279>n4i8:p022=838p1?;8:2`e?847l3l37p};7483>7}::<21?oh4=330>c><:7>52z?11<<4jo16>>95f99~w1102909w0<:a;1ab>;5;k0m46s|46:94?4|5;?i6>li;<00b?`?3ty?;44?:3y>60e=;kl01?:<:g:8yv20i3:1>v3=5e80fc=::==1j55rs55a>5<5s48>i7=mf:?10gn1j:524c19b<=:5<5s4>i<77k;<6a=?40j2wx8o=50;0x91d42;=i70:m9;d;?xu3j=0;6?u24c6962d<5=h=6k64}r6a1?6=:r7?n84=7c9>0g0=n01v9l9:18182e>38{t71e34>i47h6;|q7f=<72;q68o6526`891d>2o30q~:j5;296~X3m<168h959e9~w1c02908w0:j7;0g2>;6l00m563;b48e3>{t=;:1<7n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e<1<1<7<50;2x 16f28:=7E:94:J770=#n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e<121<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c6;a?6=:3:10D9=:;%65g?>13A;9?6*>ae8246=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4;|`66<<72;0;6=u+41c9554<@=2B:>>5+1`f9555<,8o<688;;hd4>5<#t$52b>4653A>=86F;349'03e=0?1C=?=4$0cg>4643-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:9~f`2=8381<7>t$52b>4653A>=86F;349'03e=0?1C=?=4$0cg>4643-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:9~f`d=8381<7>t$52b>4653A>=86F;349'03e=0?1C=?=4$0cg>4643-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:9~f1ef29096=4?{%63e?77:2B?:95G4278 10d21<0D<<<;%3b`?77;2.:i:4:659jb2<72->=o7??3:9l62d=83.?:n4=8298yg2b>3:1>7>50z&74d<68;1C8;:4H516?!21k32=7E?=3:&2ea<68:1/=h955768mc1=83.?:n4>0298k71e290/8;m52918?xd2=10;6?4?:1y'05g=9980D98;;I601>"3>j03:6F>229'5db=9990({e180;6?4?:1y'05g=9980D98;;I601>"3>j03:6F>229'5db=9990({e0k0;6?4?:1y'05g=9980D98;;I601>"3>j03:6F>229'5db=9990({eoa?3:1(98l:020?>i5?k0;6):9c;0;7>=zj6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6=4=:183!27i3;;>6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6=4=:183!27i3;;>6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=54;090~"38h0:>?5G4768L1523-;n;7;94:ke3?6=3`kh6=44o35a>5<5<5290;w):?a;336>"3>j03:6F>229'5db=9990({e:>n1<7=51;1x 16f2;=o7E?=3:&2ea<50:1/=h955768mc1=831bmn4?::m13g<722h?:54?:283>5}#j0:<>54i2d0>5<#5<#5=4H2d6?>{tn>0;6?uQf69>03>=n>1vlm50;0xZde<5=<36>h<;|q13g<72;qU>:l4=54;>71e3twx>:l50;0xZ71e34;;>7<8b:p55>=839pR<>7;<336?`03487<729q/8=o526a8L1033A>896*;6b8;2>N6::1/=lj52918 4c02<n:35`?M21<2B??85+47a9<3=O9;90(!21k383?65rb405>5<5290;w):?a;04g>N3>=1C8>;4$54`>=0<@8887)?nd;0;7>"6m>0>:95ff683>!21k3;;?65`26`94?"3>j094>54}c:e>5<5290;w):?a;04g>N3>=1C8>;4$54`>=0<@8887)?nd;0;7>"6m>0>:95ff683>!21k3;;?65`26`94?"3>j094>54}c72f?6=:3:10D9=:;%65g?>13A;9?6*>ae81<6=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4;|`654<72;0;6=u+41c962e<@=2B:>>5+1`f96=5<,8o<688;;hd4>5<#t$52b>71d3A>=86F;349'03e=0?1C=?=4$0cg>7>43-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:9~f1d629096=4?{%63e?40k2B?:95G4278 10d21<0D<<<;%3b`?4?;2.:i:4:659jb2<72->=o7??3:9l62d=83.?:n4=8298yg2>83:1?7?53z&74d<5?m1C8;:4H516?M75;2.:mi4=829'5`1==?>0ek950;9jef<722e9;o4?::`72=<72:0;6=u+47a97c276s|f683>7}Yn>168;65f69~wde=838pRlm4=54;>6`43ty9;o4?:3y]62d<5=<36?9m;|a0d4=8381<7>t$52b>71d3A>=86F;349'03e=0?1C=?=4$0cg>7>43-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:9~f07429096=4?{%63e?40k2B?:95G4278 10d21<0D<<<;%3b`?4?;2.:i:4:659jb2<72->=o7??3:9l62d=83.?:n4=8298yg35j3:1?7?53z&74d<5?m1C8;:4H516?M75;2.:mi4=829'5`1==?>0ek950;9jef<722e9;o4?::`72=<72:0;6=u+47a97c276s|f683>7}Yn>168;65f69~wde=838pRlm4=54;>6`43ty9;o4?:3y]62d<5=<36?9m;|a157=8381<7>t$52b>71d3A>=86F;349'03e=0?1C=?=4$0cg>7>43-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:9~f1>329096=4?{%63e?40k2B?:95G4278 10d21<0D<<<;%3b`?4?;2.:i:4:659jb2<72->=o7??3:9l62d=83.?:n4=8298yg2?j3:1>7>50z&74d<5?j1C8;:4H516?!21k32=7E?=3:&2ea<50:1/=h955768mc1=83.?:n4>0298k71e290/8;m52918?xd2::0;6?4?:1y'05g=:>i0D98;;I601>"3>j03:6F>229'5db=:190({eoa?3:1(98l:020?>i5?k0;6):9c;0;7>=zj=oh6=4<:080!27i38"6m>0>:95ff683>>ofk3:17b<8b;29?g2103:1?7>50z&72f<4n=1bj:4?:%65g?77;21b?k=50;&72f<>i21d>:l50;&72f<50:1C?k;4;|qe3?6=:rTm;63;698e3>{tij0;6?uQab9>03>=;o90q~<8b;296~X5?k168;6526`8yxd2<80;6>4>:2y'05g=:>n0D98;;I601>N6::1/=lj52918 4c02<:l50;9a03>=8391<7>t$54`>6`33`l<6=4+47a9555<3`9m?7>5$54`>5$54`>7>43A9m965rsg594?4|Vo=01987:g58yvgd2909wSol;<65:l50;0xZ71e34>=47<8b:~f1b029096=4?{%63e?40k2B?:95G4278 10d21<0D<<<;%3b`?4?;2.:i:4:659jb2<72->=o7??3:9l62d=83.?:n4=8298yg2b83:1>7>50z&74d<5?j1C8;:4H516?!21k32=7E?=3:&2ea<50:1/=h955768mc1=83.?:n4>0298k71e290/8;m52918?xd3i<0;6?4?:1y'05g=:>i0D98;;I601>"3>j03:6F>229'5db=:190({e;;i1<7<50;2x 16f2;=h7E:94:J770=#oa?3:1(98l:020?>i5?k0;6):9c;0;7>=zj:8=6=4=:183!27i38e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=47>52;294~"38h09;n5G4768L1523->=o769;I317>"6im094>5+1d591325}#<9k1>:m4H547?M24=2.?:n476:J266=#9hn1>5=4$0g4>0033`l<6=4+47a9555<3f85$54`>7>432wi?9m50;094?6|,=:j6?9l;I650>N3;<1/8;m5879K575<,8ko6?6<;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;21vn>:9:181>5<7s->;m7<8c:J721=O<:?0(98l:948L4443-;jh7<73:&2a2<2>=1bj:4?:%65g?77;21d>:l50;&72f<50:10qo=;0;296?6=8r.?7):9c;:5?M75;2.:mi4=829'5`1==?>0ek950;&72f<68:10c?9m:18'03e=:1907pl<3`83>7<729q/8=o526a8L1033A>896*;6b8;2>N6::1/=lj52918 4c02<n:35`?M21<2B??85+47a9<3=O9;90(!21k383?65rb210>5<5290;w):?a;04g>N3>=1C8>;4$54`>=0<@8887)?nd;0;7>"6m>0>:95ff683>!21k3;;?65`26`94?"3>j094>54}c11`?6=:3:10D9=:;%65g?>13A;9?6*>ae81<6=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4;|`065<72;0;6=u+41c962e<@=2B:>>5+1`f96=5<,8o<688;;hd4>5<#7E??4:&2ea<68:1/=h752b28m7b6290/8;m52e38?xd3k3:1=7>50z&72f<5l81C8>;4H027?!7fl3;;?6*>e881g==n:m;1<7*;6b81`4=o6=4>:183!21k38o=6F;349K552<,8ko6<><;%3f=?4?>2c9h<4?:%65g?4c921vn9k50;394?6|,=;I601>N68=1/=lj51118 4c>2;2<7d4<729q/8;m52e38L1523A;;86*>ae8246=#9l31>564i3f2>5<#i?4;|`64?6=93:1;;%3b`?77;2.:i44=889j6a7=83.?:n4=d098yg36290:6=4?{%65g?4c92B??85G1168 4gc28:87)?j9;0;e>o5l80;6):9c;0g5>=zj<81<7?50;2x 10d2;n:7E:<5:J241=#9hn1===4$0g:>7>e3`8o=7>5$54`>7b632wi9>4?:083>5}#i?4H516?M77<2.:mi4>029'5`?=:1i0e?j>:18'03e=:m;07pl:4;295?6=8r.?:n4=d09K063<@8:?7)?nd;337>"6m0094i5f2e394?"3>j09h<54}c76>5<6290;w):9c;0g5>N3;<1C==:4$0cg>4643-;n57=o7t$54`>7b63A>896F>059'5db=9990({e=>0;6<4?:1y'03e=:m;0D9=:;I330>"6im0:<>5+1d;96=`47>51;294~"3>j09h<5G4278L4633-;jh7??3:&2a<<5181b>i?50;&72f<5l810qo;n:182>5<7s->=o70(!21k38o=65rb4`94?7=83:p(98l:3f2?M24=2B:<95+1`f9555<,8o26?mj;h0g5?6=,=;:a1f<7280;6=u+47a96a7<@=9>7E??4:&2ea<68:1/=h752bd8m7b6290/8;m52e38?xd2l3:1=7>50z&72f<5l81C8>;4H027?!7fl3;;?6*>e881`5=n:m;1<7*;6b81`4=:183!21k38o=6F;349K552<,8ko6<><;%3f=?4>82c9h<4?:%65g?4c921vn8h50;394?6|,=;I601>N68=1/=lj51118 4c>2;327d4<729q/8;m52e38L1523A;;86*>ae8246=#9l31>l?4i3f2>5<#i?4;|`55?6=93:1;;%3b`?77;2.:i44=ag9j6a7=83.?:n4=d098yg05290:6=4?{%65g?4c92B??85G1168 4gc28:87)?j9;0a3>o5l80;6):9c;0g5>=zj1k1<7?50;2x 10d2;n:7E:<5:J241=#9hn1===4$0g:>7?53`8o=7>5$54`>7b632wi4h4?:083>5}#i?4H516?M77<2.:mi4=829'5`?=:hn0c?j>:18'03e=:m;07pl60;295?6=8r.?:n4=d09K063<@8:?7)?nd;337>"6m0095>5f2e394?"3>j09h<54}cc`>5<6290;w):9c;0g5>N3;<1C==:4$0cg>4643-;n57<65:k1`4<72->=o7t$54`>7b63A>896F>059'5db=9990({e:l<1<7?50;2x 10d2;n:7E:<5:J241=#9hn1>5=4$0g:>7g>3f8o=7>5$54`>7b632wi>h950;394?6|,=;I601>N68=1/=lj52918 4c>2;ki7b;:a6`g=83;1<7>t$54`>7b63A>896F>059'5db=:190({e:lh1<7?50;2x 10d2;n:7E:<5:J241=#9hn1>5=4$0g:>7g23f8o=7>5$54`>7b632wi>hm50;394?6|,=;I601>N68=1/=lj52918 4c>2;k87b;:a6c4=83;1<7>t$54`>7b63A>896F>059'5db=:190({e:o91<7?50;2x 10d2;n:7E:<5:J241=#9hn1>5=4$0g:>7g13f8o=7>5$54`>7b632wi>k:50;394?6|,=;I601>N68=1/=lj52918 4c>2;k37b;:a6c0=83;1<7>t$54`>7b63A>896F>059'5db=:190({e<191<7?50;2x 10d2;n:7E:<5:J241=#9hn1>5=4$0g:>7e33f8o=7>5$54`>7b632wi85750;394?6|,=;I601>N68=1/=lj52918 4c>2;i87b;:a0=`=83;1<7>t$54`>7b63A>896F>059'5db=:190(5<7s->=o70(!21k38o=65rb5c7>5<6290;w):9c;0g5>N3;<1C==:4$0cg>7>43-;n57=o7o5l80;6):9c;0g5>=zj=h;6=4>:183!21k38o=6F;349K552<,8ko6?6<;%3f=?4e12e9h<4?:%65g?4c921vn9ln:182>5<7s->=o70(!21k38o=65rb5a4>5<6290;w):9c;0g5>N3;<1C==:4$0cg>7>43-;n57<67:m1`4<72->=o7290:6=4?{%65g?4c92B??85G1168 4gc28:87)?j9;0aa>o5l80;6):9c;0g5>=zj=n:6=4>:183!21k38o=6F;349K552<,8ko6?6<;%3f=?4d=2e9h<4?:%65g?4c921vn9j::182>5<7s->=o70(!21k38o=65rb5ff>5<6290;w):9c;0g5>N3;<1C==:4$0cg>7>43-;n57=o7o5l80;6):9c;0g5>=zj=o26=4>:183!21k38o=6F;349K552<,8ko6<><;%3f=?4>i2c9h<4?:%65g?4c921vn9km:182>5<7s->=o70(!21k38o=6F50z&72f<5l81C8>;4H027?!7fl383?6*>e881e5=h:m;1<7*;6b81`4=51;294~"3>j09h<5G4278L4633-;jh7<73:&2a<<5j=1d>i?50;&72f<5l810qo;>2;295?6=8r.?:n4=d09K063<@8:?7)?nd;0;7>"6m009n85`2e394?"3>j09h<54}c720?6=93:1;;%3b`?4?;2.:i44=b29l6a7=83.?:n4=d098yg36k3:1=7>50z&72f<5l81C8>;4H027?!7b138hm6%=d083>!21k38o=65rb402>5<6290;w):9c;0g5>N3;<1C==:4$0cg>7>43-;n57<6e:m1`4<72->=o7i5l80;6):9c;0g5>=zj<836=4>:183!21k38o=6F;349K552<,8ko6<><;%3f=?4>k2c9h<4?:%65g?4c921vn85<7s->=o70(!21k38o=6F50z&72f<5l81C8>;4H027?!7fl383?6*>e881fg=h:m;1<7*;6b81`4=O;o?07pl:5483>4<729q/8;m52e38L1523A;;86*>ae81<6=#9l31>nm4o3f2>5<#i?4;|`612<7280;6=u+47a96a7<@=9>7E??4:&2ea<68:1/=h752cf8m7b6290/8;m52e38?xd2=l0;6<4?:1y'03e=:m;0D9=:;I330>"6im0:<>5+1d;96<>51;294~"3>j09h<5G4278L4633->8?7=i6:&2ea<68:1/=h752`g8m7b6290/8;m52e38?xd?l3:1>7>50z&72f2.?039'5db=9990(9=<:2d5?!52m39m:6F;349K575<,8o<688;;hd4>5<#t$54`>7b63A>896F>059'065=;o<0(!21k38o=65rb5ce>5<5290;w):9c;:5?!27i3;;>6*>ae8246=#<:91?k84$27f>6`13A>896F>229'5`1==?>0ek950;&72f<68:10c?9m:18'03e=:1907pl4<729q/8;m52e38L1523A;;86*;3280b3=#9hn1===4$0g:>7d13`8o=7>5$54`>7b632wi?n950;094?6|,=4653-;jh7??3:&776<4n?1/?8k53g48L1523A;9?6*>e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=51;294~"3>j09h<5G4278L4633->8?7=i6:&2ea<68:1/=h752c28m7b6290/8;m52e38?xd4k=0;6?4?:1y'03e=0?1/8=o51108 4gc28:87):<3;1e2>"4=l08j;5G4278L4443-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:9~f6e6290:6=4?{%65g?4c92B??85G1168 1542:l=7)?nd;337>"6m009n<5f2e394?"3>j09h<54}c1`6?6=:3:1"38h0:h9;%16a?5a>2B??85G1318 4c02<7}:<1;1>h524949b2=z{::1<77c<5=2<6k94}r1`>5<5s4>3>7=l;<6;e?`03ty?n7>53z\7f>;1;3l<70:m:3f2?xu3k3:1?vP;c:?50?`034>h6?j>;|q7`?6=;rT?h6395;d4?82c2;n:7p};e;297~X3m27=:7h8;<6f>7b63ty?j7>53z\7b>;1?3l<70:i:3f2?xu283:1?vP:0:?5;|q65?6=;rT>=6399;d4?8362;n:7p}:2;297~X2:27=m7h8;<71>7b63ty>?7>53z\67>;1j3l<70;<:3f2?xu2<3:1?vP:4:?5g?`034??6?j>;|q61?6=;rT>9639d;d4?8322;n:7p}:6;297~X2>27=i7h8;<75>7b63ty>;7>53z\63>;1n3l<70;8:3f2?xu203:1?vP:8:?44?`034?36?j>;|q6e?6=;rT>m6381;d4?83f2;n:7p}:b;297~X2j27<>7h8;<7a>7b63ty>o7>53z\6g>;0;3l<70;l:3f2?xu2l3:1?vP:d:?40?`034?o6?j>;|q6a?6=;rT>i6385;d4?83b2;n:7p}:f;297~X2n27<:7h8;<7e>7b63ty=<7>53z\54>;0?3l<708?:3f2?xu193:1?vP91:?4;|q56?6=;rT=>6389;d4?8052;n:7p}93;297~;3?o0:963:60821>;1;386}:<>l1=;52573953=:>=09;o5rs7794?5|5==m6<94=442>41<5??1>:l4}r45>5<4s4>9:?624<6127=;7<8b:p2=<72:q68:h51`9>137=9h16:54=7c9~w3?=839p199i:0`8900628h01;7526`8yv0f2908w0:8f;3`?83193;h708n:35a?xu1j3:1?v3;7g82`>;2>80:h639b;04f>{t>j0;6>u246d95`=:=?;1=h526b813g=z{?n1<74`<5?n1>:l4}r4f>5<4s4>35<5?k1v:?50;0x911a2;?01:?526`8yv152909w0;91;05?8152;=i7p}83;296~;2>809;6383;04f>{t?=0;6?u257396==:?=09;o5rs6794?4|5<<:6?74=67962d:<4=b:?43?40j2wx;54?:2y>02`=:j169;?52b9>3=<5?k1v:750;1x911a2;n0188>:3f892?=:>h0q~9n:18482?93=j70:8e;5b?82f93l<70:60;c`?835j3kh70:jc;c`?83393kh7p}72;291~;3?o03>63:608;6>;30;03>63;818;6>;3?l03>6s|8`83>6}Y0h164o4i7:?;e?4c92wx4o4?:3y>0=7=0h164o4=7c9~w=c=839pR5k4=9d962d<51o1>i?4}r:e>5<5s4>=:7<74:?;b?`03ty2<7>53z\:4>;>93l<707?:3f2?xu>93:1>v3;808:4>;>9386}Yij16i94i7:?bg?4c92wxi94?:2y>0=7=ij168:k5ab9>a1<5?k1vho50;1xZ`g<5lh1j:52e`81`4=z{lh1<78t=55e><2<5<<:64:4=5:1><2<5=2;6ho4=55f><2<5lh1>:l4}r334?6=:r7?;k4>019>0=6=99:0q~??9;296~;30;0:<452493955?52z?7<7<69m1685?510f8yv75<3:1>v3;7g8261=:<181=?:4}r306?6=:r7?4?4>3b9>0=7=9:80q~??7>52z?7<7<6=:1685>51418yv72i3:1?v3;7g821d=:<181=8o4=5:3>43f3ty:9o4?:3y>0=4=9628i97p}>c283>7}:<181=n=4=5:2>4e43ty9;:4?:3y>033=n<169d;04f>;3?o0>=n52493914e52z?7<5<5l>168:k52e58yv4b>3:1?vP=e79>776=:>h01?k9:3f2?xu5m>0;6>uQ2d5896412;=i70{t:l31<7=t^3g:?855k38;4:m09;o522dc96a753z\1ag=:;:91>:l4=3ga>7b63ty9in4?:2y]6`e<5:926?9m;<0fg?4c92wx>k?50;1xZ7`63498m7<8b:?1b4<5l81v?h=:180[4a:2788=4=7c9>6c4=:m;0q~6}Y:o>01>:l:35a?84a<38o=6s|2g794?5|V;l>70=:2;04f>;5n<09h<5rs3d5>5<4sW8m:63<59813g=::o<1>i?4}r0e137=:o20q~kk50;0x90062;ln70:70;0ea>{t;;:1<77c13499<7h8;|q063<72;q69;?52d5896412o=0q~==c;296~;2>809i45233a9b2=z{:8o6=4={<755?4bi278>i4i7:p765=838p188>:3ga?854;3l<7p}<3883>7}:=?;1>hm4=21:>c152z?624<5n816?>o5f69~w6272909w0;91;0e6>;4<90m;6s|35494?4|5<<:6?h<;<172?`03ty88n4?:3y>137=:o>01>:l:g58yv52:3:1>v3:6081b0=:;<81j:5rs27;>5<5s4?==7;30;089k5rs247>5<5s4>ok:181831939ji63;7d80ea=z{:h<6=4={<755?5ei27?;h4;3?l08h?5rs2g0>5<5s4>0=5=:m;0q~:74;296~;30109;o524969b2=z{=2>6=4={<6;2?40j27?454i8:p0=0=838p1968:35a?82?03l27p};8883>6}Y<130196m:35a?82?138o=6s|49`94?4|5=2j6?9m;<6;f?`03ty?4i4?:2y]0=b<5=2n6k94=5:g>7b63ty?4h4?:5y>137=<1i0196=:5:`?82?83>3o63;8d813g=z{=2m6=4<{_6;b>;31909;o5249d96a72=7>52z?7<4<31;1684>5f69~w1g72908wS:n0:?7e7<5?k168l>52e38yv2f:3:1>v3;a0813g=:5<4sW>j863;a4813g=:1>i?4}r6b1?6=:r7?4?4;a59>0d3=n>1v9ol:180[2fk27?mi4i7:?7ef<5l81v9ok:18182?93>j?63;ae813g=z{=h;6=4<{_6a4>;3j809;o524c296a7i=7>52z?73`<3j9168o?5f69~w1df2908wS:ma:?7fg<5?k168oo52e38yv2ej3:1>v3:6087fd=:5<4sW>h;63;c9813g=:i?4}r6`:<4;c79>0=6=0fg=n>168n752e38yv2di3:1>v3;8087g3=::l4}r6g5?6=;rT?h<524e0962d<5=n:6?j>;|q7`7<72;q685?54e3891b52o=0q~:k5;297~X3l<168i9526`891b22;n:7p};d683>7}:<1818i;4=5f4>c1oi7>53z\7``=::l4=5ff>7b63ty?i=4?:3y>0=7=0`0=n>168h;52e38yv2b>3:1>v3;7d87a0=::l4}r6f=?6=;rT?i4524dc9b2=:i?4}r6fe?6=;r7>:<4;e99>0=4=uQ4d`891cd2;=i70:jb;0g5>{t1c?34>no7h8;|q645<72:qU9=>4=422>71e34?;<7:423?83793l<7p}:1183>6}Y=8:018?>:35a?836838o=6s|50394?4|5<<:69hn;<725?`03ty>=?4?:2y]144<5<;86?9m;<726?4c92wx9<=50;0x90062<9270;>3;d4?xu29=0;6>uQ5068907e2;=i70;>4;0g5>{t=8h1<707334?:n7h8;|q65f<72:qU946?34?:o77}:<>o19?>4=43e>c153z\664=:=;91>:l4=402>7b63ty>>>4?:3y>137==;;018<<:g58yv35<3:1?vP:259>170=:>h018<;:3f2?xu2:?0;6?u25739172<5<8=6k94}r71>55253;9b2=:=;21>i?4}r71=?6=;r7>:<4:269>0=4==;=018<6:35a?xu2:h0;6>uQ53c8904e2;=i70;=a;0g5>{t=;i1<704034?9n7h8;|q605<72:qU99>4=462>71e34??<7:41e?83393l<7p}:5483>6}Y=9:4?:2y]101<57b63ty>954?:3y>0=7==<>018;7:35a?xu2=l0;6>uQ54g8903a2o=018;j:3f2?xu2=o0;68u246d910b<5<<:68;k;<6;6?32l27?;h4:5e9>10`=:>h0q~6l:180[>d342h6?j>;<:g>c1ji7>53z\7e`=:i?4=5ce>c153z\0g3=:;j<1>i?4=2a4>c153z\0g6=:;j91>i?4=2a7>c153z\0g4=:;j;1>i?4=2a1>c1{<:g>71e3ty?mk4?:0y>0d`=:>h0q~=l7;295~;4k>09;o5rs2a7>5<6s49h87<8b:p7f4=83;p1>m=:35a?x{i9k<=6=4;{I601>{i9k<<6=4;{I601>{i9k<36=4<{I601>{i9k<26=4>{I601>O5l80:w<4rZg195~7b13wvbi?51z39y_`428q:i44r}o3a2g<728qC8>;4I3f2>4}62tPm?7?t1d;9yxh6j?i1<7?tH516?L4c93;p=7sUf2824c>2twe=o8k:182M24=2C9h<4>{08~^c5=9r;n57srn0`5a?6=9rB??85F2e395~7=uSl86e88~yk7e>o0;650;3xL1523@8o=7?t1;Yb6<6s8o26psa1c52>5<6sA>896G=d08244?:0yK063:|Xe7?7|9l31qp`>b6694?7|@=9>7D51zJ770=N:m;1=v?5}[d0>4}6m00vqc?m7683>4}O<:?0E?j>:0y2>x\a;3;p=h75}|l2f2>=83;pD9=:;H0g5?7|93wQj>4>{0g:>x{i9k=26=4>{I601>O5l80:w<4rZg195~7b13wvbi?51z39y_`428q:i44r}o3a3g<728qC8>;4I3f2>4}62tPm?7?t1d;9yxh6j>i1<7?tH516?L4c93;p=7sUf2824c>2twe=o9k:182M24=2C9h<4>{08~^c5=9r;n57srn0`4a?6=9rB??85F2e395~7=uSl86e88~yk7e?o0;650;1xL1523td:n5?50;1xL1523td:n5<50;1xL1523td:n5=50;1xL1523td:n5:50;1xL1523td:n5;50;1xL1523td:n5850;1xL1523td:n5950;1xL1523td:n5650;1xL1523td:n5750;1xL1523td:n5o50;0xL1523td:n5l50;1xL1523td:n5m50;1xL1523td:n5j50;0xL1523td:n5k50;0xL1523td:n5h50;0xL1523td:n4>50;0xL1523td:n4?50;0xL1523td:n4<50;0xL1523td:n4=50;0xL1523td:n4:50;0xL1523td:n4;50;1xL1523td:n4850;1xL1523td:n4950;;xL1523td:n4650;cxL1523td:n4750;3xL1523@8o=7?t1;Yb6<6s8o26psa1c;b>5<6mrB??85rn0`:f?6=9rB??85F2e395~4=uSl86e88~yk7e1j0;65<0sA>896sa1cc2>5<6sA>896G=d0824uG4278yk7ei=0;6:uG4278yk7ei<0;68uG4278yk7ei?0;6>uG4278yk7ei>0;6:uG4278yk7ei10;68uG4278yk7ei00;69uG4278yk7eih0;69uG4278yk7eik0;6>uG4278yk7eij0;6:uG4278yk7eim0;68uG4278yk7eil0;6:uG4278yk7eio0;6>uG4278yk7ej90;69uG4278yk7ej80;68uG4278yk7ej;0;65<6sA>896G=d0827bc594?7|@=9>7D51zJ770=N:m;1=v<5}[d0>4}6m00vqc?mb`83>4}O<:?0E?j>:0y1>x\a;3;p=h75}|l2fgd=83;pD9=:;H0g5?7|:3wQj>4>{0g:>x{i9khh6=4>{I601>O5l80:w?4rZg195~7b13wvbi?51z09y_`428q:i44r}o3af`<72:qC8>;4}o3afc<72;4}o3ag5<72;4}o3ag4<72;qC8>;4}o3ag7<72;qC8>;4}o3ag6<72:qC8>;4}o3ag1<72;qC8>;4}o3ag0<72;qC8>;4}o3ag2<72;qC8>;4}o3ag=<72;qC8>;4}o3ag<<72;qC8>;4}o3agd<72;qC8>;4}o3agg<72;qC8>;4}o3agf<72;qC8>;4}o3aga<72=qC8>;4}o3ag`<72:qC8>;4}o3agc<72=qC8>;4}o3a`5<72=qC8>;4}o3a`4<72:qC8>;4}o3a`7<72:qC8>;4}o3a`6<728qC8>;4I3f2>4}52tPm?7?t1d;9yxh6jm>1<7?tH516?xh6jm?1<7?tH516?xh6jm<1<7?tH516?xh6jm=1<7?tH516?L4c93;p>7sUf2824c>2twe=oj7:182M24=2we=oj6:182M24=2C9h<4>{08~^c5=9r;n57srn0`ge?6=>rB??85rn0`gf?6=:rB??85F2e395~5=uSl86e88~yk7elj0;64uG4278yk7elm0;65<4sA>896sa1cg2>5<6sA>896G=d0824vF;349~j4db;3:1=vF;349J6a7=9r81qWh<:0y2a<bd494?2|@=9>7p`>bd594?7|@=9>7DwE:<5:m5gc>290:wE:<5:K1`4<6s80vVk=51z3f=?{zf8hnm7>52zJ770=zf8hnn7>51zJ770=N:m;1=v<5}[d0>4}6m00vqc?meb83>1}O<:?0qc?mee83>4}O<:?0E?j>:0y1>x\a;3;p=h75}|l2f`c=838pD9=:;|l2f``=83;pD9=:;H0g5?7|:3wQj>4>{0g:>x{i9kl;6=4={I601>{i9kl:6=4>{I601>O5l80:w<4rZg195~7b13wvbi?51z39y_`428q:i44r}o3ab1<72:qC8>;4}o3ab0<72;qC8>;4I3f2>4}42tPm?7?t1d;9yxh6jo<1<7;tH516?xh6jo=1<7?tH516?L4c93;p>7sUf2824c>2twe=oh7:187M24=2we=oh6:182M24=2C9h<4>{38~^c5=9r;n57srn0`ee?6=:rB??85rn0`ef?6=9rB??85F2e395~4=uSl86e88~yk7enj0;6?uG4278yk7enm0;652zJ770=zf8i;87>51zJ770=N:m;1=v<5}[d0>4}6m00vqc?l0483>7}O<:?0qc?l0783>4}O<:?0E?j>:0y2>x\a;3;p=h75}|l2g51=839pD9=:;|l2g5>=838pD9=:;H0g5?7|;3wQj>4>{0g:>x{i9j:26=4:{I601>{i9j:j6=4={I601>O5l80:w>4rZg195~7b13wvbi?51z09y_`428q:i44r}o3`4a<72=qC8>;4}o3`4`<728qC8>;4I3f2>4}62tPm?7?t1d;9yxh6k9l1<72twe=n?>:185M24=2we=i;50;3xL1523td:h;4?:0yK06351zJ770=zf8n36=4>{I601>{i9m31<7?tH516?xh6lh0;6;4}o3gb?6=9rB??85rn0g3>5<6sA>896sa1d094?4|@=9>7p`>e483>4}O<:?0qc?j6;295~N3;<1vb51zJ770=zf8oo6=4>{I601>{i9lo1<7?tH516?xh6mo0;6;4}o3e1?6=9rB??85rn0d5>5<6sA>896sa1g594?7|@=9>7p`>f983>4}O<:?0qc?i9;295~N3;<1vb51zJ770=zf8ln6=4>{I601>{i9ol1<7?tH516?xh5890;6;4}o032?6=9rB??85rn324>5<6sA>896sa21:94?7|@=9>7p`=0883>4}O<:?0qcm:182M24=2we>=m50;3xL1523td951zJ770=zf;:m6=4>{I601>{i:8:1<7?tH516?xh5980;6;4}o022?6=9rB??85rn334>5<6sA>896sa20:94?7|@=9>7p`=1883>4}O<:?0qc<>a;296~N3;<1vb??m:181M24=2we>51zJ770=zf;;m6=4>{I601>{i:;:1<7?tH516?xh11m0;6>uG4278yk0>n3:1?vF;349~j3g72908wE:<5:m2d7=838pD9=:;|l5e2<728qC8>;4}o4b5<6sA>896sa6c194?5|@=9>7p`9b583>4}O<:?0qc8m5;295~N3;<1vb;l9:182M24=2we:o950;3xL1523td=n54?:0yK06351zJ770=zf?hj6=4<{I601>{i>kh1<7?tH516?xh1jj0;6>uG4278yk0el3:1=vF;349~j3db290:wE:<5:m2g`=83;pD9=:;|l5g5<72:qC8>;4}o4`5?6=;rB??85rn7a1>5<6sA>896sa6b194?7|@=9>7p`9c583>4}O<:?0qc8l5;295~N3;<1vb;m9:180M24=2we:n950;7xL1523td=o54?:2yK06353zJ770=zf?ij6=4>{I601>{i>jh1<7?tH516?xh1kj0;6;4}o4g5?6=9rB??85rn7f1>5<6sA>896sa6e194?7|@=9>7p`9d583>4}O<:?0qc8k5;295~N3;<1vb;j9:182M24=2we:i950;3xL1523td=h54?:0yK06351zJ770=zf?nj6=4>{I601>{i>mh1<7?tH516?xh1lj0;6;4}o4f5?6=9rB??85rn7g1>5<6sA>896sa6d194?7|@=9>7p`9e583>4}O<:?0qc8j5;295~N3;<1vb;k9:182M24=2we:h950;3xL1523td=i54?:0yK06351zJ770=zf?oj6=4>{I601>{i>lh1<7?tH516?xh1mm0;6;4}o4e6?6=9rB??85rn7d0>5<6sA>896sa6g694?7|@=9>7p`9f483>4}O<:?0qc8i6;295~N3;<1vb;h8:182M24=2we:k650;3xL1523td=j44?:0yK06353zJ770=zf?li6=4:{I601>{i>oi1<7;tH516?xh1nm0;6>uG4278yk0am3:1?vF;349~j3`a2908wE:<5:m356=839pD9=:;|l444<728qC8>;4}o536?6=9rB??85rn620>5<2sA>896sa71694?5|@=9>7p`80483>4}O<:?0qc9?6;295~N3;<1vb:>8:186M24=2we;=650;1xL1523td<<44?:0yK06351zJ770=zf>:i6=4<{I601>{i?9i1<7=tH516?xh08m0;6;4}o526?6=9rB??85rn630>5<6sA>896sa70694?7|@=9>7p`81483>4}O<:?0qc9>6;296~N3;<1vb:?8:181M24=2we;<650;0xL1523td<=44?:0yK06351zJ770=zf>;i6=4>{I601>{i?8i1<7?tH516?xh09l0;6;4}o512?6=9rB??85rn604>5<6sA>896sa73:94?7|@=9>7p`82883>4}O<:?0qc9=a;295~N3;<1vb:51zJ770=zf>986=4<{I601>{i?:>1<7?tH516?xh0;<0;63:1=vF;349~j25?290:wE:<5:m36?=83;pD9=:;|l47d<728qC8>;4}o50f?6=9rB??85rn61`>5<6sA>896sa75394?7|@=9>7p`84383>4}O<:?0qc9;3;295~N3;<1vbljj:181M24=2wemh?50;1xL1523tdji?4?:2yK06353zJ770=zfho36=4<{I601>{iilk1<7=tH516?xhfmk0;6;4}oce4?6=9rB??85rn`d2>5<6sA>896saag094?7|@=9>7p`nf283>4}O<:?0qcoi4;295~N3;<1vblh::182M24=2wemk850;3xL1523tdjj:4?:0yK06351zJ770=zfhl26=4={I601>{iiok1<7;4}o`30?6=9rB??85rnc26>5<6sA>896sab1494?7|@=9>7p`m0683>6}O<:?0qcl?8;295~N3;<1vbo>6:182M24=2wen=o50;3xL1523tdi51zJ770=zfk:o6=4>{I601>{ij9o1<7?tH516?xhe8o0;6;4}o`20?6=9rB??85rnc36>5<5sA>896sab0494?7|@=9>7p`m1683>4}O<:?0qcl>8;295~N3;<1vbo?6:182M24=2wen53zJ770=zfk;n6=4>{I601>{ij8l1<7?tH516?xhe:90;6;4}o`11?6=9rB??85rnc05>5<6sA>896sab3594?7|@=9>7p`m2983>4}O<:?0qcl=9;295~N3;<1vbon4?:3yK06352zJ770=zfk8m6=4<{I601>{ij::1<7?tH516?xhe;80;6;4}o`02?6=9rB??85rnc14>5<6sA>896sab2:94?7|@=9>7p`m3883>4}O<:?0qclm50;3xL1523tdi?i4?:0yK06356zJ770=zfk9m6=4;{I601>{ij=:1<76tH516?xhe<;0;6?uG4278ykd3;3:1>vF;349~jg23290:wE:<5:mf13=83;pD9=:;|la03<728qC8>;4}o`73?6=9rB??85rnc6;>5<6sA>896sab5;94?7|@=9>7p`m4`83>4}O<:?0qcl;b;297~N3;<1vbo:l:180M24=2wen9j50;3xL1523tdi8h4?:4yK06353zJ770=zfk?;6=4>{I601>{ij<;1<7?tH516?xhe=;0;6;4}o`63?6=9rB??85rnc7;>5<6sA>896sab4;94?7|@=9>7p`m5b83>7}O<:?0qcl:d;296~N3;<1vbo;j:182M24=2wen8h50;3xL1523tdi:<4?:0yK0637>51zJ770=zfk<86=4>{I601>{ij?>1<7?tH516?xhe><0;6;4}oa16?6=9rB??85rnb00>5<6sA>896sac3694?7|@=9>7p`l2483>4}O<:?0qcm=6;295~N3;<1vbn<8:182M24=2weo?650;3xL1523tdh>o4?:0yK06351zJ770=zfj8o6=4>{I601>{ik;o1<7=tH516?xhd;90;63:1=vF;349~j47a?3:1=vF;349~j47a03:1=vF;349~j47a13:1=vF;349~j47al3:1?vF;349~j47am3:1?vF;349~j47an3:1?vF;349~j44783:1=vF;349~j44793:1=vF;349~j447:3:1=vF;349~j447;3:1=vF;349~j447<3:1=vF;349~j447>3:18vF;349~j447?3:1=uG4278yk75800;6uG4278yk75990;6uG4278yk759:0;6uG4278yk759?0;60;6?uG4278yk75910;6?uG4278yk75900;60;6>uG4278yk75:00;6>uG4278yk75:h0;60;6uG4278yk75;m0;6>uG4278yk75;l0;60;6>uG4278yk75<10;6uG4278yk75uG4278yk75=90;60;6uG4278yk75=h0;68uG4278yk75=k0;6>uG4278yk75=j0;6uG4278yk75=o0;6>uG4278yk75>90;680;6;0;6:0;6=0;6<0;6>uG4278yk75>?0;68uG4278yk75>>0;68uG4278yk75>10;6>uG4278yk75>00;6h0;6k0;6j0;6m0;6>uG4278yk75>l0;6>uG4278yk75>o0;6uG4278yk75??0;6?uG4278yk75?>0;6>uG4278yk75?10;6>uG4278yk75?h0;64uG4278yk75?k0;6>uG4278yk75?j0;6uG4278yk750h0;60;6>uG4278yk751h0;6uG4278yk75i<0;6?uG4278yk75i?0;6?uG4278yk75i>0;6uG4278yk7?lo0;60;65uG4278yk7?m10;6uG4278yk7?mk0;6uG4278yk7?mm0;6>uG4278yk7?ml0;6uG4278yk7?n90;6>uG4278yk7?n80;6uG4278yk7?n>0;6>uG4278yk7?n10;6>uG4278yk7?n00;6uG4278yk7?no0;6?uG4278yk7>890;6880;68;0;68:0;68=0;68<0;68?0;6>uG4278yk7>8>0;6>uG4278yk7>810;6?uG4278yk7>800;68h0;6ouG4278yk7>8k0;6>uG4278yk7>8j0;6?uG4278yk7>8m0;68l0;68o0;6990;6980;69;0;69:0;69=0;69<0;69?0;69>0;6910;6900;69h0;69k0;69j0;69m0;6?uG4278yk7>9l0;69o0;6:90;6:80;6:;0;6::0;6:=0;6:<0;6>uG4278yk7>:?0;6:>0;6:10;6:00;6:h0;6:k0;6>uG4278yk7>:j0;6?uG4278yk7>:m0;6:l0;6>uG4278yk7>:o0;6?uG4278yk7>;90;6;80;6>uG4278yk7>;;0;6?uG4278yk7>;:0;6;=0;6>uG4278yk7>;<0;6?uG4278yk7>;?0;6;>0;6>uG4278yk7>;10;6?uG4278yk7>;00;6;h0;6>uG4278yk7>;k0;6?uG4278yk7>;j0;6;m0;6>uG4278yk7>;l0;6?uG4278yk7>;o0;6<90;6>uG4278yk7><80;6?uG4278yk7><;0;6<:0;6?uG4278yk7><=0;6?uG4278yk7><<0;6?uG4278yk7><>0;6?uG4278yk7><10;6?uG4278yk7><00;6?uG4278yk7>1<7?tH516?xh61:1<7=tH516?xh61>;1<781<7?tH516?xh61>91<7?tH516?xh61>>1<7=tH516?xh61>?1<7<1<7?tH516?xh61>=1<7=tH516?xh61>21<731<7?tH516?xh61>k1<7=tH516?xh61>h1<7i1<7?tH516?xh61>n1<7o1<7l1<71<7=tH516?xh611?1<7?tH516?xh611<1<7:tH516?xh611=1<7=tH516?xh61121<7:tH516?xh61131<7=tH516?xh611k1<7:tH516?xh611h1<7=tH516?xh611i1<71<753zm6=>=83;pqcn850;3xyk4bj3:1?vsa2d794?7|ug9;m7>53zm752=83;pqc==9;297~{i;;91<7?t}o1753zm7=6=83;pqc=n5;297~{i;0l1<7?t}o1`0?6=;rwe?ok50;3xyk5b;3:1?vsa3ef94?7|ug>;>7>53zm7ce=83;pqc:=1;297~{i<8h1<7?t}o674?6=;rwe8>o50;3xyk22n3:1?vsa44;94?7|ug>53zm02>=83;pqc982;295~{i?1=1<7?t}o5:g?6=9rwe;ol50;1xyk1e=3:1=vsa7ec94?5|ug=o87>51zm3``=83;pqc6?e;297~{i0921<7?t}o:1`?6=;rwe4?950;3xyk>3k3:1?vsa85494?7|ug2=n7>53zm<33=83;pqc67a;297~{i01>1<7?t}o:b=?6=;rwe4l=50;3xyk>d03:1?vsa8b094?7|ug2oh7>51zm51zmfg2=839pqclne;295~{ijm?1<753zmg<1=83;pqcmmc;297~{ikk<1<7?t}oagf?6=;rweoi;50;3xykeai3:1?vsacg694?7|ugn:57>53zm`45=83;pqcj<8;297~{il:81<7?t}of63?6=;rweh8?50;3xykb0>3:1?vsad6294?7|ugn297>53zm`=`=83;pqcjm4;297~{ilho1<7?t}ofg7?6=;rwehnj50;3xykba:3:1?vsadda94?7|ugo:=7>53zma5d=83;pqck<0;297~{im;k1<7?t}og7b?6=;rwei9750;3xykc083:1>vsae7;94?7|ugo2=7>52zma=g=83;pqckm0;297~{imhk1<7?t}og`b?6=;rwein750;3xykcbm3:1?vsaed:94?7|ugl;h7>53zmb51=83;pqch=c;297~{in;<1<7?t}od7f?6=;rwej9;50;3xyk`1i3:1?vsaf7694?7|ugl357>53zmb=5=83;pqc?=e283>6}zf88oh7>51zm56652908wp`>2ga94?7|ug;8><4?:2y~j456j3:1=vsa1263>5<4std:?>o50;3xyk74=<0;69i:182xh6;0>1<7?t}o30e<<728qvb<=me;295~{i9:n86=4>{|l27`>=83;pqc?4}zf8>:>7>51zm5140290:wp`>42a94?7|ug;?9<4?:0y~j421>3:1=vsa15:6>5<4std:8:h50;3xyk73i=0;6>urn06:a?6=9rwe=9m<:180xh66}zf8?:m7>51zm505a2908wp`>52;94?7|ug;>9h4?:2y~j43203:1=vsa1450>5<6std:95650;3xyk721m0;6{|l2g44=83;pVk=51z3f=?{O:>k0qc?l1283>4}]n:0:w51zXe7?7|9l31qE<8a:m5f72290:wWh<:0y2a<c0494?7|Ro91=v?j9;K62g5<6std:o96*>N506?!7I<=?0(<@;8008 Wcqazcdb7.>.37:$!><.V;B8 X9G>9S964&^3M01Y302,T=C:7_53844=69;1:>7AZTQWW>WG;980;2<>4178JJUSS2H69?76111915?OIX\^1M1<>:0<20>442@D[YY4N_GQA875=97l0?7GAPTV9WR:4284>7L2>0?78E9766<1J0<<15:C?56823H6:83;4A=36:0=F48<596O316<6?D:607?0M1?6>59B84823H69<394A=02>4823H69=3;4A=01:2=F4;9143;4A=00:1=F4;4?7L2<>59B81833H6>295N<7<7?D:06=1J050;;@>::1=FDL:>7LBJ0L78EIC7[j1J@H>Pmtz3456c3HFNGKM9Ufyu>?016g?DJB8Vg~t=>?04f8EIC7Wds<=>?6e9BH@6Xe|r;<=>8d:COA5Yj}q:;<=6k;@NF4Zkrp9:;<4:4AMG2g>GKM8UTc>?00f8EIC6WVey<=>>129BJA2GIL1>0M^|id:CPvcYDdbUBB[?8;@QqbZH7k2KX~kQaou2344b19:C\BVD;98427LQISC>26;?89B[CUE48>556OPFR@?508>3HUM_O2>6?;8EZ@TJ5;<245N_GQA84>912KTJ^L318<;?DYA[K6:245N_GQA876912KTJ^L320<:?DYA[K69>3l4A^DPF94428427LQISC>17;>GXNZH79364A^DPF90902KTJ^L37?:8EZ@TJ52546OPFR@?=;bGXNZHT=?QFBTDg?DYA[KU:?RGMUGf8EZ@TJV;?SDLZFe9B[CUEW8?TEO[Id:C\BVDX9?UBNXHk;@]EWGY6?VCIYKj4A^DPFZ7?W@H^Ji5N_GQA[4?XAK_Mo6OPFR@\5ZOE]On0MRH\B^03[LDRNm1JSK]M_33\MGSAl2KTJ^LP23]JFP@c3HUM_OQ=3^KAQCeGXNZHT?RGMUGa8EZ@TJV>TEO[Ic:C\BVDX=VCIYKm4A^DPFZ0XAK_Mo6OPFR@\3ZOE]Oi0MRH\B^:\MGSAk2KTJ^LP9^KAQC0=f:ClqqIBWds<=>?3g9BkprHMVg~t=>?05d8EjssGLUfyu>?017e?Dir|FOTaxv?01250>DFK]?0NLM[149AEFR5=2HJOY|8;CC@PwY712HJOY|Potv0?GSA991IYDBK_UCQC@RFZJB37O[\_HLEK4=D<2I::@74C04N[LDRN?1H>=CJNc9@65KBFVCIYK84C37NAKdJ02I3ARGMUG68G=Ku:2IN?6MCK29@HW52Igil|>f:Aoadt6WVkeh=>?009Ga>BUKVY^ONK\SGWO2>BSIJAyi6J[ABIq[kis89::j6J[ABIq[kis89::=:5Kauc?4;12OMmab=5:GP85823LY7=3;4ER>1:0=B[59586K\_1g8AVY7W9Uecy>?01d8AVY7W9Uecy>?013g?@UX8VUjbi>?01g8AVY7WVkeh=>?00g8AVY7WVkeh=>?03g8AVY7WVkeh=>?02g8AVY7WVkeh=>?05g8AVY7WVkeh=>?04g8AVY7WVkeh=>?0768AVY6l2OXSi5JS^0\[dhc89:;i6K\_3]\ekb789::i6K\_3]\ekb789:986K\_2f8AVY4WVkeh=>?0d9FWZ5XWhdo<=>?1d9FWZ5XWhdo<=>?20d8AVYJimnTSljk0123[H~hzVXnxb{<0<2b>CTWDkohRQnde2345YJpfxT^h}zlu>1:4`CTWDkohRQnde2345YXign;<=>>189FWZKflmUTmij?012\[dhc89::=l5JS^Ob`aYXimn;<=>P_`lg45669m1N_R_P1^mq4567m2OXS\Q>_np34566n2OXS\Q>_np345668o1N_R_P1^mq456798o0I^Q^_0]lv5678;o0I^Q^_0]lv5678:o0I^Q^_0]lv5678=o0I^Q^_0]lv5678o0I^Q^_0]lv56781o0I^Q^_0]lv56780o0I^QPaef3456;97o0I^QPaef3456;:7o0I^QPaef3456;;720IU^NNEGG54=BPYKEHHJPBBF\BLJB9=1NT]OADDF\GJHT\[KBBY]>1:G[TDHCMMUB_HQIIMG5?@YNJ\L97H|:;Dp?4;3@A02L[KLGAIU:8BWIJW\IM?6H\B09D7>AIL81B>6G?2:K26>O5:2C8>6G;2:K66>O1:2C<86GNCR78MDET9=1BNXH=;HL;?LHAM[XNH45FNHVPPDRB;2CEZh5FN^LD@ZGILVHHH?5FO49JKDESl2CDMNZPmtz3456b3@EJOYQbuy23457a3@EJOYQbuy234577n2CDMNZPmtz345669o1BCLM[_lw{45679;l0EBOLT^ov|567889m7DANCU]nq}6789;?j6G@ABV\ip~789::9k5FO@AW[hs89:;=;h4INC@PZkrp9:;<<9j;HMBGQYj}q:;<=4:KLFH2OHKFG[86G@CR78MJET9?1BCN]>079JKFU69?1BCN]>279JKFU6;<1BCN]=5:KLGV523@EH_9;4INAP10=NGJY=96G@CR56?LID[1?0EBM\979JKAIIMm1BCIAAE^mq4567m2CDHB@J_np34566m2CDHB@J_np34565m2CDHB@J_np34564m2CDHB@J_np34563m2CDHB@J_np34562m2CDHB@J_np34561m2CDHB@J_np34560m2CDHB@J_np3456?02CDHB@Jio58MJBHFLx?7DAC0b9JKI6Xe|r;<=>k;HMO4Zkrp9:;<k4INN3[hs89:;=9k4INN3[hs89:;=8j4INN3[hs89:;>i5FOM2\ip~789:8h6G@L1]nq}6789>o7DAC0^ov|5678m1BCA>Pmtz34560l2CD@=Qbuy2345>c3@EG_omw45669<1BCAJ\9:KLJUTbims:=6G@NQPfeaXWfx;<=>>2:KLJUTbimsTSb|?012257=NGGZYiljv_^mq4567:880EB@_Rdcg}ZYhz9:;<>?=;HMMTWcflpUTc>?01626>OHFYXnmiwP_np34562>2CD\ZM\9:KLTRTbimsj7DA_WSgb`|7f3@E[[_kndx04?LIUL[OZj6G@REPFUZgil9:;<<>4INPGV@WXign;<=>>119JKWBUMXUjbi>?01024>OHZMXN]Road12346`;HMQ@WCVWds<=>?1032?LIUL[OZS`{w012357763@EYH_K^_lw{45679:;:7DA]DSGR[hs89:;=9??;HMQ@WCVWds<=>?2028MJTCZL[Taxv?012055=NG[NYI\Qbuy23452682CD^I\JQ^ov|5678<;;7DA]DSGR[hs89:;:<>4INPGV@WXe|r;<=>8119JKWBUMXUfyu>?01:24>OHZMXN]Rczx1234<>_`lg45679l1BC_Y>_`lg4567:l1BC_Y>_`lg4567;l1BC_Y>_`lg4567_`lg4567=l1BC_Y>_`lg4567>=1BC^>l;HMP4Zgil9:;OH[9Ufyu>?017g?LIT8Vg~t=>?07f8MJU7Wds<=>?7e9JKV6Xe|r;<=>7d:KLW5Yj}q:;<=7l;HMP[CUJWOLo=n5FOR]EWHYANm8m7DA\_GQN[C@c:$Ce==5FOR]EWHYANm8&Ec?>0:KLWZ@TEVLMh?#Fn333?LITWOYFSKHk2,Km7f=NGZUM_@QIFe1e?LITWOYFSKHk3,Km55=NGZUM_@QIFe1.Mk7682CD_RH\M^DE`6+Nf;;;7DA\_GQN[C@c;$Ce?<>4INQ\BVKXNOn8!D`;119JKVYA[DUMJi="Io724>OH[VLXARHId2/Jj3eOH[VLXARHId4a8MJUXNZGTJKj9f:KLWZ@TEVLMh;#Fn028MJUXNZGTJKj9-Hl255=NGZUM_@QIFe4.Mk4682CD_RH\M^DE`3+Nf:;;7DA\_GQN[C@c>$Ce8<>4INQ\BVKXNOn=!D`:c:KLWZ@TEVLMh:h4INQ\BVKXNOn0:KLWZ@TEVLMh:#Fn033?LITWOYFSKHk7,Km646:<6G@S^DPIZ@Al>'Bb884INVZT@2Pmtz345668l1BCX>Pmtz345669l1BCX>Pmtz34566:l1BCX>Pmtz34566;l1BCX>Pmtz34566Pmtz34566=m1BCX>Pmtz34565l2CDY=Qbuy23455c3@E^OH]9Ufyu>?015g?LIR8Vg~t=>?09f8MJS7Wds<=>?959JKP7d3@E^=R``t1235a=NG\;Tbbz?01321>OH]MY<7DAXRSGRb>OH_[XN]Rczx123446109JKRTUMXUfyu>?013154=NG^XYI\Qbuy234574981BCZ\]EP]nq}6789;?=<5FOVPQATYj}q:;<=?:119JKRTUMXUfyu>?01024>OH_[XN]Rczx12346773@E\^_K^_lw{4567<8:0EBY]RDS\ip~789:>==5FOVPQATYj}q:;<=8>0:KLSWTBYVg~t=>?0633?LIPZ[OZS`{w0123<4602CD[_\JQs68MVRD=2CXXN?m;HQ\BVKXNOn:i6G\_GQN[C@c9$Cej6G\_GQN[C@c9$Ce=k5FS^DPIZ@Al8'Bb?l4IR]EWHYANm8n7D]PFRO\BCb5%@dm7D]PFRO\BCb5%@d:j6G\_GQN[C@c:$Ce>k5FS^DPIZ@Al;'Bb>h4IR]EWHYANm8&Ec:i;HQ\BVKXNOn9!D`:b:KP[CUJWF?n5FS^DPIZIr|:;h7D]PFRO\Kpr4:m1B_Rmbp^gr4564m2ANI]QGIDPBVFN^?2FBN_O]Y79OKDBBL:1GCN94LNEJGDJf3EZFSUA]2^3:?IRnelx7<3o4LUknaw:687k0@Ygbes>25;?>89OPlkbz58556B[ilgq868>3E^bah|34?;8HQojm{6>245CThofv90912F_e`k}<6<:?IRnelx74374LUknaw:>611GXdcjrUA27>JSadoyXNQlmq]fu56788>0@YgbesV@[fkwWl{;<=>>159OPlkbz]ITo`~Pep234546<2F_e`k}TB]`iuYby9:;<>?;;MVji`tSKVif|Rk~0123042=95CThofvQEXkdzTi|>?014;?IRnelxT<55CThofvZ7>3E^bah|P11;8HQojm{U:=55CThofvZ4?3E^bah|P399OPlkbzV>37AZfmdp\1==K\`gn~R87;MVji`tX?11GXdcjr^:;?IRnelxT5?:4LUknawYJimnTSljk0123[H~hzVXnxb{<02=61=K\`gn~RCnde]\eab789:TAua}_Sgpqir;9849?6B[ilgq[HgclVUjhi>?01]N|jtXZly~`y2>>318HQojm{UFmijP_`fg4567WDrd~R\jstnw8785;2F_e`k}_Lcg`ZYflm:;<=QBxnp\V`urd}682?=4LUknawYJimnTSljk0123[H~hzVXnxb{<5<17>JSadoyS@okd^]b`a6789UFtb|PRdqvhq:26;90@Ygbes]NeabXWhno<=>?_LzlvZTb{|f0;0=3:NWmhcuWDkohRQnde2345YJpfxT^h}zlu>4:75?01]N|jtXZly~`y26>348HQojm{UFmijP_`fg4567W[oxyazP0^llp56788;o7AZfmdp\IdbcWVkoh=>?0^]bja6789;n7AZfmdp\IdbcWVkoh=>?0^]bja6789;:h6B[ilgq[HgclVUjhi>?01]\ekb789;:i6B[ilgq[HgclVUjhi>?01]\ekb789;:=i5CThofvZKflmUTmij?012\[dhc89:9=h5CThofvZKflmUTmij?012\[dhc89:9=d:NWmhcuWDkohRQnde2345YXign;<=;>e:NWmhcuWDkohRQnde2345YXign;<=;>1e9OPlkbzVGjhiQPaef3456XWhdo<=>91d9OPlkbzVGjhiQPaef3456XWhdo<=>910f8HQojm{UFmijP_`fg4567WVkeh=>?70g8HQojm{UFmijP_`fg4567WVkeh=>?703g?IRnelxTAljk_^cg`5678VUjbi>?093f?IRnelxTAljk_^cg`5678VUjbi>?0932`>JSadoyS@okd^]b`a6789UTmcj?01;2a>JSadoyS@okd^]b`a6789UTmcj?01;257=K\`gn~RQnde2345:76890@Ygbes]\eab789:7==0>3:NWmhcuWVkoh=>?0=32:442:NWmhcuWVkoh=>?0=1=57=K\`gn~RQnde2345:36880@Ygbes]\eab789:793?=;MVji`tXWhno<=>?<7<26>JSadoySRokd12349199;1GXdcjr^]b`a6789632<<4LUknawYXimn;<=>39?78Hjgc9l1Gclj>_^cm`5678j1Fmga}Vdppmjhd3DcecXjrrklj7=I8:1E<<94NDVTKWM13GEH@D^>;N68KGSA=2EIYKK<;NLF6>IU;2EY>>5@R218KW243F__;6Ayesnb}==H~lxgmt?>;Qa8T+479:;;=>QC3:R246=W9890\<<<;Q307>V6<:1[=8=4P040?U70;2Z:4>5_1808T75V50:1[>4<4P218T6643Y99?6^<329S7155_4718T0643Y?9?6^:329S1155_6518T3343Y<=?6^9739S36=W?990\:<<;Q507>V0<:1[;;=4P650?U1?;2Z<5?5_829S<55V>;2Z2<>5_9018T<443Y38?6^6429S=05VUM81Z96_\<1<6?TU;97>0]^Q?d:SP[5YXign;<=>j;PQ\4ZYffm:;<=?j;PQ\4ZYffm:;<=P_np34562l2[XS=QPos2345033XYT=i5^S^3\[dhc89:;i6_\_0]\ekb789::i6_\_0]\ekb789:9o6_\_0]\kw6789n0]^Q>_^mq456798l0]^QBaef\[dbc89:;S@v`r^Pfwpjs484o7\]PQ^3\kw6789o0]^Q^_0]lv56788o0]^Q^_0]lv5678;o0]^Q^_0]lv5678:o0]^Q^_0]lv5678=o0]^Q^_0]lv5678Wh[[ojhtQ`r123446??;PmPV`gcqVey<=>?4028UjUUmhnrSb|?012655=VgZXnmiwPos23450682[d__kndx]lv5678>;;7\a\Rdcg}Ziu89:;4<>4QnQQadb~Wfx;<=>6f:SlWWcflpUd~=>?1028UjUUmhnrSb|?013255=VgZXnmiwPos23444682[d__kndx]lv5679:?0^L2?>79QE977611YM1?>:1<5?WG;984>7_O31?78VD:56<1YM1=16:PB8686>2XJ0>0=6:PB8684>2XJ0>0;5:PB81823[K793;4R@>5:0=UI5=596\N<9<6?WG;1790^LC:;SCNGV10:PBIWcflpUfyu>?0132?WGJZlkouRczx12344763[KF^hoky^ov|5678;;:7_OBRdcg}Zkrp9:;<>?>;SCNV`gcqVg~t=>?0532?WGJZlkouRczx12340763[KF^hoky^ov|5678?;:7_OBRdcg}Zkrp9:;<:;4R@QFHa=UIZOGSl`k0123a>TF[LFTmcj?0122`>TF[LFTaxv?012f?WGTMEUfyu>?013f?WGTMEUfyu>?010f?WGTMEUfyu>?011f?WGTMEUfyu>?0166?WGTMGn0^L]JN^ov|5678l1YM^KA_lw{45679l1YM^KA_lw{4567:l1YM^KA_lw{4567;l1YM^KA_lw{4567n7_O\rs]nq}6789?27_OP0^MAQCeTFW9Ufyu>?013g?WGX8Vg~t=>?03f8VDY7Wds<=>?3e9QEZ6Xe|r;<=>;a:PB[46XGK_Mh6\N_02\ip~789:n7_OP11]nq}6789;n7_OP11]nq}67898n7_OP11]nq}67899n7_OP11]nq}6789>j7_OP10]LFP@>3[KT=RAMUGa8VDY6Wds<=>?d:PB[4Yj}q:;<=?k;SC\5Zkrp9:;Rczx1234a=UIV8Taxv?0122`>TFW;Ufyu>?010g?WGX:Vg~t=>?02f8VDY5Wds<=>?489QEZ5XGK_M56\N_5]LFP@d3[KT8Rczx1234a=UIV>Taxv?0122`>TFW=Ufyu>?010g?WGX?02f8VDY3Wds<=>?489QEZ3XGK_Mo6\N_4]nq}6789n0^LQ:_lw{45679m1YMR;Pmtz34565l2XJS8Qbuy23455c3[KT9Rczx12341?k;SC\2Zkrp9:;<i5]A^4\ip~789:8h6\N_7]nq}6789>27_OP7^MAQCeTFW>Ufyu>?013g?WGX?Vg~t=>?03f8VDY0Wds<=>?3e9QEZ1Xe|r;<=>;9:PB[=YHJ\Lh7_OP8^ov|5678m1YMR6Pmtz34566l2XJS5Qbuy23454c3[KT4Rczx12346b{oSge5d=U[9~d^hh>0`9QW5rhZll:=l5]S1vlV``6:h1Y_=z`Rdd27<=U[9~d^hh=9:PP4qiUmo927_]?tnPfb1?3[Y;xb\jf9;8VV6sg[om5>5]SU`8VVYA[DUMJi?m;SQ\BVKXNOn9n6\\_GQN[C@c;k1Y_RH\M^DE`1cTTWOYFSKHk5,Kmb>TTWOYFSKHk5,Km5c=U[VLXARHId4/Jj7`TTWOYFSKHk6,Kmb>TTWOYFSKHk6,Km5c=U[VLXARHId7/Jj7`TTWOYFSKHk7,Kmb>TTWOYFSKHk7,Km5c=U[VLXARHId6/Jj7`TTWOYFSKHk8,Kmb>TTWOYFSKHk8,Km546*Ag>m7_]PFRO\BCb?%@d>j6\\_GQN[C@c0$Ce:k5]S^DPIZ@Al1'Bb:h4RR]EWHYANm2&Ec6i;SQ\BVKXNOn3!D`65:Pfea13[ojht?9;Sgb`|413[ojht=9;Sgb`|213[ojht;9;Sgb`|013[ojht98;Sge@jhb991YikJ`nd]\ekb789::=6\jfEmmaZYffm:;<=?i;Sge@jhbWVey<=>?119QacBhflUTc>?01324>TbnMeeiRQ`r12347773[omHb`j_^mq4567;8:0^hhKoog\[jt789:?==5]egFlj`YXg{:;<=;9;SgeV`w?3[om^hFnd9QacTbyVkeh=>?0g9QacTbyVkeh=>?00d8V``UmxUjbi>?010e?WcaZl{Tmcj?0120b>Tbn[ozSl`k01230c=UmoXn}Road12340c2:ZOE]O?0_Z2=>`9PS949W@H^J:5\W=195;3<[^682l5\W=1=[LDRN=1Xiczl;RgmpZYhz9:;Uil[KFO^Y]14a8WkbUIDIX[_?9b:Qm`WGJKZ]Y>o5\nePBIFUPZ:h0_cj]ALAPSW2e3Zdo^LCLSVP6f>Uil[KFO^Y]6c9PjaTFEJY\^:l4SofQEHET_[2i7^`kR@O@WRT>02Yeh_ABCR38P6=SZ@20X_G\T@VF0>RU]Lh0X^QISL]EBa7b3]YTJ^CPFGf2)Lha3]YTJ^CPFGf2)Lh6n2^XSK]B_GDg5(Oi:k1__RH\M^DE`7c<\ZUM_@QIFe0.Mk`<\ZUM_@QIFe0.Mk7682^XSK]B_GDg6(Oi99l0X^QISL]EBa4*Ag8m7Y]PFRO\BCb5%@d8j6Z\_GQN[C@c:$Ce8k5[S^DPIZ@Al;'Bb8h4TR]EWHYANm8&Ec8i;UQ\BVKXNOn9!D`8f:VP[CUJWOLo> Ga8g9WWZ@TEVLMh?#Fn8`8PVYA[DUDyy>l;UQ\BVKXG|~;=n5[S^DPIZIr|98?7YW_E99Wmhcu49437Ygbes>2:==Sadoy0?07;Uknaw:46>1_e`k}TB31?Qojm{^HSnc_ds34566;2^bah|[C^antZcv89:;=<=4ThofvQEXkdzTi|>?01027>Rnelx_ORmbp^gr4567;890XdcjrUA\ghvXmx:;<=:>3:Vji`tSKVif|Rk~012312=SadoyS=??;UknawY7WVkeh=>?0038PlkbzV:TSl`k0123547<\`gn~R>P_`lg4567:8;0Xdcjr^2\[dhc89:;?:5[ilgq[4773]cfiQ>_^cm`56788;0Xdcjr^3\[dhc89:;=?269WmhcuW;;;7Ygbes]1[Zgil9:;<?1038PlkbzV8TSl`k012362=SadoyS>??;UknawY4WVkeh=>?0038PlkbzV9TSl`k0123547<\`gn~R=P_`lg4567:;80Xdcjr^Ob`aYXimn;<=>PMymq[Wct}e~7=3<=;UknawYJimnTSljk0123[H~hzVXnxb{<3<16>RnelxTAljk_^cg`5678VGscQ]erwop9599j1_e`k}_Lcg`ZYflm:;<=QPaof34566l2^bah|PM`fg[Zgcl9:;3?>;UknawYXimn;<=>33?f8PlkbzVkeh=>?0d9WmhcuWhdo<=>?179VJPUBLh1^_H\PAMKBWf=R[LXTZD]FBMG0?SED12\BIZQ[YQG7?SVFzj1]\L|Pnnv3457c3_ZJ~R``t12354d<^`nYM@M\WS3`?SocZHGH_Z\>0c9UmaTFEJY\^?l4VhfQEHET_[9i7[gkR@O@WRT3j2\bh_OBCRUQ1g=QamXJAN]XR7`8RlbUIDIX[_9m;WkgVDKD[^X3n6XfdSCNGVQU1;1\_:5Xahv?4;1<_hc0<08;Vcjp949?2]jey2<>69Telr;<7<0[lg{_1d8SdosW9UTmcj?01224>Qfa}U;SRoad12344773^kbxR>P_`lg4567:?1\mdzP1g9TelrX9VUjbi>?0133?Rgn|V;TSl`k012353=Pi`~T>k5Xahv\6ZYffm:;<=??;VcjpZ4XWhdo<=>?179TelrX;o1\mdzP3^]bja6789;;7Zoft^1\[dhc89:;=;5Xahv\0c=Pi`~T8RQnne2345773^kbxR:P_`lg45679;;0[lg{_Lcg`ZYflm:;<=QBxnp\V`urd}6:2??4W`kw[HgclVUjhi>?01]N|jtXZly~`y2=>338SdosWDkohRQnde2345YJpfxT^h}zlu>0:77<_hcS@okd^]b`a6789UFtb|PRdqvhq:368h0[lg{_Lcg`ZYflm:;<=QPaof34566k2]jeyQBaef\[dbc89:;SRoad123447e3^kbxRCnde]\eab789:TSl`k01225f=Pi`~TAljk_^cg`5678VUjbi>?0032f>Qfa}UFmijP_`fg4567WVkeh=>?20a8SdosWDkohRQnde2345YXign;<=<>119TelrXWhno<=>?<0<24>Qfa}UTmij?012?6;773^kbxRQnde2345:468:0[lg{_^cg`56785>5:6YnrqQF5a=_AECET VKB!2-5%US]K*;"<.NSBKJ7>^HZ=1SC_<9;YQWHLD03QY_SJ@K7:ZPPZPDK<1SSB@J5:Z\PWO13QUQI_A9;Yfa[Lbe3QncS]|fmHlug>^c`VZye`Zvpdd8\anXX{cfZh||inl24>^ceVGjfb|Yesqjkk773QnfS@gaosTfvvohf?1Sc1>1129[wq20eblb4:klfv?<9:lB@jssDL;j7cOKotvOA47b3gKOcxzCE0]LFP@43gKX;6`NS^DPFf=iIZUM_OQFBTD4?kGTW@EIh6`NS^KLFZIE]OOj7cO\_HMAkpr13gKXcxz6;oCPkprXF9k0bL]`uu]M44c>8g9mEVir|Vddx=>?1858jDir|FO37cO`uuMF5f=iIfCHQ@BTD6?kDBZ[30bOK]R^DPF`=iJLXYSK]M_N@VB47;o@FVWYA[KUTc>?0031?kDBZ[UM_OQPos234476:2dII_\PFR@\[jt789;9=?5aBDPQ[CUEWVey<=>>389mF@TUW@EIi6`MESP\MJDXAK_M;6`MG^KLFf=iJNUBCOQFBTD4?kDUW@EIo6`MR^KLFZIE]Ol0bO\PIN@\ip~789::<6`MR^KLFZkrp9:;<?2028jGTXAFHTaxv?012055=iJ[UBCOQbuy23452682dI^RG@B^ov|5678<;;7cL]_HMA[hs89:;:<>4nCP\MJDXe|r;<=>84:l@EV?k;oABWZkrp9:;<i5aC@Q\ip~789:8h6`LAR]nq}6789>o7cMNS^ov|5678m1eOL]Pmtz34560l2dHM^Qbuy2345>c3gIJ_Rczx1234<0hCagFNSBLZFe9m@lhKMVey<=>?e:lGmkJBWfx;<=>>6:lGmkIB?2dOecAJ1c9m@lhHMVEIYKk4nEkmK@Yffm:;<=h4nEkmK@Yffm:;<=?i;oFjjJCXign;<=>=f:lGmkIBWhdo<=>?3g9m@lhHMVkeh=>?05d8jAoiGLUjbi>?0174?kBh}}EN46`KotvLA4ehCg|~DIRoad123546;4nHRO6d=iAYF9SDLZF79mMUJ5z=1e@I]7;oNGWZ@TJm1e@I]PFR@\MGSA02dGH^QFOCg8jIBTW@EISBLZFD`8jIBTW@EIcxzl;oNGWZgil9:;>i5aLEQ\ekb7898:h6`CDR]bja678;8o7cBKS^cm`567::n0bAJ\_`lg4565hKLZUecy>?00f8jIBTWge<=>>159mHRC23gF\I?003`?kIBWge<=>>2b9mK@Yig}:;<<=l;oMF[kis89::855aOwgqhd692dDzh|cax]\ekb789::>6`@vdpoe|YXign;<=>>119mKscudhsTSb|?01225>hH~lxgmtQPos23457692dDzh|cax]\kw67898:=6`@vdpoe|YXg{:;<==>1:lLr`tkipUTc>?01625>hH~lxgmtQPos2345303gXJAAYJc:lQEHJPMVEIYK94nSCNQRCd3gXJAXYJ_N@VB1=iZHY>7c\NS078jWGT:<1e^L]<5:lQEV223gXJ_8;4nSCP2<=iZHYTCO[I4:lQAVd?1e9mV@UXff~;<=?>7:lQAVir|?1e^BCLS69mVJKD[8h0b_ABCR]LFP@13gXDAZK8;oPLIRC6j2dYC@YJ_N@VB1=i]MY37c[KS^DPFa=i]MYTJ^LPICWE<>hRLZUBCOk4nTFP[LIEWFH^JHl4nTFP[LIEg|~h7c[KS^cm`5679m1eYI]Paof34576l2d^H^Qnne23444c3g_O_Road12356bhRLZUjbi>?004g?kSC[Vkeh=>?16f8jPBTWhdo<=>>8e9mQAUXign;<=?6c:lV@VYig}:;<?0d9mRHGXWhdo<=>?1b9mRHGXWfx;<=>k;oTNEZYhz9:;<i5aVLC\[jt789:8h6`YM@]\kw6789>o7cXBA^]lv5678m1eZ@OP_np34560l2d]ALQPos2345>d3g\FMRoad1234a=i^DKTmcj?0122`>hQEHUjbi>?010g?kPJIVkeh=>?02f8jSKFWhdo<=>?4e9mRHGXign;<=>:4:lUTD>?1018jRC03g]NSK]Mc:lTAZ@TJVCIYK64nffaqljc;2xja55wc8734}j692rh58?;4ynwu467%8:37ugciozvj4>l5F;695~U>i3>?o7<;e;300g4al3;oo?jtn56:>4=i<=k1:6*;44877f=z[03189m525g9562e:on1=im<1:Q2ad<2;90<6<=;b3dg>4bd;=1X544:3184>453j;lo6<4H`28rQc5290:6<435`1ba<6lj8o7):?9;3fg>P3<109wx<4:349'a1<6801i8>l50;6a>d2=j1qC8=94$2f`>15e3S2j6>u>0;30>42=u-;n47=l8:)7af<722c3i7>5;n6:3?6=3`>>h7>5;n711?6=3`>m47>5;n6e0?6=3f3;6=44o5g7>5<5<5<>o3100;66a;5g83>>i3?90;66g;b483>>i3m;0;66g:2683>>i3nh0;66a;d183>>o>:3:17d6l:188k1342900c9;6:188m1d>2900e99j:188k1`62900c9o>:188k1de2900c8??:188k1g22900c9oj:188m04b2900c99;:188k7ea290/>4j52bg8j7?d2910c?mk:18'64j52bg8j7?d2;10c?jm:18'64j52bg8j7?d2=10c?j6:18'64j52bg8j7?d2?10c?j8:18'64j52bg8j7?d2110c?j>:18'64j52bg8j7?d2h10c?ml:18'647>5$3;g>0?56`=9b81?>o2>3:1(?7k:4;8j7?d2:10e8:50;&1=a<212d95n4;;:k67?6=,;3o6874n3;`>0=h51j0=76g:1;29 7?c2<30b?7l:698m06=83.95i4:9:l1=f5$3;g>0?56`=9b8b?>o3l3:1(?7k:4;8j7?d2k10e9m50;&1=a<212d95n4l;:k7f?6=,;3o6874n3;`>a=h51j0n76g91;29 7?c2<30b?7l:g98m36=83.95i4:9:l1=f<6821b9k4?:%0:`?3>3g82o7?>;:k6a?6=,;3o6874n3;`>44<3`?o6=4+28f91<=i:0i1=>54i4a94?"51m0>56`=9b820>=n=k0;6)<6d;7:?k4>k3;>76g:5;29 7?c2<30b?7l:048?l5f?3:1(?7k:2c5?k4>k3:07d=n4;29 7?c2:k=7c<6c;38?l5f:3:1(?7k:2c5?k4>k3807o:;0;295?6=8r.8hn4i8:J77c=O<9=0(9:8:56g?!7b13?886ai7;29 1202;>j76sm45394?7=83:p(>jl:52;?M24n2B?<:5+4559613<,8o268=;;n07`?6=,=><6?:n;:a01`=83;o6>l54gyK051<,:nh64l4Z9c95~762t.:i547>5;h6fg?6=3f;9:7>5;h71`?6=3f8?n7>5;n0ga?6=3f9?97>5;n336?6=3`8>6=4+28f961=i:0i1<65f2283>!4>l38?7c<6c;38?l45290/>4j5259m6=4?:%0:`?433g82o7=4;h3f>5<#:0n1>95a28a90>=n9m0;6)<6d;07?k4>k3?07d?l:18'64m56:9j5g<72-82h7<;;o0:g?1<3`;j6=4+28f961=i:0i1465f1883>!4>l38?7c<6c;;8?l7?290/>4j5259m65<#:0n1>95a28a9g>=n9<0;6)<6d;07?k4>k3n07d4m5e:9j6f<72-82h7<;;o0:g?`<3k>?<7>51;294~"4lj0m46F;3g9K051<,=><69:k;%3f=?34<2em;7>5$564>72f32wi8i950;796?0|,:nh6k>4H51e?M27?2.:<:4j;%3f=?34<2c297>5;h;4>5<>i51o0;66l=9g83>3<729q/8995f29j553=83.?8:4>0498m<3=83.?8:465:9j=3<72->?;779;:k0e4<72->?;7=n1:9j70d=83.?8:4<5c98k72f290/899525c8?xd3<=0;6<4?:1y'011=<=n0c?:n:18'011=:=k07p}=9g83>7}Y:0l01?7i:36b?xu>n3:1>vP6f:?1=c<68<1v4950;0xZ<1<5;3m6>;m;|q:1?6=:rT2963=9g8:1>{t0k0;6?uQ8c9>6<`=1?1vk650;0x97?a2:k:70:;4;07e>{zj;>h6=4=:183!5ck3;;46F;3g9K051<,=><6564$0g:>0533`l26=4+4559553<3f8?>7>5$564>72f3A>?:65rb265>5<5290;w)=kc;33<>N3;o1C8=94$564>=><,8o268=;;hd:>5<#<==1==;4;n076?6=,=><6?:n;I672>=zj:><6=4<:183!5ck38?;6F;3g9K051<,=><6?:9;%3f=?34<2cmm7>5$564>46232cmn7>5$564>46232e98?4?:%673?43i21vn?k?:181>5<7s-9oo7??8:J77c=O<9=0(9:8:9:8 4c>2<9?7dh6:18'011=99?07b<;2;29 1202;>j7E:;6:9~f7c629096=4?{%1gg?7702B??k5G4158 1202120(290/89951178?j43:3:1(9:8:36b?M23>21vn?k=:180>5<7s-9oo7:?;I60b>N38>1/89953g9'5`?==:>0eko50;&702<68<10ekl50;&702<68<10c?:=:18'011=:=k07pl=e283>6<729q/?im5419K06`<@=:<7):;7;1e?!7b13?886gia;29 12028:>76gib;29 12028:>76a=4383>!23?38?m65rb5f:>5<4290;w)=kc;073>N3;o1C8=94$564>7213-;n57;<4:kee?6=,=><6<>:;:kef?6=,=><6<>:;:m107<72->?;7<;a:9~f62>29096=4?{%1gg?7702B??k5G4158 1202120(290/89951178?j43:3:1(9:8:36b?M23>21vn>:n:181>5<7s-9oo7??8:J77c=O<9=0(9:8:9:8 4c>2<9?7dh6:18'011=99?07b<;2;29 1202;>j7E:;6:9~f62e29096=4?{%1gg?7702B??k5G4158 1202120(290/89951178?j43:3:1(9:8:36b?M23>21vn>:l:186>5<7s-9oo7:=;I60b>N38>1/89953g9'5`?==:>0eko50;&702<68<10ekl50;&702<68<10ekm50;&702<68<10ekj50;&702<68<10c?:=:18'011=:=k07pl>0283><<729q/?im5449K06`<@=:<7):;7;1e?!7b13?886gia;29 12028:>76gib;29 12028:>76gic;29 12028:>76gid;29 12028:>76gie;29 12028:>76gif;29 12028:>76g>0183>!23?3;;965f11394?"3<>0:<854o361>5<#<==1>9o4;|`74a<72<0;6=u+3ea907=O<:l0D9>8;%673?5a3-;n57;<4:kee?6=,=><6<>:;:kef?6=,=><6<>:;:keg?6=,=><6<>:;:ke`?6=,=><6<>:;:m107<72->?;7<;a:9~f16a29086=4?{%1gg?273A>8j6F;069'011=;o1/=h755268mcg=83.?8:4>0498mcd=83.?8:4>0498k725290/899525c8?xd3990;6>4?:1y'7ae=:==0D9=i;I633>"3<>098;5+1d;916296=4+455961g<3th?=<4?:383>5}#;mi1==64H51e?M27?2.?8:478:&2a<<2;=1bj44?:%673?77=21d>9<50;&702<5<@=9m7E:?7:&702?;7??5:9l614=83.?8:4=4`9K010<3th?=>4?:383>5}#;mi1==64H51e?M27?2.?8:478:&2a<<2;=1bj44?:%673?77=21d>9<50;&702<58;%673?5a3-;n57;<4:kee?6=,=><6<>:;:kef?6=,=><6<>:;:m107<72->?;7<;a:9~f17229086=4?{%1gg?273A>8j6F;069'011=;o1/=h755268mcg=83.?8:4>0498mcd=83.?8:4>0498k725290/899525c8?xd39?0;6>4?:1y'7ae=<91C8>h4H524?!23?39m7)?j9;700>oai3:1(9:8:026?>oaj3:1(9:8:026?>i5<;0;6):;7;07e>=zj=:n6=4;:183!5ck3>:7E:96=4+455961g<3th?ho4?:283>5}#;mi18=5G42d8L1603->?;7=i;%3f=?34<2cmm7>5$564>46232cmn7>5$564>46232e98?4?:%673?43i21vn9jl:181>5<7s-9oo7??8:J77c=O<9=0(9:8:9:8 4c>2<9?7dh6:18'011=99?07b<;2;29 1202;>j7E:;6:9~f1bc29096=4?{%1gg?7702B??k5G4158 1202120(290/89951178?j43:3:1(9:8:36b?M23>21vn9jj:180>5<7s-9oo7:?;I60b>N38>1/89953g9'5`?==:>0eko50;&702<68<10ekl50;&702<68<10c?:=:18'011=:=k07pl;dg83>6<729q/?im52558L15a3A>;;6*;468103=#9l319>:4igc94?"3<>0:<854ig`94?"3<>0:<854o361>5<#<==1>9o4;|`0f1<7200;6=u+3ea900=O<:l0D9>8;%673?5a3-;n57;<4:kee?6=,=><6<>:;:kef?6=,=><6<>:;:keg?6=,=><6<>:;:ke`?6=,=><6<>:;:kea?6=,=><6<>:;:keb?6=,=><6<>:;:k245<72->?;7??5:9j557=83.?8:4>0498k725290/899525c8?xd4jj0;6>4?:1y'7ae=:==0D9=i;I633>"3<>098;5+1d;916296=4+455961g<3th8ni4?:483>5}#;mi18?5G42d8L1603->?;7=i;%3f=?34<2cmm7>5$564>46232cmn7>5$564>46232cmo7>5$564>46232cmh7>5$564>46232e98?4?:%673?43i21vn>lj:181>5<7s-9oo7??8:J77c=O<9=0(9:8:9:8 4c>2<9?7dh6:18'011=99?07b<;2;29 1202;>j7E:;6:9~f6da29096=4?{%1gg?7702B??k5G4158 1202120(290/89951178?j43:3:1(9:8:36b?M23>21vn>m?:181>5<7s-9oo7??8:J77c=O<9=0(9:8:9:8 4c>2<9?7dh6:18'011=99?07b<;2;29 1202;>j7E:;6:9~f6e629096=4?{%1gg?7702B??k5G4158 1202120(290/89951178?j43:3:1(9:8:36b?M23>21vn>m=:181>5<7s-9oo7??8:J77c=O<9=0(9:8:9:8 4c>2<9?7dh6:18'011=99?07b<;2;29 1202;>j7E:;6:9~f6e429096=4?{%1gg?7702B??k5G4158 1202120(290/89951178?j43:3:1(9:8:36b?M23>21vn>l::181>5<7s-9oo7??8:J77c=O<9=0(9:8:9:8 4c>2<9?7dh6:18'011=99?07b<;2;29 1202;>j7E:;6:9~f6d1290>6=4?{%1gg?253A>8j6F;069'011=;o1/=h755268mcg=83.?8:4>0498mcd=83.?8:4>0498mce=83.?8:4>0498mcb=83.?8:4>0498k725290/899525c8?xd4j>0;6>4?:1y'7ae=<91C8>h4H524?!23?39m7)?j9;700>oai3:1(9:8:026?>oaj3:1(9:8:026?>i5<;0;6):;7;07e>=zj:h36=4<:183!5ck38?;6F;3g9K051<,=><6?:9;%3f=?34<2cmm7>5$564>46232cmn7>5$564>46232e98?4?:%673?43i21vn>l6:187>5<7s-9oo7:>;I60b>N38>1/89953g9'5`?==:>0eko50;&702<68<10ekl50;&702<68<10ekm50;&702<68<10c?:=:18'011=:=k07pl6<729q/?im5419K06`<@=:<7):;7;1e?!7b13?886gia;29 12028:>76gib;29 12028:>76a=4383>!23?38?m65rb2`a>5<4290;w)=kc;63?M24n2B?<:5+45597c=#9l319>:4igc94?"3<>0:<854ig`94?"3<>0:<854o361>5<#<==1>9o4;|`262<72:0;6=u+3ea9611<@=9m7E:?7:&702<50498mcd=83.?8:4>0498k725290/899525c8?xd6:j0;6?4?:1y'7ae=9920D9=i;I633>"3<>0346*>e88671=nn00;6):;7;331>=h:=81<7*;46810d=O<=<07pl>2e83>0<729q/?im5439K06`<@=:<7):;7;1e?!7b13?886gia;29 12028:>76gib;29 12028:>76gic;29 12028:>76gid;29 12028:>76a=4383>!23?38?m65rb00f>5<4290;w)=kc;63?M24n2B?<:5+45597c=#9l319>:4igc94?"3<>0:<854ig`94?"3<>0:<854o361>5<#<==1>9o4;|`26c<72:0;6=u+3ea9611<@=9m7E:?7:&702<50498mcd=83.?8:4>0498k725290/899525c8?xd6;90;6?4?:1y'7ae=9920D9=i;I633>"3<>0346*>e88671=nn00;6):;7;331>=h:=81<7*;46810d=O<=<07pl>3083>6<729q/?im52558L15a3A>;;6*;468103=#9l319>:4igc94?"3<>0:<854ig`94?"3<>0:<854o361>5<#<==1>9o4;|`277<72:0;6=u+3ea905=O<:l0D9>8;%673?5a3-;n57;<4:kee?6=,=><6<>:;:kef?6=,=><6<>:;:m107<72->?;7<;a:9~f45429086=4?{%1gg?273A>8j6F;069'011=;o1/=h755268mcg=83.?8:4>0498mcd=83.?8:4>0498k725290/899525c8?xd6:10;6>4?:1y'7ae=<91C8>h4H524?!23?39m7)?j9;700>oai3:1(9:8:026?>oaj3:1(9:8:026?>i5<;0;6):;7;07e>=zj8826=4<:183!5ck38?;6F;3g9K051<,=><6?:9;%3f=?34<2cmm7>5$564>46232cmn7>5$564>46232e98?4?:%673?43i21vn<5<7s-9oo7:?;I60b>N38>1/89953g9'5`?==:>0eko50;&702<68<10ekl50;&702<68<10c?:=:18'011=:=k07pl>2c83>6<729q/?im52558L15a3A>;;6*;468103=#9l319>:4igc94?"3<>0:<854ig`94?"3<>0:<854o361>5<#<==1>9o4;|q21?6=;rT:963;4jl0m56s|1783>6}Y9?16?oj5fc9>7g`=n01v<950;1xZ41<5:ho6km4=2a3>c?9;291~X6127?==4ib:?0fa1jo5rs0`94?3|V8h01<><:gc8916c2oh01>l;:ga8944c2ok0q~?l:186[7d34;;?7hm;<63`?`f349i87hk;<31`?`e3ty:h7>55z\2`>;68:0mo63;0e8eg>;4j=0mj63>2e8eg>{t9l0;68uQ1d9>555=nm168=j5fe9>7g2=nl16=?j5fe9~w76=83=pR?>4=26:>c?<58:86kk4=52e>cg<5:h?6<>?;<313?`e34;9i7hn;|q16?6=?rT9>63<4`8e=>;68:0mj63;0g8ef>;4j=0:<<521359bd=:9;o1jo5rs3194?1|V;901?k?:g;8962d2ok01<><:023?82693l270=l2;d:?874;3lj7p}=5;293~X5=279i<4i9:?00g4>009>044=n016?n=5f89>57?=nh1v?m50;0xZ7e<5:hh6ko4}r0g>5<5sW8o70=mc;da?xu?:3:18vP72:?7`<5<5sW;;>63>028107=z{88=6=4={_312>;6:k098?5rs004>5<5s4;9;7<;2:?274970?=a;da?xu6:00;6?u213;9614<588i6ko4}r31e?6=:r7:>l4=439>57d=nk1v<63>308ef>{t9;n1<772534;9m7hn;|q26`<72;q6=?k52508944?2ok0q~?=f;296~;6:o098?521209bd=z{89;6=4={<304?43:27:??4ib:p567=838p1<=>:361?874;3li7p}>3383>7}:9:81>9<4=00;>cd52z?276<5<;16=?75fc9~w43d2909wS?:c:?74`<5<;1v?=j:18182383l<70:k7;;4?xu597p}=dd83>7}Y:mo01?k<:361?xu5lo0;6>uQ2ed897c52;>9706`4=nk1v>:::181[53=2788:4=439~w6212909w0=;6;076>;4<>0mn6s|35:94?2|V:>370=;7;db?853k38?>63;dc8ef>{t;=31<7725349?o7hm;|q00d<72;q6?9o52508962d2oi0q~=;b;296~;4;4jk098?5rs2`7>5<5s49i87<;2:?0fg970=ma;da?xu4j?0;6?u23c49614<5:h36kl4}r1a3?6=:r78n:4=439>7g?=nj1v>l7:18185e038?>63{t;k31<7725349im7hn;|q0fd<72;q6?oo5250896de2oh0q~=mc;296~;4jj098?523c;9bg=z{:ho6=4={<1a`?43:278n54ia:p7gc=838p1>lj:361?85e>3lj7p}7}:;kl1>9<4=2`5>cd52z?0g5<5<;16?o85fb9~w6e62909w0=l1;076>;4j?0mh6s|3b094?4|5:i96?:=;<1a3?`f3ty8o>4?:3y>7f5=:=801>l8:g`8yv27l3:1>v3;0e8107=:<9o1jo5rs52e>5<5s4>;j7<;2:?75350;0x91772;>970:>4;db?xu3980;6?u24039614<5=;?6kl4}r626?6=:r7?=?4=439>043=nh1v9?<:181826;38?>63;148ef>{t<8>1<772534>::7hm;|q750<72;q68<;52508916b2oi0q~:>6;296~;39?098?5241g9bd=z{=n<6=4:{<6g3?4>n2798n4i9:?0034ib:?26f9<4}r6gf?6=:r7?ho4=439>0a`=nh1v9jl:18182ck38?>63;dd8ee>{t72534>oi7hm;|q7``<72;q68ik5250891ba2oh0q~:jc;297~X3mj168i75f`9>0ab=n01v84i9:?0f07s+1d:97f>>o31?0;66a:0883>>o3=j0;66a;f583>>i3m=0;66g=dg83>>i30h0;66g72;29?l5>j3:17b:k0;29?l2e03:17d=7f;29?l35l3:17d:i7;29?l4cm3:17b:i1;29?l53=3:17bk3;07bk3907bk3?07bk3=07bk3307bk3h07d<=:18'64m50:9j65<72-82h7<>;o0:g?7<3`;n6=4+28f964=i:0i1>65f1e83>!4>l38:7c<6c;18?l7d290/>4j5209m65<#:0n1><5a28a92>=n900;6)<6d;02?k4>k3=07d?7:18'64m58:9j52<72-82h7<>;o0:g??<3`;=6=4+28f964=i:0i1m65f1483>!4>l38:7c<6c;`8?l4c290/>4j5209m6n4?:%0:`?463g82o7j4;h0a>5<#:0n1><5a28a9a>=n:h0;6)<6d;02?k4>k3l07d<6:18'64m51198m7>=83.95i4=1:l1=f<6921b>:4?:%0:`?463g82o7?=;:k12?6=,;3o6??4n3;`>45<3`;m6=4+28f964=i:0i1=954b563>5<6290;w)=kc;d;?M24n2B?<:5+455901b<,8o268=;;nd4>5<#<==1>9o4;|`0<0<72<096;u+3ea9b5=O<:l0D9>8;%3f=?34<2c297>5;h;4>5<>i51o0;66l=9g83>3<729q/8995f29j553=83.?8:4>0498m<3=83.?8:465:9j=3<72->?;779;:k0e4<72->?;7=n1:9j70d=83.?8:4<5c98k72f290/899525c8?xd3<=0;6<4?:1y'011=<=n0c?:n:18'011=:=k07p}=9g83>7}Y:0l01?7i:36b?xu>n3:1>vP6f:?1=c<68<1v4950;0xZ<1<5;3m6>;m;|q:1?6=:rT2963=9g8:1>{t0k0;6?uQ8c9>6<`=1?1vk650;0x97?a2:k:70:;4;07e>{zj;o?6=4<:387!5ck3on7E::4i9`94?=n1o0;66a=9g83>>d51o0;684=:7y'7ae=n81/=h755268k7?a2900e?7j:188m<`=831b5:4?::k;f?6=3kl:6=49:183!23?3l87d??5;29 12028:>76g65;29 12020?07d79:18'011=1?10e>o>:18'011=;h;07d=:b;29 1202:?i76a=4`83>!23?38?m65rb561>5<6290;w):;7;071>i5=z{0l1<7e34l:6484}r;4>5<5sW3<70h>:27a?xu51l0;6?uQ28g89c7=;h;0q~<6f;296~X51o16j<4=4`9~w16?2909w0h>:87891252;>o7psm2;295?6=8r.?<;46;%1gg?`?3->?;7:;d:&2a<<2;=1dj:4?:%673?43i21v?7i:181[4>n2795k4=9g9~w<`=838pR4h4=3;e><`n32i7p}::18084>n382i63=9g8:3>;52o=0(9>9:b9~yg2c83:1?7<54z&0`f2<9?7d6m:188m<`=831d>4h50;9a6<`=83?1>78t$2f`>c7<,8o268=;;n0:b?6=3`82i7>5;h;e>5<>da93:1:7>50z&7025$564><0<3`9j=7>5$564>6g632c89o4?:%673?52j21d>9o50;&702<54623ty3n7>52z\;f>;a933=7p}67;296~X>?27m=7=:b:p66g63ty95k4?:3y]6<`<5o;1>9o4}r635}#<9<156*"3<>0?8i5+1d;916252z\1=c=::0l1>4h4}r;e>5<5sW3m70<6f;;e?xu?j3:1>vP7b:?1=c53z?1=c<51l16>4h5969>6?`03->;:7m4}|`b5?6=;3818v*N3;o1C8=94$0g:>0533`2i6=44i8d94?=h:0l1<75m28d94?3=:3jl:g38 4c>2<9?7b<6f;29?l4>m3:17d7i:188m<1=831b4o4?::`e5?6=>3:1o68<0;6):;7;331>=n1<0;6):;7;;6?>o>>3:1(9:8:848?l5f93:1(9:8:2c2?>o4=k0;6):;7;16f>=h:=k1<7*;46810d=?>7>51;294~"3<>09885`25f94?"3<>098l54}r;e>5<5sW3m70h>:026?xu?j3:1>vP7b:?e5??13ty2;7>52z\:3>;a939>n6s|28g94?4|V;3n70h>:2c2?xu51o0;6?uQ28d89c7=:=k0q~:?8;296~;a933>70:;2;07`>{zj;0;6<4?:1y'050=12.8hn4i8:&702<3n3:1>vP=9g9>6<`=:0l0q~7i:181[?a3482j77i;|q;f?6=:rT3n63=9g8;f>{t=3:1?v3=9g81=`=::0l15:522;d4?!27>3i0qpl=ee83>6<52=q/?im5ed9K06`<@=:<7)??7;g8 4c>2<9?7d6m:188m<`=831d>4h50;9a6<`=83?1>78t$2f`>c7<,8o268=;;n0:b?6=3`82i7>5;h;e>5<>da93:1:7>50z&7025$564><0<3`9j=7>5$564>6g632c89o4?:%673?52j21d>9o50;&702<54623ty3n7>52z\;f>;a933=7p}67;296~X>?27m=7=:b:p66g63ty95k4?:3y]6<`<5o;1>9o4}r635}#<9<156*"3<>0?8i5+1d;916252z\1=c=::0l1>4h4}r;e>5<5sW3m70<6f;;e?xu?j3:1>vP7b:?1=c53z?1=c<51l16>4h5969>6?`03->;:7m4}|`1bc<72:0969u+3ea9a`=O<:l0D9>8;%333?c<,8o268=;;h:a>5<n3:17d<6e;29?l?a2900e4950;9j56;294~"3<>0m?6g>0483>!23?3;;965f9483>!23?33>76g66;29 12020<07d=n1;29 1202:k:76g<5c83>!23?39>n65`25c94?"3<>098l54}c676?6=93:17p}7b;296~X?j27m=779;|q:3?6=:rT2;63i1;16f>{t:0o1<77}Y:0l01k?525c8yv2703:1>v3i1;;6?823:38?h6srb383>4<729q/8=859:&0`f0ck950;&702<54h528d8yv?a2909wS7i;<0:b??a3ty3n7>52z\;f>;51o03n6s|5;297~;51o095h5228d9=2=::3l<7):?6;a8yxd5n<0;6>4=:5y'7ae=ml1C8>h4H524?!77?3o0(e2900e4h50;9l6<`=831i>4h50;796?0|,:nh6k?4$0g:>0533f82j7>5;h0:a?6=3`3m6=44i8594?=n0k0;66li1;292?6=8r.?8:4i3:k240<72->?;7??5:9j=0<72->?;77:;:k:2?6=,=><6484;h1b5?6=,=><6>o>;:k01g<72->?;7=:b:9l61g=83.?8:4=4`98yg23:3:1=7>50z&702<5<<1d>9j50;&702<5:;|q;f?6=:rT3n63i1;;5?xu>?3:1>vP67:?e5?52j2wx>4k50;0xZ7?b34l:6>o>;|q1=c<72;qU>4h4=g3961g;47>52z?e5??234>?>7<;d:~f7<7280;6=u+4149=>"4lj0m46*;46870a=#9l319>:4og594?"3<>098l54}r0:b?6=:rT95k5228d96<`n33m7p}7b;296~X?j2795k47b:p1?6=;r795k4=9d9>6<`=1>16>7h8;%632?e1}#;mi1ih5G42d8L1603-;;;7k4$0g:>0533`2i6=44i8d94?=h:0l1<75m28d94?3=:3jl:g38 4c>2<9?7b<6f;29?l4>m3:17d7i:188m<1=831b4o4?::`e5?6=>3:1o68<0;6):;7;331>=n1<0;6):;7;;6?>o>>3:1(9:8:848?l5f93:1(9:8:2c2?>o4=k0;6):;7;16f>=h:=k1<7*;46810d=?>7>51;294~"3<>09885`25f94?"3<>098l54}r;e>5<5sW3m70h>:026?xu?j3:1>vP7b:?e5??13ty2;7>52z\:3>;a939>n6s|28g94?4|V;3n70h>:2c2?xu51o0;6?uQ28d89c7=:=k0q~:?8;296~;a933>70:;2;07`>{zj;0;6<4?:1y'050=12.8hn4i8:&702<3n3:1>vP=9g9>6<`=:0l0q~7i:181[?a3482j77i;|q;f?6=:rT3n63=9g8;f>{t=3:1?v3=9g81=`=::0l15:522;d4?!27>3i0qpl;8`83>6<52=q/?im5ed9K06`<@=:<7)?j9;700>o?j3:17d7i:188k7?a2900n?7i:186>7<1s-9oo7h>;%3f=?34<2e95k4?::k1=`<722c2j7>5;h;4>5<3<729q/8995f29j553=83.?8:4>0498m<3=83.?8:465:9j=3<72->?;779;:k0e4<72->?;7=n1:9j70d=83.?8:4<5c98k72f290/899525c8?xd3<;0;6<4?:1y'011=:=?0c?:k:18'011=:=k07p}6f;296~X>n27m=7??5:p{t1>0;6?uQ969>b4<4=k1v?7j:181[4>m27m=7=n1:p6<`=838pR?7i;72f3ty?<54?:3y>b4<>=27?8?4=4e9~yg4=83;1<7>t$525><=#;mi1j55+455901b<,8o268=;;nd4>5<#<==1>9o4;|q1=c<72;qU>4h4=3;e>7?a3ty2j7>52z\:b>;51o02j6s|8c83>7}Y0k16>4h58c9~w0<72:q6>4h528g897?a20=01?4i7:&7435;h;e>5<5<22;0=w)=kc;d2?!7b13?886a=9g83>>o51l0;66g6f;29?l?02900e5l50;9ab4<72?0;6=u+4559b6=n99?1<7*;468240==n1?0;6):;7;;5?>o4i80;6):;7;1b5>=n;j6=4+455961g<3th?8?4?:083>5}#<==1>9;4o36g>5<#<==1>9o4;|q:b?6=:rT2j63i1;331>{t0k0;6?uQ8c9>b4<>>2wx5:4?:3y]=2=:n8089o5rs3;f>5<5sW82i63i1;1b5>{t:0l1<7j7p};0983>7}:n802963;43810a=zuk81<7?50;2x 161201/?im5f99'011=<=n0(n2795k46f:pu228d96"38?0h7psm34f94?5=:3>p(>jl:dg8L15a3A>;;6*>068f?!7b13?886g7b;29?l?a2900c?7i:188f7?a290>6?49{%1gg?`63-;n57;<4:m1=c<722c95h4?::k:b?6=3`3<6=44i9`94?=en80;6;4?:1y'011=n:1b==;50;&702<68<10e4;50;&702<>=21b5;4?:%673??132c8m<4?:%673?5f921b?8l50;&702<4=k10c?:n:18'011=:=k07pl;4383>4<729q/89952578k72c290/899525c8?xu>n3:1>vP6f:?e5?77=2wx4o4?:3y]7}Y1>16j<4<5c9~w7?b2909wS<6e:?e5?5f92wx>4h50;0xZ7?a34l:6?:n;|q74=<72;q6j<465:?707<50533fl<6=4+455961g<3ty95k4?:3y]6<`<5;3m6?7i;|q:b?6=:rT2j63=9g8:b>{t0k0;6?uQ8c9>6<`=0k1v84?:2y>6<`=:0o01?7i:858977:t$2f`>`c<@=9m7E:?7:&2425<i51o0;66g=9d83>>o>n3:17d78:188m=d=831ij<4?:783>5}#<==1j>5f11794?"3<>0:<854i8794?"3<>02965f9783>!23?33=76g!23?39j=65f34`94?"3<>089o54o36b>5<#<==1>9o4;|`707<7280;6=u+4559613o6=4+455961g<3ty2j7>52z\:b>;a93;;96s|8c83>7}Y0k16j<466:p=2<72;qU5:52f0801g=z{;3n6=4={_0:a>;a939j=6s|28d94?4|V;3m70h>:36b?xu3810;6?u2f08:1>;3<;098i5r}c094?7=83:p(9>9:89'7ae=n11/899545f8 4c>2<9?7bh8:18'011=:=k07p}=9g83>7}Y:0l01?7i:3;e?xu>n3:1>vP6f:?1=c<>n2wx4o4?:3y]6}::0l1>4k4=3;e><1<5;0m;6*;078`?x{e;"68>0n7)?j9;700>o?j3:17d7i:188k7?a2900n?7i:186>7<1s-9oo7h>;%3f=?34<2e95k4?::k1=`<722c2j7>5;h;4>5<3<729q/8995f29j553=83.?8:4>0498m<3=83.?8:465:9j=3<72->?;779;:k0e4<72->?;7=n1:9j70d=83.?8:4<5c98k72f290/899525c8?xd3<;0;6<4?:1y'011=:=?0c?:k:18'011=:=k07p}6f;296~X>n27m=7??5:p{t1>0;6?uQ969>b4<4=k1v?7j:181[4>m27m=7=n1:p6<`=838pR?7i;72f3ty?<54?:3y>b4<>=27?8?4=4e9~yg4=83;1<7>t$525><=#;mi1j55+455901b<,8o268=;;nd4>5<#<==1>9o4;|q1=c<72;qU>4h4=3;e>7?a3ty2j7>52z\:b>;51o02j6s|8c83>7}Y0k16>4h58c9~w0<72:q6>4h528g897?a20=01?4i7:&7435;h;e>5<5<22;0=w)=kc;d2?!7b13?886a=9g83>>o51l0;66g6f;29?l?02900e5l50;9ab4<72?0;6=u+4559b6=n99?1<7*;468240==n1?0;6):;7;;5?>o4i80;6):;7;1b5>=n;j6=4+455961g<3th?8?4?:083>5}#<==1>9;4o36g>5<#<==1>9o4;|q:b?6=:rT2j63i1;331>{t0k0;6?uQ8c9>b4<>>2wx5:4?:3y]=2=:n8089o5rs3;f>5<5sW82i63i1;1b5>{t:0l1<7j7p};0983>7}:n802963;43810a=zuk81<7?50;2x 161201/?im5f99'011=<=n0(n2795k46f:pu228d96"38?0h7psm37594?5=:3>p(>jl:dg8L15a3A>;;6*>068f?!7b13?886g7b;29?l?a2900c?7i:188f7?a290>6?49{%1gg?`63-;n57;<4:m1=c<722c95h4?::k:b?6=3`3<6=44i9`94?=en80;6;4?:1y'011=n:1b==;50;&702<68<10e4;50;&702<>=21b5;4?:%673??132c8m<4?:%673?5f921b?8l50;&702<4=k10c?:n:18'011=:=k07pl;4383>4<729q/89952578k72c290/899525c8?xu>n3:1>vP6f:?e5?77=2wx4o4?:3y]7}Y1>16j<4<5c9~w7?b2909wS<6e:?e5?5f92wx>4h50;0xZ7?a34l:6?:n;|q74=<72;q6j<465:?707<50533fl<6=4+455961g<3ty95k4?:3y]6<`<5;3m6?7i;|q:b?6=:rT2j63=9g8:b>{t0k0;6?uQ8c9>6<`=0k1v84?:2y>6<`=:0o01?7i:858977:t$2f`>`c<@=9m7E:?7:&2425<i51o0;66g=9d83>>o>n3:17d78:188m=d=831ij<4?:783>5}#<==1j>5f11794?"3<>0:<854i8794?"3<>02965f9783>!23?33=76g!23?39j=65f34`94?"3<>089o54o36b>5<#<==1>9o4;|`707<7280;6=u+4559613o6=4+455961g<3ty2j7>52z\:b>;a93;;96s|8c83>7}Y0k16j<466:p=2<72;qU5:52f0801g=z{;3n6=4={_0:a>;a939j=6s|28d94?4|V;3m70h>:36b?xu3810;6?u2f08:1>;3<;098i5r}c094?7=83:p(9>9:89'7ae=n11/899545f8 4c>2<9?7bh8:18'011=:=k07p}=9g83>7}Y:0l01?7i:3;e?xu>n3:1>vP6f:?1=c<>n2wx4o4?:3y]6}::0l1>4k4=3;e><1<5;0m;6*;078`?x{e;>;1<7=52;6x 6bd2lo0D9=i;I633>"68>0m7)?j9;700>o?j3:17d7i:188k7?a2900n?7i:186>7<1s-9oo7h>;%3f=?34<2e95k4?::k1=`<722c2j7>5;h;4>5<3<729q/8995f29j553=83.?8:4>0498m<3=83.?8:465:9j=3<72->?;779;:k0e4<72->?;7=n1:9j70d=83.?8:4<5c98k72f290/899525c8?xd3<;0;6<4?:1y'011=:=?0c?:k:18'011=:=k07p}6f;296~X>n27m=7??5:p{t1>0;6?uQ969>b4<4=k1v?7j:181[4>m27m=7=n1:p6<`=838pR?7i;72f3ty?<54?:3y>b4<>=27?8?4=4e9~yg4=83;1<7>t$525><=#;mi1j55+455901b<,8o268=;;nd4>5<#<==1>9o4;|q1=c<72;qU>4h4=3;e>7?a3ty2j7>52z\:b>;51o02j6s|8c83>7}Y0k16>4h58c9~w0<72:q6>4h528g897?a20=01?4i7:&7437;I60b>N38>1/8995899'5`?==:>0ek750;&702<68<10c?:=:18'011=:=k0D9:9;:a15g=8381<7>t$2f`>46?3A>8j6F;069'011=011/=h755268mc?=83.?8:4>0498k725290/899525c8L12132wi8nl50;094?6|,:nh6<>7;I60b>N38>1/8995899'5`?==:>0ek750;&702<68<10c?:=:18'011=:=k0D9:9;:a7t$2f`>46?3A>8j6F;069'011=011/=h755268mc?=83.?8:4>0498k725290/899525c8L12132wi?4o50;194?6|,:nh69>4H51e?M27?2.?8:49<50;&702<55<7s-9oo7??8:J77c=O<9=0(9:8:9:8 4c>2<9?7dh6:18'011=99?07b<;2;29 1202;>j7E:;6:9~f60629086=4?{%1gg?273A>8j6F;069'011=;o1/=h755268mcg=83.?8:4>0498mcd=83.?8:4>0498k725290/899525c8?xd5nl0;6?4?:1y'7ae=9920D9=i;I633>"3<>0346*>e88671=nn00;6):;7;331>=h:=81<7*;46810d=O<=<07pl;f483>6<729q/?im52558L15a3A>;;6*;468103=#9l319>:4igc94?"3<>0:<854ig`94?"3<>0:<854o361>5<#<==1>9o4;|`0<2<72:0;6=u+3ea9611<@=9m7E:?7:&702<50498mcd=83.?8:4>0498k725290/899525c8?xd5n80;6>4?:1y'7ae=<91C8>h4H524?!23?39m7)?j9;700>oai3:1(9:8:026?>oaj3:1(9:8:026?>i5<;0;6):;7;07e>=zj;l96=4=:183!5ck3;;46F;3g9K051<,=><6564$0g:>0533`l26=4+4559553<3f8?>7>5$564>72f3A>?:65rb3d0>5<4290;w)=kc;63?M24n2B?<:5+45597c=#9l319>:4igc94?"3<>0:<854ig`94?"3<>0:<854o361>5<#<==1>9o4;|`1b1<72:0;6=u+3ea9611<@=9m7E:?7:&702<50498mcd=83.?8:4>0498k725290/899525c8?xd4880;6>4?:1y'7ae=<91C8>h4H524?!23?39m7)?j9;700>oai3:1(9:8:026?>oaj3:1(9:8:026?>i5<;0;6):;7;07e>=zj::96=4<:183!5ck38?;6F;3g9K051<,=><6?:9;%3f=?34<2cmm7>5$564>46232cmn7>5$564>46232e98?4?:%673?43i21vn>><:180>5<7s-9oo7<;7:J77c=O<9=0(9:8:365?!7b13?886gia;29 12028:>76gib;29 12028:>76a=4383>!23?38?m65rb227>5<4290;w)=kc;63?M24n2B?<:5+45597c=#9l319>:4igc94?"3<>0:<854ig`94?"3<>0:<854o361>5<#<==1>9o4;|`040<72:0;6=u+3ea9611<@=9m7E:?7:&702<50498mcd=83.?8:4>0498k725290/899525c8?xd5n>0;6?4?:1y'7ae=9920D9=i;I633>"3<>0346*>e88671=nn00;6):;7;331>=h:=81<7*;46810d=O<=<07pl=f983>7<729q/?im511:8L15a3A>;;6*;468;<>"6m00>?95ff883>!23?3;;965`25094?"3<>098l5G4548?xd5n00;6>4?:1y'7ae=<91C8>h4H524?!23?39m7)?j9;700>oai3:1(9:8:026?>oaj3:1(9:8:026?>i5<;0;6):;7;07e>=zj;lj6=4<:183!5ck3>;7E:96=4+455961g<3th9jo4?:583>5}#;mi1>964H51e?M27?2.?8:4=479'5`?==:>0eko50;&702<68<10ekl50;&702<68<10ekm50;&702<68<10c?:=:18'011=:=k07pl=e783>6<729q/?im52558L15a3A>;;6*;468103=#9l319>:4igc94?"3<>0:<854ig`94?"3<>0:<854o361>5<#<==1>9o4;|`1a2<72;0;6=u+3ea955><@=9m7E:?7:&702?;7??5:9l614=83.?8:4=4`9K010<3th9i54?:383>5}#;mi1==64H51e?M27?2.?8:478:&2a<<2;=1bj44?:%673?77=21d>9<50;&702<58;%673?5a3-;n57;<4:kee?6=,=><6<>:;:kef?6=,=><6<>:;:m107<72->?;7<;a:9~f7cf29086=4?{%1gg?273A>8j6F;069'011=;o1/=h755268mcg=83.?8:4>0498mcd=83.?8:4>0498k725290/899525c8?xd5mk0;6>4?:1y'7ae=:==0D9=i;I633>"3<>098;5+1d;916296=4+455961g<3th9in4?:583>5}#;mi1>964H51e?M27?2.?8:4=479'5`?==:>0eko50;&702<68<10ekl50;&702<68<10ekm50;&702<68<10c?:=:18'011=:=k07pl;8e83>6<729q/?im52558L15a3A>;;6*;468103=#9l319>:4igc94?"3<>0:<854ig`94?"3<>0:<854o361>5<#<==1>9o4;|`7<`<72:0;6=u+3ea905=O<:l0D9>8;%673?5a3-;n57;<4:kee?6=,=><6<>:;:kef?6=,=><6<>:;:m107<72->?;7<;a:9~f1>a29096=4?{%1gg?7702B??k5G4158 1202120(290/89951178?j43:3:1(9:8:36b?M23>21vn97?:180>5<7s-9oo7<;7:J77c=O<9=0(9:8:365?!7b13?886gia;29 12028:>76gib;29 12028:>76a=4383>!23?38?m65rb5;2>5<5290;w)=kc;33<>N3;o1C8=94$564>=><,8o268=;;hd:>5<#<==1==;4;n076?6=,=><6?:n;I672>=zj=396=4<:183!5ck38?;6F;3g9K051<,=><6?:9;%3f=?34<2cmm7>5$564>46232cmn7>5$564>46232e98?4?:%673?43i21vn97<:180>5<7s-9oo7:?;I60b>N38>1/89953g9'5`?==:>0eko50;&702<68<10ekl50;&702<68<10c?:=:18'011=:=k07pl;9583>6<729q/?im5419K06`<@=:<7):;7;1e?!7b13?886gia;29 12028:>76gib;29 12028:>76a=4383>!23?38?m65rb5;6>5<2290;w)=kc;07=>N3;o1C8=94$564>7213-;n57;<4:kee?6=,=><6<>:;:kef?6=,=><6<>:;:keg?6=,=><6<>:;:ke`?6=,=><6<>:;:m107<72->?;7<;a:9~f06d29096=4?{%1gg?7702B??k5G4158 1202120(290/89951178?j43:3:1(9:8:36b?M23>21vn8>k:181>5<7s-9oo7??8:J77c=O<9=0(9:8:9:8 4c>2<9?7dh6:18'011=99?07b<;2;29 1202;>j7E:;6:9~f06b290?6=4?{%1gg?263A>8j6F;069'011=;o1/=h755268mcg=83.?8:4>0498mcd=83.?8:4>0498mce=83.?8:4>0498k725290/899525c8?xd3km0;6?4?:1y'7ae=9920D9=i;I633>"3<>0346*>e88671=nn00;6):;7;331>=h:=81<7*;46810d=O<=<07pl;cd83>7<729q/?im511:8L15a3A>;;6*;468;<>"6m00>?95ff883>!23?3;;965`25094?"3<>098l5G4548?xd3ko0;694?:1y'7ae=<81C8>h4H524?!23?39m7)?j9;700>oai3:1(9:8:026?>oaj3:1(9:8:026?>oak3:1(9:8:026?>i5<;0;6):;7;07e>=zj;om6=4;:183!5ck3>:7E:96=4+455961g<3th8<54?:283>5}#;mi18=5G42d8L1603->?;7=i;%3f=?34<2cmm7>5$564>46232cmn7>5$564>46232e98?4?:%673?43i21vn>>6:181>5<7s-9oo7??8:J77c=O<9=0(9:8:9:8 4c>2<9?7dh6:18'011=99?07b<;2;29 1202;>j7E:;6:9~f66f29086=4?{%1gg?273A>8j6F;069'011=;o1/=h755268mcg=83.?8:4>0498mcd=83.?8:4>0498k725290/899525c8?xd48k0;6>4?:1y'7ae=:==0D9=i;I633>"3<>098;5+1d;916296=4+455961g<3th8=84?:283>5}#;mi18=5G42d8L1603->?;7=i;%3f=?34<2cmm7>5$564>46232cmn7>5$564>46232e98?4?:%673?43i21vn>?9:181>5<7s-9oo7??8:J77c=O<9=0(9:8:9:8 4c>2<9?7dh6:18'011=99?07b<;2;29 1202;>j7E:;6:9~f67029086=4?{%1gg?273A>8j6F;069'011=;o1/=h755268mcg=83.?8:4>0498mcd=83.?8:4>0498k725290/899525c8?xd4910;6>4?:1y'7ae=:==0D9=i;I633>"3<>098;5+1d;916296=4+455961g<3th8>?4?:283>5}#;mi18=5G42d8L1603->?;7=i;%3f=?34<2cmm7>5$564>46232cmn7>5$564>46232e98?4?:%673?43i21vn><<:181>5<7s-9oo7??8:J77c=O<9=0(9:8:9:8 4c>2<9?7dh6:18'011=99?07b<;2;29 1202;>j7E:;6:9~f64329086=4?{%1gg?273A>8j6F;069'011=;o1/=h755268mcg=83.?8:4>0498mcd=83.?8:4>0498k725290/899525c8?xd4:<0;6>4?:1y'7ae=:==0D9=i;I633>"3<>098;5+1d;916296=4+455961g<3th8>54?:283>5}#;mi18=5G42d8L1603->?;7=i;%3f=?34<2cmm7>5$564>46232cmn7>5$564>46232e98?4?:%673?43i21vn><6:181>5<7s-9oo7??8:J77c=O<9=0(9:8:9:8 4c>2<9?7dh6:18'011=99?07b<;2;29 1202;>j7E:;6:9~f64f29086=4?{%1gg?273A>8j6F;069'011=;o1/=h755268mcg=83.?8:4>0498mcd=83.?8:4>0498k725290/899525c8?xd4:k0;6>4?:1y'7ae=:==0D9=i;I633>"3<>098;5+1d;916296=4+455961g<3th8>h4?:283>5}#;mi18=5G42d8L1603->?;7=i;%3f=?34<2cmm7>5$564>46232cmn7>5$564>46232e98?4?:%673?43i21vn>5<7s-9oo7??8:J77c=O<9=0(9:8:9:8 4c>2<9?7dh6:18'011=99?07b<;2;29 1202;>j7E:;6:9~f65729086=4?{%1gg?273A>8j6F;069'011=;o1/=h755268mcg=83.?8:4>0498mcd=83.?8:4>0498k725290/899525c8?xd4;80;6>4?:1y'7ae=:==0D9=i;I633>"3<>098;5+1d;916296=4+455961g<3th8?94?:283>5}#;mi18=5G42d8L1603->?;7=i;%3f=?34<2cmm7>5$564>46232cmn7>5$564>46232e98?4?:%673?43i21vn>=::181>5<7s-9oo7??8:J77c=O<9=0(9:8:9:8 4c>2<9?7dh6:18'011=99?07b<;2;29 1202;>j7E:;6:9~f65129086=4?{%1gg?273A>8j6F;069'011=;o1/=h755268mcg=83.?8:4>0498mcd=83.?8:4>0498k725290/899525c8?xd4;>0;6>4?:1y'7ae=:==0D9=i;I633>"3<>098;5+1d;916296=4+455961g<3th8?l4?:283>5}#;mi18=5G42d8L1603->?;7=i;%3f=?34<2cmm7>5$564>46232cmn7>5$564>46232e98?4?:%673?43i21vn>=m:181>5<7s-9oo7??8:J77c=O<9=0(9:8:9:8 4c>2<9?7dh6:18'011=99?07b<;2;29 1202;>j7E:;6:9~f65d29086=4?{%1gg?273A>8j6F;069'011=;o1/=h755268mcg=83.?8:4>0498mcd=83.?8:4>0498k725290/899525c8?xd4;m0;6>4?:1y'7ae=:==0D9=i;I633>"3<>098;5+1d;916296=4+455961g<3th88=4?:283>5}#;mi18=5G42d8L1603->?;7=i;%3f=?34<2cmm7>5$564>46232cmn7>5$564>46232e98?4?:%673?43i21vn>:>:181>5<7s-9oo7??8:J77c=O<9=0(9:8:9:8 4c>2<9?7dh6:18'011=99?07b<;2;29 1202;>j7E:;6:9~f62529086=4?{%1gg?273A>8j6F;069'011=;o1/=h755268mcg=83.?8:4>0498mcd=83.?8:4>0498k725290/899525c8?xd4<:0;6>4?:1y'7ae=:==0D9=i;I633>"3<>098;5+1d;916296=4+455961g<3th8=o4?:283>5}#;mi18=5G42d8L1603->?;7=i;%3f=?34<2cmm7>5$564>46232cmn7>5$564>46232e98?4?:%673?43i21vn>?l:181>5<7s-9oo7??8:J77c=O<9=0(9:8:9:8 4c>2<9?7dh6:18'011=99?07b<;2;29 1202;>j7E:;6:9~f67c29086=4?{%1gg?273A>8j6F;069'011=;o1/=h755268mcg=83.?8:4>0498mcd=83.?8:4>0498k725290/899525c8?xd49l0;6>4?:1y'7ae=:==0D9=i;I633>"3<>098;5+1d;916296=4+455961g<3th85}#;mi18=5G42d8L1603->?;7=i;%3f=?34<2cmm7>5$564>46232cmn7>5$564>46232e98?4?:%673?43i21vn>>i:181>5<7s-9oo7??8:J77c=O<9=0(9:8:9:8 4c>2<9?7dh6:18'011=99?07b<;2;29 1202;>j7E:;6:9~f67729086=4?{%1gg?273A>8j6F;069'011=;o1/=h755268mcg=83.?8:4>0498mcd=83.?8:4>0498k725290/899525c8?xd4980;6>4?:1y'7ae=:==0D9=i;I633>"3<>098;5+1d;916296=4+455961g<3th9h>4?:283>5}#;mi18=5G42d8L1603->?;7=i;%3f=?34<2cmm7>5$564>46232cmn7>5$564>46232e98?4?:%673?43i21vn?j;:181>5<7s-9oo7??8:J77c=O<9=0(9:8:9:8 4c>2<9?7dh6:18'011=99?07b<;2;29 1202;>j7E:;6:9~f7b229086=4?{%1gg?273A>8j6F;069'011=;o1/=h755268mcg=83.?8:4>0498mcd=83.?8:4>0498k725290/899525c8?xd5l?0;6>4?:1y'7ae=:==0D9=i;I633>"3<>098;5+1d;916296=4+455961g<3th8;>4?:383>5}#;mi1==64H51e?M27?2.?8:478:&2a<<2;=1bj44?:%673?77=21d>9<50;&702<5<@=9m7E:?7:&702?;7??5:9l614=83.?8:4=4`9K010<3th8;;4?:383>5}#;mi1==64H51e?M27?2.?8:478:&2a<<2;=1bj44?:%673?77=21d>9<50;&702<5<@=9m7E:?7:&702?;7??5:9l614=83.?8:4=4`9K010<3th8;54?:383>5}#;mi1==64H51e?M27?2.?8:478:&2a<<2;=1bj44?:%673?77=21d>9<50;&702<58;%673?5a3-;n57;<4:kee?6=,=><6<>:;:kef?6=,=><6<>:;:m107<72->?;7<;a:9~f61f290?6=4?{%1gg?4302B??k5G4158 1202;>=7)?j9;700>oai3:1(9:8:026?>oaj3:1(9:8:026?>oak3:1(9:8:026?>i5<;0;6):;7;07e>=zj:=i6=4<:183!5ck38?;6F;3g9K051<,=><6?:9;%3f=?34<2cmm7>5$564>46232cmn7>5$564>46232e98?4?:%673?43i21vn>9l:187>5<7s-9oo7:>;I60b>N38>1/89953g9'5`?==:>0eko50;&702<68<10ekl50;&702<68<10ekm50;&702<68<10c?:=:18'011=:=k07pl<7583>6<729q/?im52558L15a3A>;;6*;468103=#9l319>:4igc94?"3<>0:<854ig`94?"3<>0:<854o361>5<#<==1>9o4;|`02`<72:0;6=u+3ea905=O<:l0D9>8;%673?5a3-;n57;<4:kee?6=,=><6<>:;:kef?6=,=><6<>:;:m107<72->?;7<;a:9~f60a29086=4?{%1gg?273A>8j6F;069'011=;o1/=h755268mcg=83.?8:4>0498mcd=83.?8:4>0498k725290/899525c8?xd4?90;6>4?:1y'7ae=:==0D9=i;I633>"3<>098;5+1d;916296=4+455961g<3th8:44?:483>5}#;mi18?5G42d8L1603->?;7=i;%3f=?34<2cmm7>5$564>46232cmn7>5$564>46232cmo7>5$564>46232cmh7>5$564>46232e98?4?:%673?43i21vn>8n:181>5<7s-9oo7??8:J77c=O<9=0(9:8:9:8 4c>2<9?7dh6:18'011=99?07b<;2;29 1202;>j7E:;6:9~f60e29086=4?{%1gg?273A>8j6F;069'011=;o1/=h755268mcg=83.?8:4>0498mcd=83.?8:4>0498k725290/899525c8?xd4>=0;6?4?:1y'7ae=9920D9=i;I633>"3<>0346*>e88671=nn00;6):;7;331>=h:=81<7*;46810d=O<=<07pl<6483>6<729q/?im5419K06`<@=:<7):;7;1e?!7b13?886gia;29 12028:>76gib;29 12028:>76a=4383>!23?38?m65rb245>5<4290;w)=kc;073>N3;o1C8=94$564>7213-;n57;<4:kee?6=,=><6<>:;:kef?6=,=><6<>:;:m107<72->?;7<;a:9~f6>>290>6=4?{%1gg?4312B??k5G4158 1202;>=7)?j9;700>oai3:1(9:8:026?>oaj3:1(9:8:026?>oak3:1(9:8:026?>oal3:1(9:8:026?>i5<;0;6):;7;07e>=zj:2j6=4=:183!5ck3;;46F;3g9K051<,=><6564$0g:>0533`l26=4+4559553<3f8?>7>5$564>72f3A>?:65rb2:a>5<5290;w)=kc;33<>N3;o1C8=94$564>=><,8o268=;;hd:>5<#<==1==;4;n076?6=,=><6?:n;I672>=zj:2h6=4<:183!5ck3>;7E:96=4+455961g<3th84i4?:283>5}#;mi1>994H51e?M27?2.?8:4=479'5`?==:>0eko50;&702<68<10ekl50;&702<68<10c?:=:18'011=:=k07pl;d283>6<729q/?im5419K06`<@=:<7):;7;1e?!7b13?886gia;29 12028:>76gib;29 12028:>76a=4383>!23?38?m65rb5f7>5<5290;w)=kc;33<>N3;o1C8=94$564>=><,8o268=;;hd:>5<#<==1==;4;n076?6=,=><6?:n;I672>=zj=n>6=4=:183!5ck3;;46F;3g9K051<,=><6564$0g:>0533`l26=4+4559553<3f8?>7>5$564>72f3A>?:65rb5f5>5<3290;w)=kc;07<>N3;o1C8=94$564>7213-;n57;<4:kee?6=,=><6<>:;:kef?6=,=><6<>:;:keg?6=,=><6<>:;:m107<72->?;7<;a:9~f1c229096=4?{%1gg?7702B??k5G4158 1202120(290/89951178?j43:3:1(9:8:36b?M23>21vn9k9:181>5<7s-9oo7??8:J77c=O<9=0(9:8:9:8 4c>2<9?7dh6:18'011=99?07b<;2;29 1202;>j7E:;6:9~f1c029096=4?{%1gg?7702B??k5G4158 1202120(290/89951178?j43:3:1(9:8:36b?M23>21vn9k7:181>5<7s-9oo7??8:J77c=O<9=0(9:8:9:8 4c>2<9?7dh6:18'011=99?07b<;2;29 1202;>j7E:;6:9~f1c>290?6=4?{%1gg?4302B??k5G4158 1202;>=7)?j9;700>oai3:1(9:8:026?>oaj3:1(9:8:026?>oak3:1(9:8:026?>i5<;0;6):;7;07e>=zj=oj6=4;:183!5ck3>:7E:96=4+455961g<3th88h4?:383>5}#;mi1==64H51e?M27?2.?8:478:&2a<<2;=1bj44?:%673?77=21d>9<50;&702<5<@=9m7E:?7:&702?;7??5:9l614=83.?8:4=4`9K010<3th8994?:383>5}#;mi1==64H51e?M27?2.?8:478:&2a<<2;=1bj44?:%673?77=21d>9<50;&702<58;%673?5a3-;n57;<4:kee?6=,=><6<>:;:kef?6=,=><6<>:;:m107<72->?;7<;a:9~f63129096=4?{%1gg?7702B??k5G4158 1202120(290/89951178?j43:3:1(9:8:36b?M23>21vn>;8:181>5<7s-9oo7??8:J77c=O<9=0(9:8:9:8 4c>2<9?7dh6:18'011=99?07b<;2;29 1202;>j7E:;6:9~f63?29096=4?{%1gg?7702B??k5G4158 1202120(290/89951178?j43:3:1(9:8:36b?M23>21vn>;6:187>5<7s-9oo7<;8:J77c=O<9=0(9:8:365?!7b13?886gia;29 12028:>76gib;29 12028:>76gic;29 12028:>76a=4383>!23?38?m65rb27b>5<4290;w)=kc;63?M24n2B?<:5+45597c=#9l319>:4igc94?"3<>0:<854ig`94?"3<>0:<854o361>5<#<==1>9o4;|`00c<72:0;6=u+3ea905=O<:l0D9>8;%673?5a3-;n57;<4:kee?6=,=><6<>:;:kef?6=,=><6<>:;:m107<72->?;7<;a:9~f63729086=4?{%1gg?273A>8j6F;069'011=;o1/=h755268mcg=83.?8:4>0498mcd=83.?8:4>0498k725290/899525c8?xd4=80;6>4?:1y'7ae=:==0D9=i;I633>"3<>098;5+1d;916296=4+455961g<3th89?4?:283>5}#;mi18=5G42d8L1603->?;7=i;%3f=?34<2cmm7>5$564>46232cmn7>5$564>46232e98?4?:%673?43i21v<;50;0xZ43<5:;<6ko4}r35>5<5sW;=70==4;db?xu6?3:1>vP>7:?06d=9:gc8yv7f2909wS?n;<176?`f3ty:n7>52z\2f>;49m0mm6s|1b83>7}Y9j16?<>5f`9~w4b=838pRcgf;296~X6n278<54ib:p65<72;qU>=522e19bg=z{;81<7{t:10;6?uQ299>77>=nk1v?750;0xZ7?<5:8n6kl4}r0b>5<5sW8j70=<4;da?xu5j3:1?vP=b:?05gn523529bg=z{;n1<75348nj7hn;<142?`>349=57hn;<6g1?`>349>?7h6;<161?`f3ty397>53z\;1>;3l:0mn63<578e=>{t1?0;6221h01?k;:9`891b721h01l?58c9>6`b=0k16>kh58c9>6c3=0k16?8m58c9>70b=0k16?8k58c9>70`=0k16?;<58c9>731=0k16?;m58c9>727=0k16>kk5f89~wd7=83?p1l?528d896062ok0196j:gc896032o3019j<:gc8yvg52909w0o>:8d89d5=:=80q~<{t:ji1<76s|2bf94?4|V;io70=>1;076>{t:m:1<76s|2e394?4|V;n:70=>e;076>{t:m81<7=t^3f1?[4dn279h;4=439~w7b42909w0;5l?0mm6s|2e694?4|5;n?6?:=;<0g1?`e3ty9h84?:3y>6a3=:=801?j9:g`8yv4c?3:1>vP=d69>773=:=80q~vP=d89>767=:=80q~952508yv4cj3:1>vP=dc9>76b=:=80q~6``=nk16?:;5f89>73?=nj168h;5f89~w7ba2908wSn2wx>h;50;0x97c320l01?kl:361?xu5m?0;6?u22d49614<5;oh6kl4}r0f3?6=:r79i:4=439>6`?=nk1v?k7:18184b038?>63=e`8ef>{t:l31<7725348nn7hm;|q1ad<72;q6>ho5250897cd2oi0q~9z?1aa<51o169=k5fc9>0f`=nk16?=65f`9>75?=n016?<;5f`9>740=n016??<5f`9>775=n016??65f`9>77?=n016??k5f`9>77`=n016?>:5f`9>763=n016?>o5f`9>76d=n016?9>5f`9>717=n016?74e=n016?=k5f`9>75`=n016>i=5f`9>6a2=n01v?kj:18084a93lj70=?2;db?84bn38?>6s|2g294?4|5;oo64h4=3d7>7253ty9j<4?:3y>6c7=:=801?h<:gc8yv4a:3:1>v3=f38107=::o91jo5rs3d0>5<5s48m?7<;2:?1b1k;50;4x97`22;3m703l270=:4;d:?xu5n?0;6?u22g79=c=::oh1>9<4}r0e3?6=:r79j:4=439>6c?=nk1v?h7:18184a038?>63=f`8ef>{t:o31<7725348mn7hn;|q1bd<72;q6>ko5250897`e2oi0q~e34>3m76m;<0ea?43:2wx>kh50;0x97`a2;3m70:j7;d:?xu4890;6?u22gd9=c=:;9?1>9<4}r135?6=:r78<<4=439>755=nk1v>>=:181857:38?>63<058ef>{t;991<7725349;97hn;|q041<72;q6?=:5250896622oh0q~=?7;296~;481098?5231`9bd=z{::36=4={<13=?43:278>n:361?857j3li7p}<0e83>7}:;9o1>9<4=232>cg52z?04c<5<;16?<>5fc9~w66a2909w0=>0;076>;4980mn6s|30694?4|5:;>6?:=;<12740=:=801>?8:g`8yv56>3:1>v3<168107=:;821jo5rs23b>5<5s49:n7<;2:?05`970=>d;da?xu49j0;6?u230f9614<5:;n6kl4}r115?6=:r78>?4=439>773=nh1v><=:181855;38?>63<258ef>{t;;91<7725349997hm;|q062<72;q6??652508964e2ok0q~==8;296~;4:0098?5233c9bg=z{:826=4={<11e?43:278>o4ib:p77b=838p1>7}:;;l1>9<4=213>cd52z?075<5<;16?>?5fc9~w6542909w0=<4;076>;4;>0mm6s|32694?4|5:9>6?:=;<102?`e3ty8?84?:3y>760=:=801>=8:g`8yv5413:1>v3<3`8107=:;:n1jl5rs21b>5<5s498n7<;2:?07fl50;0x965d2;>970=86ko4}r174?6=:r788<4=439>714=nk1v>:>:181853:38?>63<428ef>{t;=?1<7<}::o81j4523109bg=::o21j4522d:9b<=:<1l1j45236:9b<=:;?o1jl5237c9b<=:;<81>9<4}r17a?6=:r788h4=439>70?=nk1v>:i:181853n38?>63<508ee>{t;<:1<7725349>=7hm;|q014<72;q6?8?5250896352ok0q~=:3;296~;4=:098?5234;9bd=z{:??6=4={<160?43:278944ic:p703=838p1>;::361?85283lj7p}<5783>7}:;<<1>9<4=27b>cg;7>52z?012<5<;16?8o5fc9~w63?2909w0=:8;076>;4=;0mn6s|34;94?4|5:?26?:=;<17b?`e3ty89l4?:3y>70g=:=801>;?:g`8yv52k3:1:v3<5b81=c=::oh1jo522d`9bd=:<081jl5236`9bd=:;131jn5rs27g>5<3s49>o77i;<16`?4>n27?4i4ia:?0<<;j:3;e?82?l3li70=79;da?xu4=o0;6:u234d96<`<5:::6kl4=3d:>cg<5;o26ko4=5;3>cd<5:=26ko4=24e>cd52z?01c<>n278:<4=439~w605290>w0=92;0:b>;4>80mn63=e78ee>;30l0mn63<648ee>{t;?91<7<`<5:<=6?:=;|q021<72;q6?;:5250896022oh0q~=95;296~;4><098?523749bg=z{:<<6=4:{<0eg??a349=;7<6f:?1b18m:361?xu4>00;6?u237;9614<5:73d=nk1v>8l:186852m33m70=9c;0:b>;48:0mm63;918ee>;4000mh6s|37f94?4|5:7253ty8:h4?:3y>73c=:=801>9?:gc8yv51n3:1>v3<6g8107=:;>:1jo5rs252>5<>s49<=7<6f:?1b49>:8d896132;>97p}<7283>7}:;>91>9<4=25:>cd52z?030<5<;16?:o5fc9~w6112909w0=86;076>;4?h0mm6s|36594?4|5:=<6?:=;<14e?`d3ty8;54?:3y>72>=:=801>9l:ga8yv5013:1>v3<788107=:;>h1jo5rs25b>5<5s49970=84;db?xu4?j0;6?u236a9614<5:=?6kl4}r1;1?6=;r78484=9g9>712909w0=75;;e?85??38?>6s|39:94?4|5:2>64;4=2:g>7253ty8444?:3y>7=?=:=801>6k:gc8yv5?i3:1>v3<8`8107=:;1i1jl5rs2:a>5<5s493n7<;2:?0d2;>970=7d;da?xu40o0;69uQ39d896>02oh01>6n:g;896322oh0q~=68;293~;41h098?523139bd=::o=1j4522d59b<=:<0;1j4523619b<=:;?l1jl5rs2;:>5<5s49257<;2:?0=d6kl4=5g:>cd3m7>52z\74h4}r6;g?6=:r7?4l46f:?7=0<5<;1v96k:18182?l38?>63;948ee>{t<1o1<772534>2>7hm;|q7=3li7p};9283>7}:<091>9<4=5;6>ce287>52z?7=1<5<;1684;5fe9~w1?12909wS:66:?b7?`>3ty?n54?:3y]0g><5=in6k74}r6`e?6=:rT?ol524b`9614ho7>52z?7gg;3ko0mm6s|4bg94?4|5=in6?:=;<6`b?`d3ty?h=4?:3y]0a6<5=n;6?7i;|q7`7<72;q68i>59g9>0a0=:=80q~:k3;296~;3l:098?524e49bg=z{=n?6=4={<6g0?43:27?h;4ia:p0a3=838p19j::361?82c>3lh7p};e583>7}Y019kn:361?xu3m<0;6?u24d79614<5=o26ko4}r6f2?6=:r7?i;4=439>0`?=nj1v9k8:18182b?38?>63;e`8ee>{t72534>nm7hl;|q7a<<72;q68h75250891cf2oh0q~:i1;296~X3n8168k<52508yv2a<3:1>vP;f59>0c3=:=80q~:i7;296~X3n>169=j5f89~w06>2909wS;?9:?64d<5<;1v8>m:181837i3l270;?e;076>{t=9i1<772534?;i7hn;|q64a<72;q69=j52508906b2oi0q~;=d;291~X2:m168k;5f`9>15e=n0168nj5f89>0a2=n01vqo::2;2953>i4?::k7b2<722e:8=4?::k10g<722e:o84?::m7e0<722e:984?::m2f5<722e9i7>5;n1`>5<5<6290;w)=kc;d;?M24n2B?<:5+455901b<,8o268=;;nd4>5<#<==1>9o4;|`731<72<096;u+3ea9b5=O<:l0D9>8;%3f=?34<2c297>5;h;4>5<>i51o0;66l=9g83>3<729q/8995f29j553=83.?8:4>0498m<3=83.?8:465:9j=3<72->?;779;:k0e4<72->?;7=n1:9j70d=83.?8:4<5c98k72f290/899525c8?xd3<=0;6<4?:1y'011=<=n0c?:n:18'011=:=k07p}=9g83>7}Y:0l01?7i:36b?xu>n3:1>vP6f:?1=c<68<1v4950;0xZ<1<5;3m6>;m;|q:1?6=:rT2963=9g8:1>{t0k0;6?uQ8c9>6<`=1?1vk650;0x97?a2:k:70:;4;07e>{zj:k26=4::385!5ck3l;7E:e88671=n1<0;66g67;29?l>e2900e4h50;9l6<`=831i>4h50;494?6|,=><6k=4i026>5<#<==1==;4;h;6>5<#<==15854i8494?"3<>02:65f3`394?"3<>08m<54i27a>5<#<==1?8l4;n07e?6=,=><6?:n;:a012=83;1<7>t$564>12c3f8?m7>5$564>72f32wx>4h50;0xZ7?a3482j7<;a:p=c<72;qU5k5228d9553n39>n6s|9483>7}Y1<16>4h5949~w=d=838pR5l4=3;e><06?49{%1gg?`73A>8j6F;069'5`?==:>0e4;50;9j=2<722c3n7>5;h;e>5<5<1290;w):;7;d0?l77=3:1(9:8:026?>o>=3:1(9:8:878?l?1290/89959798m6g6290/89953`38?l52j3:1(9:8:27a?>i5=zj=>?6=4>:183!23?3>?h6a=4`83>!23?38?m65rs3;e>5<5sW82j63=9g810d=z{0l1<77p}67;296~X>?2795k4<5c9~w<3=838pR4;4=3;e><3n33=7p}i8;296~;51o08m<52456961g53;090~"4lj0ni6F;3g9K051<,8o268=;;h:a>5<n3:17d<6e;29?l?a2900e4950;9j56;294~"3<>0m?6g>0483>!23?3;;965f9483>!23?33>76g66;29 12020<07d=n1;29 1202:k:76g<5c83>!23?39>n65`25c94?"3<>098l54}c676?6=93:17p}7b;296~X?j27m=779;|q:3?6=:rT2;63i1;16f>{t:0o1<77}Y:0l01k?525c8yv2703:1>v3i1;;6?823:38?h6srb383>4<729q/8=859:&0`f0ck950;&702<54h528d8yv?a2909wS7i;<0:b??a3ty3n7>52z\;f>;51o03n6s|5;297~;51o095h5228d9=2=::3l<7):?6;a8yxd5;h0;6>4=:5y'7ae=ml1C8>h4H524?!7b13?886g7b;29?l?a2900c?7i:188f7?a290>6?49{%1gg?`63-;n57;<4:m1=c<722c95h4?::k:b?6=3`3<6=44i9`94?=en80;6;4?:1y'011=n:1b==;50;&702<68<10e4;50;&702<>=21b5;4?:%673??132c8m<4?:%673?5f921b?8l50;&702<4=k10c?:n:18'011=:=k07pl;4383>4<729q/89952578k72c290/899525c8?xu>n3:1>vP6f:?e5?77=2wx4o4?:3y]7}Y1>16j<4<5c9~w7?b2909wS<6e:?e5?5f92wx>4h50;0xZ7?a34l:6?:n;|q74=<72;q6j<465:?707<50533fl<6=4+455961g<3ty95k4?:3y]6<`<5;3m6?7i;|q:b?6=:rT2j63=9g8:b>{t0k0;6?uQ8c9>6<`=0k1v84?:2y>6<`=:0o01?7i:8589778t$2f`>c6<@=9m7E:?7:&2a<<2;=1b584?::k:3?6=3`2i6=44i8d94?=h:0l1<75m28d94?0=83:p(9:8:g18m462290/89951178?l?2290/89959498m<0=83.?8:466:9j7d7=83.?8:4{e<=>1<7?50;2x 1202=>o7b<;a;29 1202;>j76s|28d94?4|V;3m70<6f;07e>{t1o0;6?uQ9g9>6<`=99?0q~78:181[?03482j7=:b:p=0<72;qU585228d9=0=z{1h1<7n39j=63;45810d=zuk8n6=4<:387!5ck3on7E::4i9`94?=n1o0;66a=9g83>>d51o0;684=:7y'7ae=n81/=h755268k7?a2900e?7j:188m<`=831b5:4?::k;f?6=3kl:6=49:183!23?3l87d??5;29 12028:>76g65;29 12020?07d79:18'011=1?10e>o>:18'011=;h;07d=:b;29 1202:?i76a=4`83>!23?38?m65rb561>5<6290;w):;7;071>i5=z{0l1<7e34l:6484}r;4>5<5sW3<70h>:27a?xu51l0;6?uQ28g89c7=;h;0q~<6f;296~X51o16j<4=4`9~w16?2909w0h>:87891252;>o7psm2;295?6=8r.?<;46;%1gg?`?3->?;7:;d:&2a<<2;=1dj:4?:%673?43i21v?7i:181[4>n2795k4=9g9~w<`=838pR4h4=3;e><`n32i7p}::18084>n382i63=9g8:3>;52o=0(9>9:b9~yg7e83:1?7<54z&0`f2<9?7d6m:188m<`=831d>4h50;9a6<`=83?1>78t$2f`>c7<,8o268=;;n0:b?6=3`82i7>5;h;e>5<>da93:1:7>50z&7025$564><0<3`9j=7>5$564>6g632c89o4?:%673?52j21d>9o50;&702<54623ty3n7>52z\;f>;a933=7p}67;296~X>?27m=7=:b:p66g63ty95k4?:3y]6<`<5o;1>9o4}r635}#<9<156*"3<>0?8i5+1d;916252z\1=c=::0l1>4h4}r;e>5<5sW3m70<6f;;e?xu?j3:1>vP7b:?1=c53z?1=c<51l16>4h5969>6?`03->;:7m4}|`205<72:0969u+3ea9a`=O<:l0D9>8;%3f=?34<2c3n7>5;h;e>5<5<22;0=w)=kc;d2?!7b13?886a=9g83>>o51l0;66g6f;29?l?02900e5l50;9ab4<72?0;6=u+4559b6=n99?1<7*;468240==n1?0;6):;7;;5?>o4i80;6):;7;1b5>=n;j6=4+455961g<3th?8?4?:083>5}#<==1>9;4o36g>5<#<==1>9o4;|q:b?6=:rT2j63i1;331>{t0k0;6?uQ8c9>b4<>>2wx5:4?:3y]=2=:n8089o5rs3;f>5<5sW82i63i1;1b5>{t:0l1<7j7p};0983>7}:n802963;43810a=zuk81<7?50;2x 161201/?im5f99'011=<=n0(n2795k46f:pu228d96"38?0h7psm1b794?5=:3>p(>jl:dg8L15a3A>;;6*>e88671=n0k0;66g6f;29?j4>n3:17o<6f;291?4=>r.8hn4i1:&2a<<2;=1d>4h50;9j6{e<=81<7?50;2x 1202;>>7b<;d;29 1202;>j76s|9g83>7}Y1o16j<4>049~w=d=838pR5l4=g39=3=z{0=1<712c3-;n57;<4:me3?6=,=><6?:n;:p6<`=838pR?7i;<0:b?4>n2wx5k4?:3y]=c=::0l15k5rs9`94?4|V1h01?7i:9`8yv3=839p1?7i:3;f?84>n33<70<5f69'050=k2wvn<8?:180>7<3s-9oo7kj;I60b>N38>1/=h755268m=d=831b5k4?::m1=c<722h95k4?:481>3}#;mi1j<5+1d;91625<>o?j3:17oh>:185>5<7s->?;7h<;h331?6=,=><6<>:;:k:1?6=,=><64;4;h;5>5<#<==15;54i2c2>5<#<==1?l?4;h16f?6=,=><6>;m;:m10d<72->?;7<;a:9~f125290:6=4?{%673?43=2e98i4?:%673?43i21v4h50;0xZ<`<5o;1==;4}r:a>5<5sW2i70h>:848yv?02909wS78;63e3ty95h4?:3y]6<3<5=>96?:k;|a6?6=93:1"6m00>?95`f683>!23?38?m65rs3;e>5<5sW82j63=9g81=c=z{0l1<7e3482j76m;|q6>5<4s482j7<6e:?1=c<>?2796k94$525>f=zuk;8j7>53;090~"4lj0ni6F;3g9K051<,8o268=;;h:a>5<n3:17d<6e;29?l?a2900e4950;9j56;294~"3<>0m?6g>0483>!23?3;;965f9483>!23?33>76g66;29 12020<07d=n1;29 1202:k:76g<5c83>!23?39>n65`25c94?"3<>098l54}c676?6=93:17p}7b;296~X?j27m=779;|q:3?6=:rT2;63i1;16f>{t:0o1<77}Y:0l01k?525c8yv2703:1>v3i1;;6?823:38?h6srb383>4<729q/8=859:&0`f0ck950;&702<54h528d8yv?a2909wS7i;<0:b??a3ty3n7>52z\;f>;51o03n6s|5;297~;51o095h5228d9=2=::3l<7):?6;a8yxd6k80;684=:7y'7ae=n91C8>h4H524?!7b13?886g65;29?l?02900e5l50;9j=c<722e95k4?::`1=c<72?0;6=u+4559b6=n99?1<7*;468240==n1?0;6):;7;;5?>o4i80;6):;7;1b5>=n;j6=4+455961g<3th?894?:083>5}#<==189j4o36b>5<#<==1>9o4;|q1=c<72;qU>4h4=3;e>72f3ty2j7>52z\:b>;51o0:<85rs8594?4|V0=01?7i:27a?xu>=3:1>vP65:?1=c<>=2wx4o4?:3y]o>;<670?43i2wvn<;>:186>7<1s-9oo7h?;I60b>N38>1/=h755268m<3=831b5:4?::k;f?6=3`3m6=44o3;e>5<76g65;29 12020?07d79:18'011=1?10e>o>:18'011=;h;07d=:b;29 1202:?i76a=4`83>!23?38?m65rb567>5<6290;w):;7;67`>i5=z{;3m6=4={_0:b>;51o098l5rs8d94?4|V0l01?7i:026?xu>?3:1>vP67:?1=c<4=k1v4;50;0xZ<3<5;3m64;4}r:a>5<5sW2i70<6f;;5?xua03:1>v3=9g80e4=:<=>1>9o4}|`23<<72<096;u+3ea9b5=O<:l0D9>8;%3f=?34<2c297>5;h;4>5<>i51o0;66l=9g83>3<729q/8995f29j553=83.?8:4>0498m<3=83.?8:465:9j=3<72->?;779;:k0e4<72->?;7=n1:9j70d=83.?8:4<5c98k72f290/899525c8?xd3<=0;6<4?:1y'011=<=n0c?:n:18'011=:=k07p}=9g83>7}Y:0l01?7i:36b?xu>n3:1>vP6f:?1=c<68<1v4950;0xZ<1<5;3m6>;m;|q:1?6=:rT2963=9g8:1>{t0k0;6?uQ8c9>6<`=1?1vk650;0x97?a2:k:70:;4;07e>{zj8;n6=4<:387!5ck3on7E:e88671=n0k0;66g6f;29?j4>n3:17o<6f;291?4=>r.8hn4i1:&2a<<2;=1d>4h50;9j6{e<=81<7?50;2x 1202;>>7b<;d;29 1202;>j76s|9g83>7}Y1o16j<4>049~w=d=838pR5l4=g39=3=z{0=1<712c3-;n57;<4:me3?6=,=><6?:n;:p6<`=838pR?7i;<0:b?4>n2wx5k4?:3y]=c=::0l15k5rs9`94?4|V1h01?7i:9`8yv3=839p1?7i:3;f?84>n33<70<5f69'050=k2wvn>m6:180>7<3s-9oo7kj;I60b>N38>1/==95e:&2a<<2;=1b4o4?::k:b?6=3f82j7>5;c0:b?6==381:v*"6m00>?95`28d94?=n:0o1<75f9g83>>o>?3:17d6m:188fc7=83<1<7>t$564>c56=4+4559553<3`3>6=4+4559=0==n;h;1<7*;4680e4=5$564>72f32wi89<50;394?6|,=><6?::;n07`?6=,=><6?:n;:p=c<72;qU5k52f08240=z{1h1<7;a938?m6s|41:94?4|5o;15852450961b:183!27>330(>jl:g:8 1202=>o7)?j9;700>ia?3:1(9:8:36b?>{t:0l1<7n382j6s|9g83>7}Y1o16>4h59g9~w=d=838pR5l4=3;e>=d7?b3482j778;<09b2=#<9<1o6srb2ag>5<42;0?w)=kc;gf?M24n2B?<:5+1159a>"6m00>?95f8c83>>o>n3:17b<6f;29?g4>n3:197<56z&0`f4k50;9j=c<722c2;7>5;h:a>5<::18'011=99?07d7::18'011=1<10e4850;&702<>>21b?l?50;&702<4i810e>;m:18'011=;j76sm45094?7=83:p(9:8:366?j43l3:1(9:8:36b?>{t1o0;6?uQ9g9>b4<68<1v5l50;0xZ=d<5o;15;5rs8594?4|V0=01k?534`8yv4>m3:1>vP=9d9>b4<4i81v?7i:181[4>n27m=7<;a:p05>=838p1k?5949>014=:=n0qpl=:182>5<7s->;:774$2f`>c><,=><69:k;%3f=?34<2em;7>5$564>72f32wx>4h50;0xZ7?a3482j7<6f:p=c<72;qU5k5228d9=c=z{1h1<71/8=85c:~f43b29096=4?{%1gg?7702B??k5G4158 1202120(290/89951178?j43:3:1(9:8:36b?M23>21vn<;i:180>5<7s-9oo7<;7:J77c=O<9=0(9:8:365?!7b13?886gia;29 12028:>76gib;29 12028:>76a=4383>!23?38?m65rb5c5>5<5290;w)=kc;33<>N3;o1C8=94$564>=><,8o268=;;hd:>5<#<==1==;4;n076?6=,=><6?:n;I672>=zj8?86=4=:183!5ck3;;46F;3g9K051<,=><6564$0g:>0533`l26=4+4559553<3f8?>7>5$564>72f3A>?:65rb0a0>5<5290;w)=kc;33<>N3;o1C8=94$564>=><,8o268=;;hd:>5<#<==1==;4;n076?6=,=><6?:n;I672>=zj==<6=4=:183!5ck3;;46F;3g9K051<,=><6564$0g:>0533`l26=4+4559553<3f8?>7>5$564>72f3A>?:65rb2g94?5=83:p(>jl:364?M24n2B?<:5+4559610<,8o268=;;hdb>5<#<==1==;4;hda>5<#<==1==;4;n076?6=,=><6?:n;:a7ag=8381<7>t$2f`>46?3A>8j6F;069'011=011/=h755268mc?=83.?8:4>0498k725290/899525c8L12132wi?il50;194?6|,:nh69>4H51e?M27?2.?8:49<50;&702<52<9?7dhn:18'011=99?07dhm:18'011=99?07b<;2;29 1202;>j76sm4`c94?4=83:p(>jl:02;?M24n2B?<:5+4559<==#9l319>:4ig;94?"3<>0:<854o361>5<#<==1>9o4H565?>{e96=4+455961g<@=>=76sm4`a94?5=83:p(>jl:528L15a3A>;;6*;4680b>"6m00>?95ff`83>!23?3;;965ffc83>!23?3;;965`25094?"3<>098l54}c6b`?6=;3:1N3;o1C8=94$564>6`<,8o268=;;hdb>5<#<==1==;4;hda>5<#<==1==;4;n076?6=,=><6?:n;:a534=8391<7>t$2f`>16<@=9m7E:?7:&702<4n2.:i44:359jbd<72->?;7??5:9jbg<72->?;7??5:9l614=83.?8:4=4`98yg71;3:1>7>50z&0`f<6811C8>h4H524?!23?3237)?j9;700>oa13:1(9:8:026?>i5<;0;6):;7;07e>N32<9?7dhn:18'011=99?07dhm:18'011=99?07b<;2;29 1202;>j76sm3bd94?4=83:p(>jl:02;?M24n2B?<:5+4559<==#9l319>:4ig;94?"3<>0:<854o361>5<#<==1>9o4H565?>{e;m;1<7=50;2x 6bd2;><7E:984$0g:>0533`lj6=4+4559553<3`li6=4+4559553<3f8?>7>5$564>72f32wi?i<50;194?6|,:nh69>4H51e?M27?2.?8:49<50;&702<5099K06`<@=:<7):;7;:;?!7b13?886gi9;29 12028:>76a=4383>!23?38?m6F;4798yg5c<3:1>7>50z&0`f<6811C8>h4H524?!23?3237)?j9;700>oa13:1(9:8:026?>i5<;0;6):;7;07e>N32<9?7dhn:18'011=99?07dhm:18'011=99?07b<;2;29 1202;>j76sm3e494?5=83:p(>jl:528L15a3A>;;6*;4680b>"6m00>?95ff`83>!23?3;;965ffc83>!23?3;;965`25094?"3<>098l54}c1g3?6=;3:18;%673?43>2.:i44:359jbd<72->?;7??5:9jbg<72->?;7??5:9l614=83.?8:4=4`98yg5c03:1?7>50z&0`f<382B??k5G4158 1202:l0({e;m:1<7=50;2x 6bd2;><7E:984$0g:>0533`lj6=4+4559553<3`li6=4+4559553<3f8?>7>5$564>72f32wi?nl50;194?6|,:nh69>4H51e?M27?2.?8:49<50;&702<5"6m00>?95ff`83>!23?3;;965ffc83>!23?3;;965`25094?"3<>098l54}c64=?6=;3:1N3;o1C8=94$564>6`<,8o268=;;hdb>5<#<==1==;4;hda>5<#<==1==;4;n076?6=,=><6?:n;:a02g=8381<7>t$2f`>46?3A>8j6F;069'011=011/=h755268mc?=83.?8:4>0498k725290/899525c8L12132wi8:l50;194?6|,:nh6?:8;I60b>N38>1/89952548 4c>2<9?7dhn:18'011=99?07dhm:18'011=99?07b<;2;29 1202;>j76sm14594?5=83:p(>jl:528L15a3A>;;6*;4680b>"6m00>?95ff`83>!23?3;;965ffc83>!23?3;;965`25094?"3<>098l54}c368;%673?>?3-;n57;<4:ke=?6=,=><6<>:;:m107<72->?;7<;a:J703=57>52;294~"4lj0:<55G42d8L1603->?;767;%3f=?34<2cm57>5$564>46232e98?4?:%673?43i2B?8;54}c36e?6=;3:1N3;o1C8=94$564>6`<,8o268=;;hdb>5<#<==1==;4;hda>5<#<==1==;4;n076?6=,=><6?:n;:a50d=8391<7>t$2f`>7203A>8j6F;069'011=:=<0({e9?<1<7=50;2x 6bd2=:0D9=i;I633>"3<>08j6*>e88671=nnh0;6):;7;331>=nnk0;6):;7;331>=h:=81<7*;46810d=52;294~"4lj0:<55G42d8L1603->?;767;%3f=?34<2cm57>5$564>46232e98?4?:%673?43i2B?8;54}c35`?6=:3:18;%673?>?3-;n57;<4:ke=?6=,=><6<>:;:m107<72->?;7<;a:J703=53;294~"4lj0?<6F;3g9K051<,=><6>h4$0g:>0533`lj6=4+4559553<3`li6=4+4559553<3f8?>7>5$564>72f32wi=;h50;194?6|,:nh69>4H51e?M27?2.?8:49<50;&702<5099K06`<@=:<7):;7;:;?!7b13?886gi9;29 12028:>76a=4383>!23?38?m6F;4798yg7093:1>7>50z&0`f<6811C8>h4H524?!23?3237)?j9;700>oa13:1(9:8:026?>i5<;0;6):;7;07e>N3099K06`<@=:<7):;7;:;?!7b13?886gi9;29 12028:>76a=4383>!23?38?m6F;4798yg70;3:1?7>50z&0`f<5<>1C8>h4H524?!23?38?:6*>e88671=nnh0;6):;7;331>=nnk0;6):;7;331>=h:=81<7*;46810d=53;294~"4lj0?<6F;3g9K051<,=><6>h4$0g:>0533`lj6=4+4559553<3`li6=4+4559553<3f8?>7>5$564>72f32wi=;650;194?6|,:nh69>4H51e?M27?2.?8:49<50;&702<5"6m00>?95ff`83>!23?3;;965ffc83>!23?3;;965`25094?"3<>098l54}c35e?6=<3:1N3;o1C8=94$564>6`<,8o268=;;hdb>5<#<==1==;4;hda>5<#<==1==;4;hd`>5<#<==1==;4;n076?6=,=><6?:n;:a53d=8391<7>t$2f`>7203A>8j6F;069'011=:=<0({e9j=1<7=50;2x 6bd2=:0D9=i;I633>"3<>08j6*>e88671=nnh0;6):;7;331>=nnk0;6):;7;331>=h:=81<7*;46810d=52;294~"4lj0:<55G42d8L1603->?;767;%3f=?34<2cm57>5$564>46232e98?4?:%673?43i2B?8;54}c3`b?6=:3:18;%673?>?3-;n57;<4:ke=?6=,=><6<>:;:m107<72->?;7<;a:J703=52;294~"4lj0:<55G42d8L1603->?;767;%3f=?34<2cm57>5$564>46232e98?4?:%673?43i2B?8;54}c3g5?6=:3:18;%673?>?3-;n57;<4:ke=?6=,=><6<>:;:m107<72->?;7<;a:J703=7>52;294~"4lj0:<55G42d8L1603->?;767;%3f=?34<2cm57>5$564>46232e98?4?:%673?43i2B?8;54}c3g7?6=:3:18;%673?>?3-;n57;<4:ke=?6=,=><6<>:;:m107<72->?;7<;a:J703=52;294~"4lj0:<55G42d8L1603->?;767;%3f=?34<2cm57>5$564>46232e98?4?:%673?43i2B?8;54}c3g1?6=;3:18;%673?43>2.:i44:359jbd<72->?;7??5:9jbg<72->?;7??5:9l614=83.?8:4=4`98yg7d03:1?7>50z&0`f<382B??k5G4158 1202:l0({e9j31<7:50;2x 6bd2=;0D9=i;I633>"3<>08j6*>e88671=nnh0;6):;7;331>=nnk0;6):;7;331>=nnj0;6):;7;331>=h:=81<7*;46810d=53;294~"4lj0?<6F;3g9K051<,=><6>h4$0g:>0533`lj6=4+4559553<3`li6=4+4559553<3f8?>7>5$564>72f32wi=nl50;194?6|,:nh6?:8;I60b>N38>1/89952548 4c>2<9?7dhn:18'011=99?07dhm:18'011=99?07b<;2;29 1202;>j76sm1ba94?2=83:p(>jl:538L15a3A>;;6*;4680b>"6m00>?95ff`83>!23?3;;965ffc83>!23?3;;965ffb83>!23?3;;965`25094?"3<>098l54}c3``?6=;3:18;%673?43>2.:i44:359jbd<72->?;7??5:9jbg<72->?;7??5:9l614=83.?8:4=4`98yg70j3:1?7>50z&0`f<382B??k5G4158 1202:l0({e9>i1<7=50;2x 6bd2;><7E:984$0g:>0533`lj6=4+4559553<3`li6=4+4559553<3f8?>7>5$564>72f32wi=:j50;094?6|,:nh6<>7;I60b>N38>1/8995899'5`?==:>0ek750;&702<68<10c?:=:18'011=:=k0D9:9;:a52c=8381<7>t$2f`>46?3A>8j6F;069'011=011/=h755268mc?=83.?8:4>0498k725290/899525c8L12132wi=:h50;194?6|,:nh69>4H51e?M27?2.?8:49<50;&702<52<9?7dhn:18'011=99?07dhm:18'011=99?07dhl:18'011=99?07b<;2;29 1202;>j76sm1c094?5=83:p(>jl:528L15a3A>;;6*;4680b>"6m00>?95ff`83>!23?3;;965ffc83>!23?3;;965`25094?"3<>098l54}c3a=?6=:3:18;%673?>?3-;n57;<4:ke=?6=,=><6<>:;:m107<72->?;7<;a:J703=52;294~"4lj0:<55G42d8L1603->?;767;%3f=?34<2cm57>5$564>46232e98?4?:%673?43i2B?8;54}c3af?6=;3:18;%673?43>2.:i44:359jbd<72->?;7??5:9jbg<72->?;7??5:9l614=83.?8:4=4`98yg7ek3:1>7>50z&0`f<6811C8>h4H524?!23?3237)?j9;700>oa13:1(9:8:026?>i5<;0;6):;7;07e>N3099K06`<@=:<7):;7;:;?!7b13?886gi9;29 12028:>76a=4383>!23?38?m6F;4798yg7em3:1>7>50z&0`f<6811C8>h4H524?!23?3237)?j9;700>oa13:1(9:8:026?>i5<;0;6):;7;07e>N32<9?7dhn:18'011=99?07dhm:18'011=99?07b<;2;29 1202;>j76sm1b294?4=83:p(>jl:02;?M24n2B?<:5+4559<==#9l319>:4ig;94?"3<>0:<854o361>5<#<==1>9o4H565?>{e9k91<7:50;2x 6bd2=;0D9=i;I633>"3<>08j6*>e88671=nnh0;6):;7;331>=nnk0;6):;7;331>=nnj0;6):;7;331>=h:=81<7*;46810d=54;294~"4lj0?=6F;3g9K051<,=><6>h4$0g:>0533`lj6=4+4559553<3`li6=4+4559553<3`lh6=4+4559553<3f8?>7>5$564>72f32wi=o;50;194?6|,:nh69>4H51e?M27?2.?8:49<50;&702<5"6m00>?95ff`83>!23?3;;965ffc83>!23?3;;965`25094?"3<>098l54}c3a3?6=;3:1N3;o1C8=94$564>6`<,8o268=;;hdb>5<#<==1==;4;hda>5<#<==1==;4;n076?6=,=><6?:n;:a5g>=83>1<7>t$2f`>72?3A>8j6F;069'011=:=<0({e9=81<7=50;2x 6bd2=:0D9=i;I633>"3<>08j6*>e88671=nnh0;6):;7;331>=nnk0;6):;7;331>=h:=81<7*;46810d=52;294~"4lj0:<55G42d8L1603->?;767;%3f=?34<2cm57>5$564>46232e98?4?:%673?43i2B?8;54}c37e?6=:3:18;%673?>?3-;n57;<4:ke=?6=,=><6<>:;:m107<72->?;7<;a:J703=53;294~"4lj098:5G42d8L1603->?;7<;6:&2a<<2;=1bjl4?:%673?77=21bjo4?:%673?77=21d>9<50;&702<5099K06`<@=:<7):;7;:;?!7b13?886gi9;29 12028:>76a=4383>!23?38?m6F;4798yg73l3:1>7>50z&0`f<6811C8>h4H524?!23?3237)?j9;700>oa13:1(9:8:026?>i5<;0;6):;7;07e>N3099K06`<@=:<7):;7;:;?!7b13?886gi9;29 12028:>76a=4383>!23?38?m6F;4798yg73n3:1?7>50z&0`f<382B??k5G4158 1202:l0({e9<:1<7<50;2x 6bd28:37E:96=4+455961g<@=>=76sm15194?2=83:p(>jl:538L15a3A>;;6*;4680b>"6m00>?95ff`83>!23?3;;965ffc83>!23?3;;965ffb83>!23?3;;965`25094?"3<>098l54}c370?6=<3:1N3;o1C8=94$564>6`<,8o268=;;hdb>5<#<==1==;4;hda>5<#<==1==;4;hd`>5<#<==1==;4;n076?6=,=><6?:n;:a513=8391<7>t$2f`>16<@=9m7E:?7:&702<4n2.:i44:359jbd<72->?;7??5:9jbg<72->?;7??5:9l614=83.?8:4=4`98yg73>3:1?7>50z&0`f<5<>1C8>h4H524?!23?38?:6*>e88671=nnh0;6):;7;331>=nnk0;6):;7;331>=h:=81<7*;46810d=53;294~"4lj0?<6F;3g9K051<,=><6>h4$0g:>0533`lj6=4+4559553<3`li6=4+4559553<3f8?>7>5$564>72f32wi=9650;694?6|,:nh6?:7;I60b>N38>1/89952548 4c>2<9?7dhn:18'011=99?07dhm:18'011=99?07dhl:18'011=99?07b<;2;29 1202;>j76sm3``94?4=83:p(>jl:02;?M24n2B?<:5+4559<==#9l319>:4ig;94?"3<>0:<854o361>5<#<==1>9o4H565?>{e;hi1<7<50;2x 6bd28:37E:96=4+455961g<@=>=76sm3`f94?4=83:p(>jl:02;?M24n2B?<:5+4559<==#9l319>:4ig;94?"3<>0:<854o361>5<#<==1>9o4H565?>{e;ho1<7<50;2x 6bd28:37E:96=4+455961g<@=>=76sm3`d94?5=83:p(>jl:528L15a3A>;;6*;4680b>"6m00>?95ff`83>!23?3;;965ffc83>!23?3;;965`25094?"3<>098l54}c1a4?6=;3:18;%673?43>2.:i44:359jbd<72->?;7??5:9jbg<72->?;7??5:9l614=83.?8:4=4`98yg5e93:187>50z&0`f<392B??k5G4158 1202:l0({e;k81<7=50;2x 6bd2;><7E:984$0g:>0533`lj6=4+4559553<3`li6=4+4559553<3f8?>7>5$564>72f32wx>h4?:3y]6`=::l095k5rs2a94?c|V:i01>m528d8943b2o301>j>:gc8940b2ok01<9?:g;894b62o301ok:g;8yv5c2909w0=l:87896c=:=80q~6=:18b[>5349j577i;<361??a34><;7h6;<356?`e349o>7hm;<64e?`>34;>57h6;<34f?`f349ji7h6;|q:2?6=9:qU5;524669;>l32i70<:9`8943621h01<96:9`8947b21h01>m6:9`896ec21h0q~7k:1818?c2;3m70=j:gc8yv?b2909w07k:8d896be2;>97p}>0c83>7}Y99h011d81=c=:;jl1j4523b`9bg=:9?i1j4521bg9b<=z{8;m6=4={_32b>;3?00mn6s|13494?3|V88=70:n9;db?871:3lj70=k2;db?85e93li7p}>3d83>7}Y9:o01<=i:8d8yv74n3:1>v3>3g81=c=:9<=1jl5rs063>5<3sW;?<63>4181=c=:9=81jl521519bg=z{8>:6=4={<374??a34;?47<;2:p514=838p1<:=:361?87303li7p}>4283>7}:9=91>9<4=065>cg52z?201<5<;16=985fc9~w4222909w0?;5;076>;6<10mo6s|15494?4|58>=6?:=;<373?`f3ty:8:4?:3y>511=:=801<:7:gc8yv7313:1>v3>488107=:9=91jl5rs06b>5<5s4;?m7<;2:?206970?;4;da?xu6?6ko4}r37`?6=:r7:8i4=439>512=nj1v<:j:181873m38?>63>468ef>{t9=l1<772534;?97hn;|q215<72;q6=8>5250894222oh0q~?:1;296~;6=8095k5215d9bd=z{8?96=4={<365??a34;>?7<;2:p503=838pR<;:;<361?4>n2wx=8850;0x943220?01<;m:361?xu6=>0;6?u21459614<58?j6ko4}r3650g=nk1v<;6:181872138?>63>5c8ef>{t972534;>n7hn;|q21f<72=qU=8m4=07;>c?<58=i6kl4=2`3>cgh7>52z\21a=:99<4}r36a?6=:r7:9h4=439>50`=nk1v<8?:180[71827::=4=9g9>530=nh1v<8>:184871<38?>63>6g8ee>;6k00mn63>b88e=>;6jk0mn63>4`8e=>;64?:3y>535=:=801<8;:g`8yv71=3:1>v3>618:b>;6>k098?5rs045>5<5s4;=:7<;2:?22=970?99;da?xu6>10;6?u217:9614<5853g=nk1v<8n:181871i38?>63>6c8ef>{t9?i1<772534;l098?5217;9bd=z{87083>7}:9>;1>9<4=04b>cg7>52z?237<5<;16=;o5fb9~w4142909w0?83;076>;6>10mn6s|16;94?5|58=26?7i;<35a?`e34;o<7h6;|q23d<72;q6=:l5250894>72oh0q~?8b;296~;6?j098?5216d9bd=z{8=h6=4={<34`?43:27:;k4ib:p52b=838p1<9j:361?87?83lh7p}>7d83>7}:9>l1>9<4=0:3>cg54z\2f5=:9k:1>4h4=0`1>cg<58h86kl4}r3a5?6=:r7:n=46f:?2f=<5<;1v63>b98ef>{t9k91<772534;i:7hn;|q2f1<72;q6=o:5250894d12oh0q~?m5;296~;6j<098?521c:9bf=z{8h=6=4={<3a2?43:27:n:4ia:p5g1=838p1b883>7}:9k31>9<4=0`0>cg52z?2fd<5<;16=o=5fb9~w4de2909w0?mb;076>;6j=0mn6s|1ca94?4|58hh6?:=;<3a0?`f3ty:ni4?:3y>5gb=:=801v3>bd8107=:9k=1jo5rs0`e>5<5s4;ij7<;2:?2f050;0x94e72;>970?m5;da?xu6k80;6?u21b396<`<58hm6ko4}r3`6?6=:r7:o<46f:?2g6<5<;1v5f1=nh1v{t9j=1<772534;hm7hn;|q2g=<72;q6=n65250894ee2ok0q~?l9;296~;6k0098?521b`9bg=z{8ij6=4={<3`e?43:27:oi4ia:p5fd=838p1cb83>7}:9ji1>9<4=0ag>cd52z?2g`<5<;16=i;5f`9~w4ea2909w0?lf;076>;6l<0mn6s|1e294?4|58n;6?:=;<3`5a7=:=801v3>d38107=:9j31jn5rs0f0>5<5s4;o?7<;2:?2gf970?lc;d`?xu6l<0;6?u21e79614<58ij6kl4}r00e?6==r78o77i;<00e?4>n27:o<465:?214<>=278i7hm;|q17g<72:q6>>o59g9>52?=1<16=5>52508yv44m3:14v3;418e3>;3?=02;63;4k33<70?:5;;4?87d933<70?:1;;4?870133<7p}=4c83>7}Y:=h019om:g;8yv5f13:1;v37<;2:p7dd=838p1>om:361?85fn3lj7p}7}:;hi1>9<4=2ce>cd52z?0ea<5<;16?o?5fb9~w6gb2909w0=ne;076>;4j;0mn6s|3`d94?4|5:km6?:=;<1a4?`e3ty8n=4?:3y>7g6=:=801>l>:gc8yv5e93:1>v35<68r78o44=9g9>7ag=n016?i:5f89>7fd=nh16=;j5f89>527=n016=nh5f89>5a5=n016=:m5fc9>5g4=nk16=oj5f89>5f6=n016=9<5fc9>51b=n016=8>5f89>7de=n01v>mn:18185d133m70=lc;076>{t;jh1<7725349ho7hm;|q0ga<728:p1?k59g9>7fb=:0l01>jm:gc896b62oh01>j::gc896ed2ok01<89:g`894152o3012o301<:m:gc896ge2o30q~=le;296~;4km02j63j>:361?85c?3lj7p}7}:;m81>9<4=2f5>cg52z?0`6<5<;16?i85fc9~w6b32909w0=k4;076>;4l10mn6s|3e794?4|5:n>6?:=;<1g4?`f3ty8h;4?:3y>7a0=:=801>j8:g`8yv5c?3:1>v35<5s49o47<;2:?0`5970=kb;da?xu3=j0;6?uQ44a891gf2o30q~:84;296~X3?=168::528d8yv20>3:1>v3;758:b>;3?>098?5rs55;>5<5s4><877:;<64f?43:2wx8:750;0x911>2;>970:8b;db?xu3?h0;6?u246c9614<5==i6kl4}r6b1?6=:rT?m8524`49614j47>52z?7e32909w0:n9;076>;3im0mm6s|4`c94?4|5=kj6?:=;<6bg?`f3ty?mo4?:3y>0dd=:=8019ol:g`8yv2fk3:1>v3;ab8107=:5<4sW>i463>528e=>;60}Y=;n01<96:8d891g>2oh01<8i:g`894b52o30qpl;5183>c<6=3;mwE:?7:&0`f2.:i545<5<>6=44i021>5<5<"6m00>?95f8c83>>o>n3:17b<6f;29?g4>n3:197<56z&0`f4k50;9j=c<722c2;7>5;h:a>5<::18'011=99?07d7::18'011=1<10e4850;&702<>>21b?l?50;&702<4i810e>;m:18'011=;j76sm45094?7=83:p(9:8:366?j43l3:1(9:8:36b?>{t1o0;6?uQ9g9>b4<68<1v5l50;0xZ=d<5o;15;5rs8594?4|V0=01k?534`8yv4>m3:1>vP=9d9>b4<4i81v?7i:181[4>n27m=7<;a:p05>=838p1k?5949>014=:=n0qpl=:182>5<7s->;:774$2f`>c><,=><69:k;%3f=?34<2em;7>5$564>72f32wx>4h50;0xZ7?a3482j7<6f:p=c<72;qU5k5228d9=c=z{1h1<71/8=85c:~f1?129086?4;{%1gg?cb3A>8j6F;069'5`?==:>0e5l50;9j=c<722e95k4?::`1=c<72<096;u+3ea9b4=#9l319>:4o3;e>5<0;66g7b;29?g`6290=6=4?{%673?`43`;;97>5$564>46232c297>5$564><3<3`3=6=4+4559=3=n7>5$564>63e32e98l4?:%673?43i21vn9:=:182>5<7s->?;7<;5:m10a<72->?;7<;a:9~w<`=838pR4h4=g39553;m;|q1=`<72;qU>4k4=g397d752z\1=c=:n8098l5rs52;>5<5s4l:64;4=561>72c3twi>7>51;294~"38?027)=kc;d;?!23?3>?h6*>e88671=hn>0;6):;7;07e>=z{;3m6=4={_0:b>;51o095k5rs8d94?4|V0l01?7i:8d8yv>e2909wS6m;<0:b?>e3ty>6=4<{<0:b?4>m2795k467:?1>c1<,=:=6n5r}c65>5<42;0?w)=kc;gf?M24n2B?<:5+1159a>"6m00>?95f8c83>>o>n3:17b<6f;29?g4>n3:197<56z&0`f4k50;9j=c<722c2;7>5;h:a>5<::18'011=99?07d7::18'011=1<10e4850;&702<>>21b?l?50;&702<4i810e>;m:18'011=;j76sm45094?7=83:p(9:8:366?j43l3:1(9:8:36b?>{t1o0;6?uQ9g9>b4<68<1v5l50;0xZ=d<5o;15;5rs8594?4|V0=01k?534`8yv4>m3:1>vP=9d9>b4<4i81v?7i:181[4>n27m=7<;a:p05>=838p1k?5949>014=:=n0qpl=:182>5<7s->;:774$2f`>c><,=><69:k;%3f=?34<2em;7>5$564>72f32wx>4h50;0xZ7?a3482j7<6f:p=c<72;qU5k5228d9=c=z{1h1<71/8=85c:~f043290?6?4:{%1gg?`53A>8j6F;069mb1<63-;n57;<4:k;f?6=3`3m6=44i3;f>5<5<22;0=w)=kc;d2?!7b13?886a=9g83>>o51l0;66g6f;29?l?02900e5l50;9ab4<72?0;6=u+4559b6=n99?1<7*;468240==n1?0;6):;7;;5?>o4i80;6):;7;1b5>=n;j6=4+455961g<3th?8?4?:083>5}#<==1>9;4o36g>5<#<==1>9o4;|q:b?6=:rT2j63i1;331>{t0k0;6?uQ8c9>b4<>>2wx5:4?:3y]=2=:n8089o5rs3;f>5<5sW82i63i1;1b5>{t:0l1<7j7p};0983>7}:n802963;43810a=zuk;1<7?50;2x 161211/?im5f99'011=<=n0(7}Y:0o01?7i:3;f?xu>n3:1>vP6f:?1=c<>n2wx4o4?:3y]7}::0l15:521;d4?!27>3h0qpl73;296?6=8r.8hn4>099K06`<@=:<7):;7;:;?!7b13?886gi9;29 12028:>76a=4383>!23?38?m6F;4798yg>329086=4?{%1gg?43?2B??k5G4158 1202;>=7)?j9;700>oai3:1(9:8:026?>oaj3:1(9:8:026?>i5<;0;6):;7;07e>=zj=k1<7<50;2x 6bd28:37E:96=4+455961g<@=>=76sm4`294?4=83:p(>jl:02;?M24n2B?<:5+4559<==#9l319>:4ig;94?"3<>0:<854o361>5<#<==1>9o4H565?>{e=;i1<7<50;2x 6bd28:37E:96=4+455961g<@=>=76sm4683>7<729q/?im511:8L15a3A>;;6*;468;<>"6m00>?95ff883>!23?3;;965`25094?"3<>098l5G4548?xd31j0;6>4?:1y'7ae=<91C8>h4H524?!23?39m7)?j9;700>oai3:1(9:8:026?>oaj3:1(9:8:026?>i5<;0;6):;7;07e>=zj=3o6=4=:183!5ck3;;46F;3g9K051<,=><6564$0g:>0533`l26=4+4559553<3f8?>7>5$564>72f3A>?:65rb5;f>5<4290;w)=kc;63?M24n2B?<:5+45597c=#9l319>:4igc94?"3<>0:<854ig`94?"3<>0:<854o361>5<#<==1>9o4;|`66d<72=0;6=u+3ea904=O<:l0D9>8;%673?5a3-;n57;<4:kee?6=,=><6<>:;:kef?6=,=><6<>:;:keg?6=,=><6<>:;:m107<72->?;7<;a:9~f61b29086=4?{%1gg?43?2B??k5G4158 1202;>=7)?j9;700>oai3:1(9:8:026?>oaj3:1(9:8:026?>i5<;0;6):;7;07e>=zj:=m6=4<:183!5ck3>;7E:96=4+455961g<3th84=4?:383>5}#;mi1==64H51e?M27?2.?8:478:&2a<<2;=1bj44?:%673?77=21d>9<50;&702<50498mcd=83.?8:4>0498k725290/899525c8?xd40;0;6>4?:1y'7ae=<91C8>h4H524?!23?39m7)?j9;700>oai3:1(9:8:026?>oaj3:1(9:8:026?>i5<;0;6):;7;07e>=zj:286=4<:183!5ck38?;6F;3g9K051<,=><6?:9;%3f=?34<2cmm7>5$564>46232cmn7>5$564>46232e98?4?:%673?43i21vn>6;:180>5<7s-9oo7:?;I60b>N38>1/89953g9'5`?==:>0eko50;&702<68<10ekl50;&702<68<10c?:=:18'011=:=k07p};6;296~;3>382j6374;db?xu303:1>v3;6;:a?8202;>97p};9;296~;3>33m70:n:361?xu?:3:19vP72:?;2??a342?6?:=;<6:g?`f34?9m7hm;|q;7?6=:r73?7<;2:?;0?`e3ty3:7>52z\;3>;?>382j6s|eb83>0}Ymj164;47b:?7=3>947b:?73?`>3ty:ce97>52z\210=:;>o1jo5rs07`>5<5sW;>o63<818e=>{t97}Y:kn01>6;:gc8yv4cm3:1>vP=dd9>72`=nh1v?k;:181[4b<278;k4ib:p713=838pR>::;<14a?`f3ty8;i4?:2y>07=2=:=80q~=8e;296~;4?l098?523919bd=z{:=m6=4={<14b?43:2784?4ia:p7=6=838p1>6?:361?85?93li7p}<8083>7}:;1;1>9<4=2:1>cd7>52z?0<7<5<;16?5=5fc9~w6>42909w0=73;076>;40=0mn6s|44a94?2|V=?h70;=4;0:a>;?;3l270:n:g;8yv2>>3:1>vP;979>0<0=:0l0q~:6b;296~;3i90m563;9d8107=z{=3h6=4={<6:g?43:27?5h4ia:p0m3li7p};9g83>7}:<0<15k524`2961452z\661=:=;>1>4h4}r71=?6=:r7>>n4i9:?66d<5<;1v8{zj=?:6=4>6;`:>f3|@=:<7)=kc;316>"6m108o55`10d94?=n=;>1<75`47094?=n<0<1<75`11`94?=nil0;66g;eb83>>i6;=0;66g62;29?l7183:17d6l:188k1d?2900e<;;:188m2g=831d8k950;9j5f2=831b=n;50;9j025=831d8l?50;9l0dc=831d>h4?::m64c<722h?8=4?:083>5}#;mi1j55G42d8L1603->?;7:;d:&2a<<2;=1dj:4?:%673?43i21vn8>i:186>7<1s-9oo7h?;I60b>N38>1/=h755268m<3=831b5:4?::k;f?6=3`3m6=44o3;e>5<76g65;29 12020?07d79:18'011=1?10e>o>:18'011=;h;07d=:b;29 1202:?i76a=4`83>!23?38?m65rb567>5<6290;w):;7;67`>i5=z{;3m6=4={_0:b>;51o098l5rs8d94?4|V0l01?7i:026?xu>?3:1>vP67:?1=c<4=k1v4;50;0xZ<3<5;3m64;4}r:a>5<5sW2i70<6f;;5?xua03:1>v3=9g80e4=:<=>1>9o4}|``N3;o1C8=94$0g:>0533`2i6=44i8d94?=h:0l1<75m28d94?3=:3jl:g38 4c>2<9?7b<6f;29?l4>m3:17d7i:188m<1=831b4o4?::`e5?6=>3:1o68<0;6):;7;331>=n1<0;6):;7;;6?>o>>3:1(9:8:848?l5f93:1(9:8:2c2?>o4=k0;6):;7;16f>=h:=k1<7*;46810d=?>7>51;294~"3<>09885`25f94?"3<>098l54}r;e>5<5sW3m70h>:026?xu?j3:1>vP7b:?e5??13ty2;7>52z\:3>;a939>n6s|28g94?4|V;3n70h>:2c2?xu51o0;6?uQ28d89c7=:=k0q~:?8;296~;a933>70:;2;07`>{zj;0;6<4?:1y'050=12.8hn4i8:&702<3n3:1>vP=9g9>6<`=:0l0q~7i:181[?a3482j77i;|q;f?6=:rT3n63=9g8;f>{t=3:1?v3=9g81=`=::0l15:522;d4?!27>3i0qpll4;297?4=e2900e4h50;9l6<`=831i>4h50;796?0|,:nh6k?4$0g:>0533f82j7>5;h0:a?6=3`3m6=44i8594?=n0k0;66li1;292?6=8r.?8:4i3:k240<72->?;7??5:9j=0<72->?;77:;:k:2?6=,=><6484;h1b5?6=,=><6>o>;:k01g<72->?;7=:b:9l61g=83.?8:4=4`98yg23:3:1=7>50z&702<5<<1d>9j50;&702<5:;|q;f?6=:rT3n63i1;;5?xu>?3:1>vP67:?e5?52j2wx>4k50;0xZ7?b34l:6>o>;|q1=c<72;qU>4h4=g3961g;47>52z?e5??234>?>7<;d:~f7<7280;6=u+4149=>"4lj0m46*;46870a=#9l319>:4og594?"3<>098l54}r0:b?6=:rT95k5228d96<`n33m7p}7b;296~X?j2795k47b:p1?6=;r795k4=9d9>6<`=1>16>7h8;%632?e53;090~"4lj0ni6F;3g9K051<,8o268=;;h:a>5<n3:17d<6e;29?l?a2900e4950;9j56;294~"3<>0m?6g>0483>!23?3;;965f9483>!23?33>76g66;29 12020<07d=n1;29 1202:k:76g<5c83>!23?39>n65`25c94?"3<>098l54}c676?6=93:17p}7b;296~X?j27m=779;|q:3?6=:rT2;63i1;16f>{t:0o1<77}Y:0l01k?525c8yv2703:1>v3i1;;6?823:38?h6srb383>4<729q/8=859:&0`f0ck950;&702<54h528d8yv?a2909wS7i;<0:b??a3ty3n7>52z\;f>;51o03n6s|5;297~;51o095h5228d9=2=::3l<7):?6;a8yxde>3:1?7<54z&0`f2<9?7d6m:188m<`=831d>4h50;9a6<`=83?1>78t$2f`>c7<,8o268=;;n0:b?6=3`82i7>5;h;e>5<>da93:1:7>50z&7025$564><0<3`9j=7>5$564>6g632c89o4?:%673?52j21d>9o50;&702<54623ty3n7>52z\;f>;a933=7p}67;296~X>?27m=7=:b:p66g63ty95k4?:3y]6<`<5o;1>9o4}r635}#<9<156*"3<>0?8i5+1d;916252z\1=c=::0l1>4h4}r;e>5<5sW3m70<6f;;e?xu?j3:1>vP7b:?1=c53z?1=c<51l16>4h5969>6?`03->;:7m4}|`1a?6=;3818v*N3;o1C8=94$0g:>0533`2i6=44i8d94?=h:0l1<75m28d94?3=:3jl:g38 4c>2<9?7b<6f;29?l4>m3:17d7i:188m<1=831b4o4?::`e5?6=>3:1o68<0;6):;7;331>=n1<0;6):;7;;6?>o>>3:1(9:8:848?l5f93:1(9:8:2c2?>o4=k0;6):;7;16f>=h:=k1<7*;46810d=?>7>51;294~"3<>09885`25f94?"3<>098l54}r;e>5<5sW3m70h>:026?xu?j3:1>vP7b:?e5??13ty2;7>52z\:3>;a939>n6s|28g94?4|V;3n70h>:2c2?xu51o0;6?uQ28d89c7=:=k0q~:?8;296~;a933>70:;2;07`>{zj;0;6<4?:1y'050=12.8hn4i8:&702<3n3:1>vP=9g9>6<`=:0l0q~7i:181[?a3482j77i;|q;f?6=:rT3n63=9g8;f>{t=3:1?v3=9g81=`=::0l15:522;d4?!27>3i0qpl>0c83>6<52=q/?im5ed9K06`<@=:<7)?j9;700>o?j3:17d7i:188k7?a2900n?7i:186>7<1s-9oo7h>;%3f=?34<2e95k4?::k1=`<722c2j7>5;h;4>5<3<729q/8995f29j553=83.?8:4>0498m<3=83.?8:465:9j=3<72->?;779;:k0e4<72->?;7=n1:9j70d=83.?8:4<5c98k72f290/899525c8?xd3<;0;6<4?:1y'011=:=?0c?:k:18'011=:=k07p}6f;296~X>n27m=7??5:p{t1>0;6?uQ969>b4<4=k1v?7j:181[4>m27m=7=n1:p6<`=838pR?7i;72f3ty?<54?:3y>b4<>=27?8?4=4e9~yg4=83;1<7>t$525><=#;mi1j55+455901b<,8o268=;;nd4>5<#<==1>9o4;|q1=c<72;qU>4h4=3;e>7?a3ty2j7>52z\:b>;51o02j6s|8c83>7}Y0k16>4h58c9~w0<72:q6>4h528g897?a20=01?4i7:&7435;n0:b?6=3k82j7>55;092~"4lj0m=6*>e88671=h:0l1<75f28g94?=n1o0;66g67;29?l>e2900nk?50;494?6|,=><6k=4i026>5<#<==1==;4;h;6>5<#<==15854i8494?"3<>02:65f3`394?"3<>08m<54i27a>5<#<==1?8l4;n07e?6=,=><6?:n;:a014=83;1<7>t$564>7223f8?h7>5$564>72f32wx5k4?:3y]=c=:n80:<85rs9`94?4|V1h01k?5979~w<1=838pR494=g3970d52z\1=`=:n808m<5rs3;e>5<5sW82j63i1;07e>{t<921<79j4}|`1>5<6290;w):?6;;8 6bd2o20(9:8:56g?!7b13?886ai7;29 1202;>j76s|28d94?4|V;3m70<6f;0:b>{t1o0;6?uQ9g9>6<`=1o1v5l50;0xZ=d<5;3m65l4}r794?5|5;3m6?7j;<0:b??03481j:5+4149g>{zj8n=6=4<:387!5ck3on7E::4i9`94?=n1o0;66a=9g83>>d51o0;684=:7y'7ae=n81/=h755268k7?a2900e?7j:188m<`=831b5:4?::k;f?6=3kl:6=49:183!23?3l87d??5;29 12028:>76g65;29 12020?07d79:18'011=1?10e>o>:18'011=;h;07d=:b;29 1202:?i76a=4`83>!23?38?m65rb561>5<6290;w):;7;071>i5=z{0l1<7e34l:6484}r;4>5<5sW3<70h>:27a?xu51l0;6?uQ28g89c7=;h;0q~<6f;296~X51o16j<4=4`9~w16?2909w0h>:87891252;>o7psm2;295?6=8r.?<;46;%1gg?`?3->?;7:;d:&2a<<2;=1dj:4?:%673?43i21v?7i:181[4>n2795k4=9g9~w<`=838pR4h4=3;e><`n32i7p}::18084>n382i63=9g8:3>;52o=0(9>9:b9~yg70<3:1?7<54z&0`f2<9?7d6m:188m<`=831d>4h50;9a6<`=83?1>78t$2f`>c7<,8o268=;;n0:b?6=3`82i7>5;h;e>5<>da93:1:7>50z&7025$564><0<3`9j=7>5$564>6g632c89o4?:%673?52j21d>9o50;&702<54623ty3n7>52z\;f>;a933=7p}67;296~X>?27m=7=:b:p66g63ty95k4?:3y]6<`<5o;1>9o4}r635}#<9<156*"3<>0?8i5+1d;916252z\1=c=::0l1>4h4}r;e>5<5sW3m70<6f;;e?xu?j3:1>vP7b:?1=c53z?1=c<51l16>4h5969>6?`03->;:7m4}|`:0?6=;3818v*N3;o1C8=94$0g:>0533`2i6=44i8d94?=h:0l1<75m28d94?3=:3jl:g38 4c>2<9?7b<6f;29?l4>m3:17d7i:188m<1=831b4o4?::`e5?6=>3:1o68<0;6):;7;331>=n1<0;6):;7;;6?>o>>3:1(9:8:848?l5f93:1(9:8:2c2?>o4=k0;6):;7;16f>=h:=k1<7*;46810d=?>7>51;294~"3<>09885`25f94?"3<>098l54}r;e>5<5sW3m70h>:026?xu?j3:1>vP7b:?e5??13ty2;7>52z\:3>;a939>n6s|28g94?4|V;3n70h>:2c2?xu51o0;6?uQ28d89c7=:=k0q~:?8;296~;a933>70:;2;07`>{zj;0;6<4?:1y'050=12.8hn4i8:&702<3n3:1>vP=9g9>6<`=:0l0q~7i:181[?a3482j77i;|q;f?6=:rT3n63=9g8;f>{t=3:1?v3=9g81=`=::0l15:522;d4?!27>3i0qpl;f683>6<52=q/?im5ed9K06`<@=:<7)?j9;700>o?j3:17d7i:188k7?a2900n?7i:186>7<1s-9oo7h>;%3f=?34<2e95k4?::k1=`<722c2j7>5;h;4>5<3<729q/8995f29j553=83.?8:4>0498m<3=83.?8:465:9j=3<72->?;779;:k0e4<72->?;7=n1:9j70d=83.?8:4<5c98k72f290/899525c8?xd3<;0;6<4?:1y'011=:=?0c?:k:18'011=:=k07p}6f;296~X>n27m=7??5:p{t1>0;6?uQ969>b4<4=k1v?7j:181[4>m27m=7=n1:p6<`=838pR?7i;72f3ty?<54?:3y>b4<>=27?8?4=4e9~yg4=83;1<7>t$525><=#;mi1j55+455901b<,8o268=;;nd4>5<#<==1>9o4;|q1=c<72;qU>4h4=3;e>7?a3ty2j7>52z\:b>;51o02j6s|8c83>7}Y0k16>4h58c9~w0<72:q6>4h528g897?a20=01?4i7:&7435;n0:b?6=3k82j7>55;092~"4lj0m=6*>e88671=h:0l1<75f28g94?=n1o0;66g67;29?l>e2900nk?50;494?6|,=><6k=4i026>5<#<==1==;4;h;6>5<#<==15854i8494?"3<>02:65f3`394?"3<>08m<54i27a>5<#<==1?8l4;n07e?6=,=><6?:n;:a014=83;1<7>t$564>7223f8?h7>5$564>72f32wx5k4?:3y]=c=:n80:<85rs9`94?4|V1h01k?5979~w<1=838pR494=g3970d52z\1=`=:n808m<5rs3;e>5<5sW82j63i1;07e>{t<921<79j4}|`1>5<6290;w):?6;;8 6bd2o20(9:8:56g?!7b13?886ai7;29 1202;>j76s|28d94?4|V;3m70<6f;0:b>{t1o0;6?uQ9g9>6<`=1o1v5l50;0xZ=d<5;3m65l4}r794?5|5;3m6?7j;<0:b??03481j:5+4149g>{zj=<96=4<:387!5ck3on7E::4i9`94?=n1o0;66a=9g83>>d51o0;684=:7y'7ae=n81/=h755268k7?a2900e?7j:188m<`=831b5:4?::k;f?6=3kl:6=49:183!23?3l87d??5;29 12028:>76g65;29 12020?07d79:18'011=1?10e>o>:18'011=;h;07d=:b;29 1202:?i76a=4`83>!23?38?m65rb561>5<6290;w):;7;071>i5=z{0l1<7e34l:6484}r;4>5<5sW3<70h>:27a?xu51l0;6?uQ28g89c7=;h;0q~<6f;296~X51o16j<4=4`9~w16?2909w0h>:87891252;>o7psm2;295?6=8r.?<;46;%1gg?`?3->?;7:;d:&2a<<2;=1dj:4?:%673?43i21v?7i:181[4>n2795k4=9g9~w<`=838pR4h4=3;e><`n32i7p}::18084>n382i63=9g8:3>;52o=0(9>9:b9~yg2f93:1?7<54z&0`f2<9?7d6m:188m<`=831d>4h50;9a6<`=83?1>78t$2f`>c7<,8o268=;;n0:b?6=3`82i7>5;h;e>5<>da93:1:7>50z&7025$564><0<3`9j=7>5$564>6g632c89o4?:%673?52j21d>9o50;&702<54623ty3n7>52z\;f>;a933=7p}67;296~X>?27m=7=:b:p66g63ty95k4?:3y]6<`<5o;1>9o4}r635}#<9<156*"3<>0?8i5+1d;916252z\1=c=::0l1>4h4}r;e>5<5sW3m70<6f;;e?xu?j3:1>vP7b:?1=c53z?1=c<51l16>4h5969>6?`03->;:7m4}|`f3?6=;3818v*N3;o1C8=94$0g:>0533`2i6=44i8d94?=h:0l1<75m28d94?3=:3jl:g38 4c>2<9?7b<6f;29?l4>m3:17d7i:188m<1=831b4o4?::`e5?6=>3:1o68<0;6):;7;331>=n1<0;6):;7;;6?>o>>3:1(9:8:848?l5f93:1(9:8:2c2?>o4=k0;6):;7;16f>=h:=k1<7*;46810d=?>7>51;294~"3<>09885`25f94?"3<>098l54}r;e>5<5sW3m70h>:026?xu?j3:1>vP7b:?e5??13ty2;7>52z\:3>;a939>n6s|28g94?4|V;3n70h>:2c2?xu51o0;6?uQ28d89c7=:=k0q~:?8;296~;a933>70:;2;07`>{zj;0;6<4?:1y'050=12.8hn4i8:&702<3n3:1>vP=9g9>6<`=:0l0q~7i:181[?a3482j77i;|q;f?6=:rT3n63=9g8;f>{t=3:1?v3=9g81=`=::0l15:522;d4?!27>3i0qpl;0`83>6<52=q/?im5ed9K06`<@=:<7)?j9;700>o?j3:17d7i:188k7?a2900n?7i:186>7<1s-9oo7h>;%3f=?34<2e95k4?::k1=`<722c2j7>5;h;4>5<3<729q/8995f29j553=83.?8:4>0498m<3=83.?8:465:9j=3<72->?;779;:k0e4<72->?;7=n1:9j70d=83.?8:4<5c98k72f290/899525c8?xd3<;0;6<4?:1y'011=:=?0c?:k:18'011=:=k07p}6f;296~X>n27m=7??5:p{t1>0;6?uQ969>b4<4=k1v?7j:181[4>m27m=7=n1:p6<`=838pR?7i;72f3ty?<54?:3y>b4<>=27?8?4=4e9~yg4=83;1<7>t$525><=#;mi1j55+455901b<,8o268=;;nd4>5<#<==1>9o4;|q1=c<72;qU>4h4=3;e>7?a3ty2j7>52z\:b>;51o02j6s|8c83>7}Y0k16>4h58c9~w0<72:q6>4h528g897?a20=01?4i7:&7435;h;e>5<5<22;0=w)=kc;d2?!7b13?886a=9g83>>o51l0;66g6f;29?l?02900e5l50;9ab4<72?0;6=u+4559b6=n99?1<7*;468240==n1?0;6):;7;;5?>o4i80;6):;7;1b5>=n;j6=4+455961g<3th?8?4?:083>5}#<==1>9;4o36g>5<#<==1>9o4;|q:b?6=:rT2j63i1;331>{t0k0;6?uQ8c9>b4<>>2wx5:4?:3y]=2=:n8089o5rs3;f>5<5sW82i63i1;1b5>{t:0l1<7j7p};0983>7}:n802963;43810a=zuk91<7?50;2x 1612h1/?im5f99'011=<=n0(n2795k46f:p=2<72;qU5:5228d9=2=z{1h1<77<2s-9oo7ki;I60b>N38>1/=h755268m<1=831b4o4?::k:b?6=3f82j7>5;c0:b?6==381:v*"6m00>?95`28d94?=n:0o1<75f9g83>>o>?3:17d6m:188fc7=83<1<7>t$564>c56=4+4559553<3`3>6=4+4559=0==n;h;1<7*;4680e4=5$564>72f32wi89<50;394?6|,=><6?::;n07`?6=,=><6?:n;:p=c<72;qU5k52f08240=z{1h1<7;a938?m6s|41:94?4|5o;15852450961b:183!27>3k0(>jl:g:8 1202=>o7)?j9;700>ia?3:1(9:8:36b?>{t:0l1<7n382j6s|9g83>7}Y1o16>4h59g9~w<1=838pR494=3;e><1n32i7p}9:18184>n382i63<:g58 1612m1vqoo9:180>7<3s-9oo7kj;I60b>N38>1/==95e:&2a<<2;=1b4o4?::k:b?6=3f82j7>5;c0:b?6==381:v*"6m00>?95`28d94?=n:0o1<75f9g83>>o>?3:17d6m:188fc7=83<1<7>t$564>c56=4+4559553<3`3>6=4+4559=0==n;h;1<7*;4680e4=5$564>72f32wi89<50;394?6|,=><6?::;n07`?6=,=><6?:n;:p=c<72;qU5k52f08240=z{1h1<7;a938?m6s|41:94?4|5o;15852450961b:183!27>330(>jl:g:8 1202=>o7)?j9;700>ia?3:1(9:8:36b?>{t:0l1<7n382j6s|9g83>7}Y1o16>4h59g9~w=d=838pR5l4=3;e>=d7?b3482j778;<09b2=#<9<1o6srb0;2>5<42;0?w)=kc;gf?M24n2B?<:5+1159a>"6m00>?95f8c83>>o>n3:17b<6f;29?g4>n3:197<56z&0`f4k50;9j=c<722c2;7>5;h:a>5<::18'011=99?07d7::18'011=1<10e4850;&702<>>21b?l?50;&702<4i810e>;m:18'011=;j76sm45094?7=83:p(9:8:366?j43l3:1(9:8:36b?>{t1o0;6?uQ9g9>b4<68<1v5l50;0xZ=d<5o;15;5rs8594?4|V0=01k?534`8yv4>m3:1>vP=9d9>b4<4i81v?7i:181[4>n27m=7<;a:p05>=838p1k?5949>014=:=n0qpl=:182>5<7s->;:774$2f`>c><,=><69:k;%3f=?34<2em;7>5$564>72f32wx>4h50;0xZ7?a3482j7<6f:p=c<72;qU5k5228d9=c=z{1h1<71/8=85c:~f4?529086?4;{%1gg?cb3A>8j6F;069'551=m2.:i44:359j5;n0:b?6=3k82j7>55;092~"4lj0m=6*>e88671=h:0l1<75f28g94?=n1o0;66g67;29?l>e2900nk?50;494?6|,=><6k=4i026>5<#<==1==;4;h;6>5<#<==15854i8494?"3<>02:65f3`394?"3<>08m<54i27a>5<#<==1?8l4;n07e?6=,=><6?:n;:a014=83;1<7>t$564>7223f8?h7>5$564>72f32wx5k4?:3y]=c=:n80:<85rs9`94?4|V1h01k?5979~w<1=838pR494=g3970d52z\1=`=:n808m<5rs3;e>5<5sW82j63i1;07e>{t<921<79j4}|`1>5<6290;w):?6;;8 6bd2o20(9:8:56g?!7b13?886ai7;29 1202;>j76s|28d94?4|V;3m70<6f;0:b>{t1o0;6?uQ9g9>6<`=1o1v5l50;0xZ=d<5;3m65l4}r794?5|5;3m6?7j;<0:b??03481j:5+4149g>{zj83<6=4<:387!5ck3on7E:e88671=n0k0;66g6f;29?j4>n3:17o<6f;291?4=>r.8hn4i1:&2a<<2;=1d>4h50;9j6{e<=81<7?50;2x 1202;>>7b<;d;29 1202;>j76s|9g83>7}Y1o16j<4>049~w=d=838pR5l4=g39=3=z{0=1<712c3-;n57;<4:me3?6=,=><6?:n;:p6<`=838pR?7i;<0:b?4>n2wx5k4?:3y]=c=::0l15k5rs9`94?4|V1h01?7i:9`8yv3=839p1?7i:3;f?84>n33<70<5f69'050=k2wvn<7i:180>7<3s-9oo7kj;I60b>N38>1/==95e:&2a<<2;=1b4o4?::k:b?6=3f82j7>5;c0:b?6==381:v*"6m00>?95`28d94?=n:0o1<75f9g83>>o>?3:17d6m:188fc7=83<1<7>t$564>c56=4+4559553<3`3>6=4+4559=0==n;h;1<7*;4680e4=5$564>72f32wi89<50;394?6|,=><6?::;n07`?6=,=><6?:n;:p=c<72;qU5k52f08240=z{1h1<7;a938?m6s|41:94?4|5o;15852450961b:183!27>330(>jl:g:8 1202=>o7)?j9;700>ia?3:1(9:8:36b?>{t:0l1<7n382j6s|9g83>7}Y1o16>4h59g9~w=d=838pR5l4=3;e>=d7?b3482j778;<09b2=#<9<1o6srb0c3>5<42;0?w)=kc;gf?M24n2B?<:5+1159a>"6m00>?95f8c83>>o>n3:17b<6f;29?g4>n3:197<56z&0`f4k50;9j=c<722c2;7>5;h:a>5<::18'011=99?07d7::18'011=1<10e4850;&702<>>21b?l?50;&702<4i810e>;m:18'011=;j76sm45094?7=83:p(9:8:366?j43l3:1(9:8:36b?>{t1o0;6?uQ9g9>b4<68<1v5l50;0xZ=d<5o;15;5rs8594?4|V0=01k?534`8yv4>m3:1>vP=9d9>b4<4i81v?7i:181[4>n27m=7<;a:p05>=838p1k?5949>014=:=n0qpl=:182>5<7s->;:774$2f`>c><,=><69:k;%3f=?34<2em;7>5$564>72f32wx>4h50;0xZ7?a3482j7<6f:p=c<72;qU5k5228d9=c=z{1h1<71/8=85c:~f4g629086?4;{%1gg?cb3A>8j6F;069'551=m2.:i44:359j5;n0:b?6=3k82j7>55;092~"4lj0m=6*>e88671=h:0l1<75f28g94?=n1o0;66g67;29?l>e2900nk?50;494?6|,=><6k=4i026>5<#<==1==;4;h;6>5<#<==15854i8494?"3<>02:65f3`394?"3<>08m<54i27a>5<#<==1?8l4;n07e?6=,=><6?:n;:a014=83;1<7>t$564>7223f8?h7>5$564>72f32wx5k4?:3y]=c=:n80:<85rs9`94?4|V1h01k?5979~w<1=838pR494=g3970d52z\1=`=:n808m<5rs3;e>5<5sW82j63i1;07e>{t<921<79j4}|`1>5<6290;w):?6;;8 6bd2o20(9:8:56g?!7b13?886ai7;29 1202;>j76s|28d94?4|V;3m70<6f;0:b>{t1o0;6?uQ9g9>6<`=1o1v5l50;0xZ=d<5;3m65l4}r794?5|5;3m6?7j;<0:b??03481j:5+4149g>{zj8k36=4<:387!5ck3on7E:e88671=n0k0;66g6f;29?j4>n3:17o<6f;291?4=>r.8hn4i1:&2a<<2;=1d>4h50;9j6{e<=81<7?50;2x 1202;>>7b<;d;29 1202;>j76s|9g83>7}Y1o16j<4>049~w=d=838pR5l4=g39=3=z{0=1<712c3-;n57;<4:me3?6=,=><6?:n;:p6<`=838pR?7i;<0:b?4>n2wx5k4?:3y]=c=::0l15k5rs9`94?4|V1h01?7i:9`8yv3=839p1?7i:3;f?84>n33<70<5f69'050=k2wvnnk50;195?5|@=:<7)=kc;3f`>of<3:17do::188k6g72900n9:m:180>5<7s-9oo7:76gib;29 12028:>76a=4383>!23?38?m65rs`694?4|Vh>019:m:g`8yvg22909wSo:;<67f?`f3ty8m=4?:3y]7d6<5=>i6?:=;|aga<72:0:6>uG4158 6bd28oo7do;:188md3=831d?l>50;9a01d=8391<7>t$2f`>15b3A>8j6F;069'011=<:n0({ti=0;6?uQa59>01d=nk1vl;50;0xZd3<5=>i6ko4}r1b4?6=:rT8m=5245`961453;397~N38>1/?im51df8md2=831bm84?::m0e5<722h?8o4?:283>5}#;mi18>k4H51e?M27?2.?8:4;3e9'5`?==:>0eko50;&702<68<10ekl50;&702<68<10c?:=:18'011=:=k07p}n4;296~Xf<27?8o4ib:pe0<72;qUm85245`9bd=z{:k;6=4={_1b4>;38;%673?>?3-;n57;<4:ke=?6=,=><6<>:;:m107<72->?;7<;a:J703=52;294~"4lj0:<55G42d8L1603->?;767;%3f=?34<2cm57>5$564>46232e98?4?:%673?43i2B?8;54}c343?6=:3:18;%673?>?3-;n57;<4:ke=?6=,=><6<>:;:m107<72->?;7<;a:J703=53;294~"4lj0?<6F;3g9K051<,=><6>h4$0g:>0533`lj6=4+4559553<3`li6=4+4559553<3f8?>7>5$564>72f32wi8l:50;194?6|,:nh6?:8;I60b>N38>1/89952548 4c>2<9?7dhn:18'011=99?07dhm:18'011=99?07b<;2;29 1202;>j76sm41a94?4=83:p(>jl:02;?M24n2B?<:5+4559<==#9l319>:4ig;94?"3<>0:<854o361>5<#<==1>9o4H565?>{e9;;1<7<50;2x 6bd28:37E:96=4+455961g<@=>=76sm4b;94?4=83:p(>jl:02;?M24n2B?<:5+4559<==#9l319>:4ig;94?"3<>0:<854o361>5<#<==1>9o4H565?>{e=921<7<50;2x 6bd28:37E:96=4+455961g<@=>=76sm50694?4=83:p(>jl:02;?M24n2B?<:5+4559<==#9l319>:4ig;94?"3<>0:<854o361>5<#<==1>9o4H565?>{ekh0;6?4?:1y'7ae=9920D9=i;I633>"3<>0346*>e88671=nn00;6):;7;331>=h:=81<7*;46810d=O<=<07pllb;297?6=8r.8hn4;0:J77c=O<9=0(9:8:2d8 4c>2<9?7dhn:18'011=99?07dhm:18'011=99?07b<;2;29 1202;>j76smc783>7<729q/?im511:8L15a3A>;;6*;468;<>"6m00>?95ff883>!23?3;;965`25094?"3<>098l5G4548?xdd?3:1?7>50z&0`f<382B??k5G4158 1202:l0({ek;0;6?4?:1y'7ae=9920D9=i;I633>"3<>0346*>e88671=nn00;6):;7;331>=h:=81<7*;46810d=O<=<07pll3;297?6=8r.8hn4;0:J77c=O<9=0(9:8:2d8 4c>2<9?7dhn:18'011=99?07dhm:18'011=99?07b<;2;29 1202;>j76smb983>7<729q/?im511:8L15a3A>;;6*;468;<>"6m00>?95ff883>!23?3;;965`25094?"3<>098l5G4548?xdc83:1?7>50z&0`f<382B??k5G4158 1202:l0({el;0;6>4?:1y'7ae=<91C8>h4H524?!23?39m7)?j9;700>oai3:1(9:8:026?>oaj3:1(9:8:026?>i5<;0;6):;7;07e>=zj=<6564$0g:>0533`l26=4+4559553<3f8?>7>5$564>72f3A>?:65rb0:0>5<5290;w)=kc;33<>N3;o1C8=94$564>=><,8o268=;;hd:>5<#<==1==;4;n076?6=,=><6?:n;I672>=zj82?6=4=:183!5ck3;;46F;3g9K051<,=><6564$0g:>0533`l26=4+4559553<3f8?>7>5$564>72f3A>?:65rb0:6>5<3290;w)=kc;62?M24n2B?<:5+45597c=#9l319>:4igc94?"3<>0:<854ig`94?"3<>0:<854iga94?"3<>0:<854o361>5<#<==1>9o4;|`2ed<72:0;6=u+3ea9611<@=9m7E:?7:&702<50498mcd=83.?8:4>0498k725290/899525c8?xd6ik0;6?4?:1y'7ae=9920D9=i;I633>"3<>0346*>e88671=nn00;6):;7;331>=h:=81<7*;46810d=O<=<07pl>ab83>6<729q/?im52558L15a3A>;;6*;468103=#9l319>:4igc94?"3<>0:<854ig`94?"3<>0:<854o361>5<#<==1>9o4;|`2ea<72:0;6=u+3ea905=O<:l0D9>8;%673?5a3-;n57;<4:kee?6=,=><6<>:;:kef?6=,=><6<>:;:m107<72->?;7<;a:9~f4gb29086=4?{%1gg?43?2B??k5G4158 1202;>=7)?j9;700>oai3:1(9:8:026?>oaj3:1(9:8:026?>i5<;0;6):;7;07e>=zj8k86=4<:183!5ck3>;7E:96=4+455961g<3th:m94?:383>5}#;mi1==64H51e?M27?2.?8:478:&2a<<2;=1bj44?:%673?77=21d>9<50;&702<5<@=9m7E:?7:&702?;7??5:9l614=83.?8:4=4`9K010<3th:m;4?:283>5}#;mi18=5G42d8L1603->?;7=i;%3f=?34<2cmm7>5$564>46232cmn7>5$564>46232e98?4?:%673?43i21vn5<7s-9oo7:?;I60b>N38>1/89953g9'5`?==:>0eko50;&702<68<10ekl50;&702<68<10c?:=:18'011=:=k07pl>9883>7<729q/?im511:8L15a3A>;;6*;468;<>"6m00>?95ff883>!23?3;;965`25094?"3<>098l5G4548?xd61h0;6?4?:1y'7ae=9920D9=i;I633>"3<>0346*>e88671=nn00;6):;7;331>=h:=81<7*;46810d=O<=<07pl>9c83>6<729q/?im5419K06`<@=:<7):;7;1e?!7b13?886gia;29 12028:>76gib;29 12028:>76a=4383>!23?38?m65rb0;`>5<4290;w)=kc;073>N3;o1C8=94$564>7213-;n57;<4:kee?6=,=><6<>:;:kef?6=,=><6<>:;:m107<72->?;7<;a:9~f4?c29086=4?{%1gg?273A>8j6F;069'011=;o1/=h755268mcg=83.?8:4>0498mcd=83.?8:4>0498k725290/899525c8?xd61l0;6>4?:1y'7ae=:==0D9=i;I633>"3<>098;5+1d;916296=4+455961g<3th:594?:283>5}#;mi1>994H51e?M27?2.?8:4=479'5`?==:>0eko50;&702<68<10ekl50;&702<68<10c?:=:18'011=:=k07pl>9483>7<729q/?im511:8L15a3A>;;6*;468;<>"6m00>?95ff883>!23?3;;965`25094?"3<>098l5G4548?xd61?0;694?:1y'7ae=<81C8>h4H524?!23?39m7)?j9;700>oai3:1(9:8:026?>oaj3:1(9:8:026?>oak3:1(9:8:026?>i5<;0;6):;7;07e>=zj<;m6=4::183!5ck3>97E:96=4+455961g<3th>>=4?:383>5}#;mi1==64H51e?M27?2.?8:478:&2a<<2;=1bj44?:%673?77=21d>9<50;&702<5<@=9m7E:?7:&702?;7??5:9l614=83.?8:4=4`9K010<3th>>?4?:283>5}#;mi18=5G42d8L1603->?;7=i;%3f=?34<2cmm7>5$564>46232cmn7>5$564>46232e98?4?:%673?43i21vn8<<:180>5<7s-9oo7:?;I60b>N38>1/89953g9'5`?==:>0eko50;&702<68<10ekl50;&702<68<10c?:=:18'011=:=k07pln8;291?6=8r.8hn4=489K06`<@=:<7):;7;072>"6m00>?95ff`83>!23?3;;965ffc83>!23?3;;965ffb83>!23?3;;965ffe83>!23?3;;965`25094?"3<>098l54}cc:>5<4290;w)=kc;63?M24n2B?<:5+45597c=#9l319>:4igc94?"3<>0:<854ig`94?"3<>0:<854o361>5<#<==1>9o4;|`be?6=:3:18;%673?>?3-;n57;<4:ke=?6=,=><6<>:;:m107<72->?;7<;a:J703=;7E:96=4+455961g<3thjo7>53;294~"4lj0?<6F;3g9K051<,=><6>h4$0g:>0533`lj6=4+4559553<3`li6=4+4559553<3f8?>7>5$564>72f32wimi4?:283>5}#;mi1>994H51e?M27?2.?8:4=479'5`?==:>0eko50;&702<68<10ekl50;&702<68<10c?:=:18'011=:=k07pl;bg83>6<729q/?im52558L15a3A>;;6*;468103=#9l319>:4igc94?"3<>0:<854ig`94?"3<>0:<854o361>5<#<==1>9o4;|`7g5<72;0;6=u+3ea955><@=9m7E:?7:&702?;7??5:9l614=83.?8:4=4`9K010<3th?o<4?:283>5}#;mi18=5G42d8L1603->?;7=i;%3f=?34<2cmm7>5$564>46232cmn7>5$564>46232e98?4?:%673?43i21vn9m=:181>5<7s-9oo7??8:J77c=O<9=0(9:8:9:8 4c>2<9?7dh6:18'011=99?07b<;2;29 1202;>j7E:;6:9~f1e429086=4?{%1gg?273A>8j6F;069'011=;o1/=h755268mcg=83.?8:4>0498mcd=83.?8:4>0498k725290/899525c8?xd3k=0;6>4?:1y'7ae=<91C8>h4H524?!23?39m7)?j9;700>oai3:1(9:8:026?>oaj3:1(9:8:026?>i5<;0;6):;7;07e>=zj=i>6=4<:183!5ck38?;6F;3g9K051<,=><6?:9;%3f=?34<2cmm7>5$564>46232cmn7>5$564>46232e98?4?:%673?43i21vn9m9:187>5<7s-9oo7<;8:J77c=O<9=0(9:8:365?!7b13?886gia;29 12028:>76gib;29 12028:>76gic;29 12028:>76a=4383>!23?38?m65rb5a4>5<4290;w)=kc;63?M24n2B?<:5+45597c=#9l319>:4igc94?"3<>0:<854ig`94?"3<>0:<854o361>5<#<==1>9o4;|`7b`<72:0;6=u+3ea9611<@=9m7E:?7:&702<50498mcd=83.?8:4>0498k725290/899525c8?xd3no0;6?4?:1y'7ae=9920D9=i;I633>"3<>0346*>e88671=nn00;6):;7;331>=h:=81<7*;46810d=O<=<07pl:0183>6<729q/?im5419K06`<@=:<7):;7;1e?!7b13?886gia;29 12028:>76gib;29 12028:>76a=4383>!23?38?m65rb422>5<5290;w)=kc;33<>N3;o1C8=94$564>=><,8o268=;;hd:>5<#<==1==;4;n076?6=,=><6?:n;I672>=zj<:96=4<:183!5ck3>;7E:96=4+455961g<3th><>4?:283>5}#;mi18=5G42d8L1603->?;7=i;%3f=?34<2cmm7>5$564>46232cmn7>5$564>46232e98?4?:%673?43i21vn8>;:180>5<7s-9oo7<;7:J77c=O<9=0(9:8:365?!7b13?886gia;29 12028:>76gib;29 12028:>76a=4383>!23?38?m65rb426>5<3290;w)=kc;07<>N3;o1C8=94$564>7213-;n57;<4:kee?6=,=><6<>:;:kef?6=,=><6<>:;:keg?6=,=><6<>:;:m107<72->?;7<;a:9~f06129086=4?{%1gg?273A>8j6F;069'011=;o1/=h755268mcg=83.?8:4>0498mcd=83.?8:4>0498k725290/899525c8?xd29?0;684?:1y'7ae=<;1C8>h4H524?!23?39m7)?j9;700>oai3:1(9:8:026?>oaj3:1(9:8:026?>oak3:1(9:8:026?>oal3:1(9:8:026?>i5<;0;6):;7;07e>=zj<;<6=4=:183!5ck3;;46F;3g9K051<,=><6564$0g:>0533`l26=4+4559553<3f8?>7>5$564>72f3A>?:65rb43;>5<5290;w)=kc;33<>N3;o1C8=94$564>=><,8o268=;;hd:>5<#<==1==;4;n076?6=,=><6?:n;I672>=zj<;26=4=:183!5ck3;;46F;3g9K051<,=><6564$0g:>0533`l26=4+4559553<3f8?>7>5$564>72f3A>?:65rb43b>5<5290;w)=kc;33<>N3;o1C8=94$564>=><,8o268=;;hd:>5<#<==1==;4;n076?6=,=><6?:n;I672>=zj<;i6=4<:183!5ck3>;7E:96=4+455961g<3th>=n4?:283>5}#;mi1>994H51e?M27?2.?8:4=479'5`?==:>0eko50;&702<68<10ekl50;&702<68<10c?:=:18'011=:=k07pl:1e83>1<729q/?im5409K06`<@=:<7):;7;1e?!7b13?886gia;29 12028:>76gib;29 12028:>76gic;29 12028:>76a=4383>!23?38?m65rb015>5<5290;w)=kc;33<>N3;o1C8=94$564>=><,8o268=;;hd:>5<#<==1==;4;n076?6=,=><6?:n;I672>=zj89<6=4=:183!5ck3;;46F;3g9K051<,=><6564$0g:>0533`l26=4+4559553<3f8?>7>5$564>72f3A>?:65rb01;>5<5290;w)=kc;33<>N3;o1C8=94$564>=><,8o268=;;hd:>5<#<==1==;4;n076?6=,=><6?:n;I672>=zj8926=4=:183!5ck3;;46F;3g9K051<,=><6564$0g:>0533`l26=4+4559553<3f8?>7>5$564>72f3A>?:65rb01b>5<5290;w)=kc;33<>N3;o1C8=94$564>=><,8o268=;;hd:>5<#<==1==;4;n076?6=,=><6?:n;I672>=zj89i6=4=:183!5ck3;;46F;3g9K051<,=><6564$0g:>0533`l26=4+4559553<3f8?>7>5$564>72f3A>?:65rb01`>5<1290;w)=kc;60?M24n2B?<:5+45597c=#9l319>:4igc94?"3<>0:<854ig`94?"3<>0:<854iga94?"3<>0:<854igf94?"3<>0:<854igg94?"3<>0:<854o361>5<#<==1>9o4;|`27a<72=0;6=u+3ea961><@=9m7E:?7:&702<50498mcd=83.?8:4>0498mce=83.?8:4>0498k725290/899525c8?xd60>0;6?4?:1y'7ae=9920D9=i;I633>"3<>0346*>e88671=nn00;6):;7;331>=h:=81<7*;46810d=O<=<07pl>8883>7<729q/?im511:8L15a3A>;;6*;468;<>"6m00>?95ff883>!23?3;;965`25094?"3<>098l5G4548?xd60h0;6?4?:1y'7ae=9920D9=i;I633>"3<>0346*>e88671=nn00;6):;7;331>=h:=81<7*;46810d=O<=<07pl>8c83>7<729q/?im511:8L15a3A>;;6*;468;<>"6m00>?95ff883>!23?3;;965`25094?"3<>098l5G4548?xd60j0;6?4?:1y'7ae=9920D9=i;I633>"3<>0346*>e88671=nn00;6):;7;331>=h:=81<7*;46810d=O<=<07pl>8e83>7<729q/?im511:8L15a3A>;;6*;468;<>"6m00>?95ff883>!23?3;;965`25094?"3<>098l5G4548?xd60l0;6?4?:1y'7ae=9920D9=i;I633>"3<>0346*>e88671=nn00;6):;7;331>=h:=81<7*;46810d=O<=<07pl>8g83>6<729q/?im5419K06`<@=:<7):;7;1e?!7b13?886gia;29 12028:>76gib;29 12028:>76a=4383>!23?38?m65rb0;3>5:4igc94?"3<>0:<854ig`94?"3<>0:<854iga94?"3<>0:<854igf94?"3<>0:<854igg94?"3<>0:<854igd94?"3<>0:<854i023>5<#<==1==;4;n076?6=,=><6?:n;:a5=>=83>1<7>t$2f`>72?3A>8j6F;069'011=:=<0({e;;0;684?:1y'7ae=:=30D9=i;I633>"3<>098;5+1d;916296=4+455961g<3th887>53;294~"4lj0?<6F;3g9K051<,=><6>h4$0g:>0533`lj6=4+4559553<3`li6=4+4559553<3f8?>7>5$564>72f32wi?84?:383>5}#;mi1==64H51e?M27?2.?8:478:&2a<<2;=1bj44?:%673?77=21d>9<50;&702<58;%673?>?3-;n57;<4:ke=?6=,=><6<>:;:m107<72->?;7<;a:J703=<6564$0g:>0533`l26=4+4559553<3f8?>7>5$564>72f3A>?:65rb2:94?4=83:p(>jl:02;?M24n2B?<:5+4559<==#9l319>:4ig;94?"3<>0:<854o361>5<#<==1>9o4H565?>{e;00;6>4?:1y'7ae=<91C8>h4H524?!23?39m7)?j9;700>oai3:1(9:8:026?>oaj3:1(9:8:026?>i5<;0;6):;7;07e>=zj:k1<7;50;2x 6bd2=80D9=i;I633>"3<>08j6*>e88671=nnh0;6):;7;331>=nnk0;6):;7;331>=nnj0;6):;7;331>=nnm0;6):;7;331>=h:=81<7*;46810d=<6?:9;%3f=?34<2cmm7>5$564>46232cmn7>5$564>46232e98?4?:%673?43i21vn>=50;194?6|,:nh6?:8;I60b>N38>1/89952548 4c>2<9?7dhn:18'011=99?07dhm:18'011=99?07b<;2;29 1202;>j76sm11f94?5=83:p(>jl:364?M24n2B?<:5+4559610<,8o268=;;hdb>5<#<==1==;4;hda>5<#<==1==;4;n076?6=,=><6?:n;:a540=8381<7>t$2f`>46?3A>8j6F;069'011=011/=h755268mc?=83.?8:4>0498k725290/899525c8L12132wi=<950;094?6|,:nh6<>7;I60b>N38>1/8995899'5`?==:>0ek750;&702<68<10c?:=:18'011=:=k0D9:9;:a54>=8391<7>t$2f`>16<@=9m7E:?7:&702<4n2.:i44:359jbd<72->?;7??5:9jbg<72->?;7??5:9l614=83.?8:4=4`98yg7613:1>7>50z&0`f<6811C8>h4H524?!23?3237)?j9;700>oa13:1(9:8:026?>i5<;0;6):;7;07e>N3a;296?6=8r.8hn4>099K06`<@=:<7):;7;:;?!7b13?886gi9;29 12028:>76a=4383>!23?38?m6F;4798yg76j3:1>7>50z&0`f<6811C8>h4H524?!23?3237)?j9;700>oa13:1(9:8:026?>i5<;0;6):;7;07e>N3c;296?6=8r.8hn4>099K06`<@=:<7):;7;:;?!7b13?886gi9;29 12028:>76a=4383>!23?38?m6F;4798yg76l3:1>7>50z&0`f<6811C8>h4H524?!23?3237)?j9;700>oa13:1(9:8:026?>i5<;0;6):;7;07e>N32<9?7dhn:18'011=99?07dhm:18'011=99?07b<;2;29 1202;>j76sm11d94?5=83:p(>jl:528L15a3A>;;6*;4680b>"6m00>?95ff`83>!23?3;;965ffc83>!23?3;;965`25094?"3<>098l54}c324?6=>3:1N3;o1C8=94$564>6`<,8o268=;;hdb>5<#<==1==;4;hda>5<#<==1==;4;hd`>5<#<==1==;4;hdg>5<#<==1==;4;hdf>5<#<==1==;4;n076?6=,=><6?:n;:a547=8391<7>t$2f`>7203A>8j6F;069'011=:=<0({e9881<7=50;2x 6bd2;><7E:984$0g:>0533`lj6=4+4559553<3`li6=4+4559553<3f8?>7>5$564>72f32wi=<=50;194?6|,:nh69>4H51e?M27?2.?8:49<50;&702<54;297?6=8r.8hn4=469K06`<@=:<7):;7;072>"6m00>?95ff`83>!23?3;;965ffc83>!23?3;;965`25094?"3<>098l54}c321?6=<3:18;%673?43>2.:i44:359jbd<72->?;7??5:9jbg<72->?;7??5:9jbf<72->?;7??5:9l614=83.?8:4=4`98yg21>3:1?7>50z&0`f<5<>1C8>h4H524?!23?38?:6*>e88671=nnh0;6):;7;331>=nnk0;6):;7;331>=h:=81<7*;46810d==47>52;294~"4lj0:<55G42d8L1603->?;767;%3f=?34<2cm57>5$564>46232e98?4?:%673?43i2B?8;54}c65=?6=:3:18;%673?>?3-;n57;<4:ke=?6=,=><6<>:;:m107<72->?;7<;a:J703==m7>52;294~"4lj0:<55G42d8L1603->?;767;%3f=?34<2cm57>5$564>46232e98?4?:%673?43i2B?8;54}c65f?6=:3:18;%673?>?3-;n57;<4:ke=?6=,=><6<>:;:m107<72->?;7<;a:J703==o7>52;294~"4lj0:<55G42d8L1603->?;767;%3f=?34<2cm57>5$564>46232e98?4?:%673?43i2B?8;54}c65`?6=;3:18;%673?43>2.:i44:359jbd<72->?;7??5:9jbg<72->?;7??5:9l614=83.?8:4=4`98yg21m3:1?7>50z&0`f<382B??k5G4158 1202:l0({e"3<>08j6*>e88671=nnh0;6):;7;331>=nnk0;6):;7;331>=nnj0;6):;7;331>=h:=81<7*;46810d==;7>53;294~"4lj0?<6F;3g9K051<,=><6>h4$0g:>0533`lj6=4+4559553<3`li6=4+4559553<3f8?>7>5$564>72f32wi8o<50;194?6|,:nh69>4H51e?M27?2.?8:49<50;&702<5"6m00>?95ff`83>!23?3;;965ffc83>!23?3;;965`25094?"3<>098l54}c6a0?6=;3:1N3;o1C8=94$564>6`<,8o268=;;hdb>5<#<==1==;4;hda>5<#<==1==;4;n076?6=,=><6?:n;:a`1<72;0;6=u+3ea955><@=9m7E:?7:&702?;7??5:9l614=83.?8:4=4`9K010<3tho;7>53;294~"4lj0?<6F;3g9K051<,=><6>h4$0g:>0533`lj6=4+4559553<3`li6=4+4559553<3f8?>7>5$564>72f32wih54?:383>5}#;mi1==64H51e?M27?2.?8:478:&2a<<2;=1bj44?:%673?77=21d>9<50;&702<58;%673?>?3-;n57;<4:ke=?6=,=><6<>:;:m107<72->?;7<;a:J703=<6564$0g:>0533`l26=4+4559553<3f8?>7>5$564>72f3A>?:65rbe`94?4=83:p(>jl:02;?M24n2B?<:5+4559<==#9l319>:4ig;94?"3<>0:<854o361>5<#<==1>9o4H565?>{elj0;6>4?:1y'7ae=<91C8>h4H524?!23?39m7)?j9;700>oai3:1(9:8:026?>oaj3:1(9:8:026?>i5<;0;6):;7;07e>=zjmn1<7=50;2x 6bd2=:0D9=i;I633>"3<>08j6*>e88671=nnh0;6):;7;331>=nnk0;6):;7;331>=h:=81<7*;46810d=<6?:9;%3f=?34<2cmm7>5$564>46232cmn7>5$564>46232e98?4?:%673?43i21vni;50;694?6|,:nh69?4H51e?M27?2.?8:49<50;&702<55<7s-9oo7<;7:J77c=O<9=0(9:8:365?!7b13?886gia;29 12028:>76gib;29 12028:>76a=4383>!23?38?m65rbcc94?4=83:p(>jl:02;?M24n2B?<:5+4559<==#9l319>:4ig;94?"3<>0:<854o361>5<#<==1>9o4H565?>{ejk0;694?:1y'7ae=:=20D9=i;I633>"3<>098;5+1d;916296=4+455961g<3thio7>52;294~"4lj0:<55G42d8L1603->?;767;%3f=?34<2cm57>5$564>46232e98?4?:%673?43i2B?8;54}c`g>5<4290;w)=kc;63?M24n2B?<:5+45597c=#9l319>:4igc94?"3<>0:<854ig`94?"3<>0:<854o361>5<#<==1>9o4;|`aa?6=;3:1N3;o1C8=94$564>6`<,8o268=;;hdb>5<#<==1==;4;hda>5<#<==1==;4;n076?6=,=><6?:n;:afc<72:0;6=u+3ea9611<@=9m7E:?7:&702<50498mcd=83.?8:4>0498k725290/899525c8?xu5m3:1?vP=e:?1a?4>n278m7hn;|q05?6=:r79i77i;<10>7253ty8>7>52z?06?43:278?7hn;|q00?6=:r7887<;2:?0=?`f3ty897>52z?01?43:27857hm;|q02?6=:r78:7<;2:?0e?`e3ty8;7>52z?03?43:278m7hl;|q052z?0=?43:278n7hn;|q0e?6=:r78m7<;2:?0f?`e3ty8n7>52z?0f?43:278?7hm;|q4e?6=?rT848ef>;6ik0m563>a28ef>;4<3lj70?>8;da?82e<3lj7p}7c;2955}Y0j16>h47b:?24ge34k=65l4=0;2>=d<583965l4=0;4>=d<583m65l4=0c3>=d<58k:65l4=0c;>=d<5=5<3sW3970;?f;:a?8?320l01o65f89~w<2=83hp14:528d894>42o3010f4=n0169=?5f89>547=nh168;85fc9~wd0=839p1l8528d89dd=nh168o=5f`9~wd1=838p1l859g9>ea<5<;1vl650;0x9d>=:=801ll5fc9~wd?=838p1l7525089de=nh1vlo50;0x9dg=:=801lm5fc9~wdd=838p1ll525089db=nh1vlm50;0x9de=:=801lj5fc9~wdc=83>pRlk4=d59=c=:l=0m563ma;d:?xue:3:1mv3m6;0:b>;dk3k?70j?:g`8907a2oi018?9:gc890702o301<=9:g;89a1=nh16h54i9:?ag?`>3tyi;7>59z?a2?>e34>;m76m;<300?>e34;:j76m;=d<5j214o52c58;f>;d832i70l7:361?xue13:1>v3m6;;e?8da2;>97p}ma;296~;ei38?>63md;da?xuej3:1>v3mb;076>;em3lj7p}mc;296~;ek38?>63me;da?xuel3:1>v3md;076>;en3lj7p}me;296~;em38?>63mf;da?xue;3:14v3l0;0:b>;dk3k>70j?:gc890472o3018?n:g;8945?2o301il5f89>fgg5<>n27h?7<;2:pg7<72;q6o?4=439>g6g1<51o16oi4n5:?g6?`f34?:j7hk;<722?`e34?:47h6;<30=?`>34nj6k74=c`9bg=z{j?1<7098?5rsb494?4|5j<1>9<4=b59bg=z{k?1<76t=b:96<`<5jo1m8525339b<=:=831j45212a9bg=:l>0mn63k9;d:?8de2oi0q~m6:1818e?20l01nl52508yvef2909w0mn:361?8ee2oh0q~mi:1808ec2h>01i>525089a4=nk1vi?50;0x9fc=i=16h?4=439~wa5=83>p1no5f89>g37h6;7253tyo87>52z?g0?43:27oo7hm;|qg1?6=:r7o97<;2:?g2?`e3tyo;7>52z?g3?43:27oi7hn;|qg52z?g=?43:27oh7hm;|qge?6=:r7om7<;2:?g1?`f3tyon7>52z?gf?43:27o97hl;|qgg?6=:r7oo7<;2:?g2?`f3tyoh7>52z?g`?43:27oi7hm;|qga?6=:r7oi7<;2:?g1?`e3tyoj7>52z?`g?5f827h?7hn;|qf4?6=:r7hh7=n0:?`3?`f3tyn=7>52z?`a?5f827hn7hn;|qf3?6=;r7n;7<6f:?gg?`f34ho6ko4}r33f?6==rT:ce<58;;6kl4}r33g?6=:r7:k:181877l38?>63>148ef>{t99o1<772534;:=7hm;|q24c<72;q6==h5250894752ok0q~?>0;296~;699098?521009bg=z{8;:6=4={<325?43:27:=>4ib:p544=838p11283>7}:9891>9<4=037>cd52z?251<5<;16=<;5f`9~w4712909w0?>6;076>;68l0mm6s|10594?4|58;<6?:=;<33a?`e3ty:=54?:3y>54>=:=801<>i:gc8yv7613:1>v3>188107=:99l1jo5rs03b>5<5s4;:m7<;2:?255970?>0;d`?xu69j0;6?u210a9614<58;;6kj4}r32`?6=:r7:=i4=439>546=nl1v5540=n01v<{t9:>1<7;t^017?874<382j63>9`8e=>;61=0mn63>168e=>{t9:?1<7<`<589o6?:=;|q273<72;q6=>852508945d2ok0q~?<7;296~;6;>098?5212a9bf=z{8936=4={<303`83>7}:9:k1>9<4=01g>cg52z?27g<5<;16=>j5fb9~w45d2909w0?;6;m0mn6s|14694?4|V8??70:l7;db?xu6>90;6?uQ1728941320l0q~?84;290~;6?=095k521659b<=:6=47{<3434;:57h6;<652?`f34>i>7hn;|q233<72;q6=:852508941?2ok0q~?87;296~;6?>098?5216:9bg=z{82:6=4<{<3;5?4>n27:5=4ia:?7f722;>970?77;d:?xu60:0;6?u21919614<582>6ko4}r3;0?6=:r7:494=439>5=3=nj1v<69:18187?933m70?78;076>{t91=1<772534;3j7hm;|q2<<<72;q6=575250894?72oh0q~?7a;296~;60h098?521829bf=z{82i6=4={<3;f?43:27:5=4id:p5=e=838p1<6l:361?87>83lm7p}>8e83>7}:91n1>9<4=0;3>cc52z?2<`<5<;16=4>51128yv7?n3:1>v3>8g8107=:9121jl5rs0;3>5<5s4;2<7<;2:?2<=9381=c=:91i1j452378e=>;69j0m56s|18194?4|583964h4=0;5>7253ty:594?:3y>5<2=:=801<79:gc8yv7>=3:1>v3>948107=:90<1jn5rs0;4>534996ko4=03a>c?<58;86ko4=54`>c?52z?2=2<>n27:5h4=439~w4?>2909w0?69;076>;61k0mm6s|18c94?4|583j6?:=;<3:f?`e3ty:5o4?:3y>5k3:1>v3>9b8107=:90n1jo5rs0;g>5<5s4;2h7<;2:?2=`m3lj70o7:ga891da2ok019hj:gc894>e2o301><5fc9>542=nh168;l5f89~w4g72902w0?6f;;e?87f8382j63n8;da?82en3li70:ie;da?87?i3l270==:gf8946c2ok01986:g;8yv7f93:15v3>a18:b>;6i8095k52a98ee>;3k:0mm63:038ee>;6000m563<2;d`?877l3li70:9a;d:?xu6i;0;6?u21`39=c=:9h=1>9<4}r3b7?6=:r7:m>4=439>5d1=nh1v63>a78ee>{t9h?1<772534;j:7hm;|q2e3<72;q6=l85250894g02oh0q~?n8;29`~;6i1095k521`f9bd=:9h91jl52a88ee>;3k80mn63:018ef>;60l0m563>8g8ee>;4<3li70=7:g;8947?2ok01ad8107=z{8kj6=4={<3be?43:27:mn4ia:p5dd=838p1ab83>7}:9hi1>9<4=0cg>cd52z?2ea<5<;16=lk5fc9~w4e32909wS?l4:?6436}:<9k1>4h4=43e>cg<5<;=6kj4}r63f?6=:r7?54`=1>16=>:5969~w104290>w0:92;:a?82a?32i70:m8;:a?82f932i70:94;076>{t<`<5=<<6?:=;|q723<72;q68;852508910c2ok0q~:98;296~;3>1098?5247f9bg=z{=<26=4={<65=?43:27?:k4ia:p03g=838p198n:361?821m3lj7p};6c83>7}:9<4=54f>cd=o7>52z?72f<5<;168;95fc9~w10c2909w0:9d;076>;3>o0mn6s|47g94?4|5=03`=:=801988:gc8yv20;3:1>vP;729>577=n01v979:181[2>>27:?l4i9:p0d7=838pR9o>;<6b5?4>n2wx8l=50;0x91g620l019o;:361?xu3il0;6?uQ4`g891ga2;>97p};b083>7}:i>7>52z?7f7<5<;168o=5fc9~w1d42909w0:m3;076>;3j=0mn6s|4c:94?5|V=h370:m8;0:b>;3k90m56s|4cg94?4|5=i26k74=5a4>7253ty?nk4?:3y>0g`=:=8019m9:g`8yv2d83:1>v3;c18107=:5<5s4>h=7<;2:?7g1970:l4;da?xu3k:0;6?u24b19614<5=i>6kl4}r6`0?6=:r7?o94=439>0f0=nj1v9m::18182d=38?>63;c78ee>{t72534>h;7hm;|q7g=<72;q68o659g9>0f?=:=80q~:jc;296~X3mj16=>l5f89~w1`02908wS:i7:?7b2<51o168kh5f89~w1`c2909w0;?8;d:?837>38?>6s|4gg94?4|5=ln6?:=;<731?`e3ty?jk4?:3y>0c`=:=8018>=:g`8yv3783:1>v3:018107=:=991jl5rs422>5<5s4?;=7<;2:?646970;?4;da?xu28:0;6?u25119614<5<:>6km4}r730?6=:r7><94=439>153=nh1v8>::181837=38?>63:078ef>{t=9=1<7<`<5<:36?:=;|q64c<72:qU9=h4=42e>7?a34;8;7h6;|q656<72;q69=h59g9>142=:=80q~;>5;296~;28o02963:1e8107=z{<;=6=4={<722?43:27>=n4ia:p141=838p18?8:361?836j3lj7p}:1983>7}:=821>9<4=43a>cd52z?65<<5<;169a;076>;29m0mo6s|50`94?4|5<;i6?:=;<72g?`e3ty>=n4?:3y>14e=:=8018?k:g`8yv36m3:1>v3:158e=>;2::098?5rs43e>5<5s4?:j7<;2:?66650;0x90472;>970;=2;db?xu2:80;6?u25339614<5<896kl4}r716?6=:r7>>?4=439>175=nk1v8<;:181[35<27?5;n1;a?6=3`296=44o5:3>5<>i3n90;66a8a;29?l26?3:17d;=d;29?j4el3:17d50z&0`fo7)?j9;700>ia?3:1(9:8:36b?>{e<;k1<7=52;6x 6bd2lo0D9=i;I633>"68>0n7)?j9;700>o?j3:17d7i:188k7?a2900n?7i:186>7<1s-9oo7h>;%3f=?34<2e95k4?::k1=`<722c2j7>5;h;4>5<3<729q/8995f29j553=83.?8:4>0498m<3=83.?8:465:9j=3<72->?;779;:k0e4<72->?;7=n1:9j70d=83.?8:4<5c98k72f290/899525c8?xd3<;0;6<4?:1y'011=:=?0c?:k:18'011=:=k07p}6f;296~X>n27m=7??5:p{t1>0;6?uQ969>b4<4=k1v?7j:181[4>m27m=7=n1:p6<`=838pR?7i;72f3ty?<54?:3y>b4<>=27?8?4=4e9~yg4=83;1<7>t$525><=#;mi1j55+455901b<,8o268=;;nd4>5<#<==1>9o4;|q1=c<72;qU>4h4=3;e>7?a3ty2j7>52z\:b>;51o02j6s|8c83>7}Y0k16>4h58c9~w0<72:q6>4h528g897?a20=01?4i7:&7435;h;e>5<5<22;0=w)=kc;d2?!7b13?886a=9g83>>o51l0;66g6f;29?l?02900e5l50;9ab4<72?0;6=u+4559b6=n99?1<7*;468240==n1?0;6):;7;;5?>o4i80;6):;7;1b5>=n;j6=4+455961g<3th?8?4?:083>5}#<==1>9;4o36g>5<#<==1>9o4;|q:b?6=:rT2j63i1;331>{t0k0;6?uQ8c9>b4<>>2wx5:4?:3y]=2=:n8089o5rs3;f>5<5sW82i63i1;1b5>{t:0l1<7j7p};0983>7}:n802963;43810a=zuk81<7?50;2x 161201/?im5f99'011=<=n0(n2795k46f:pu228d96"38?0h7psm43694?5=:3>p(>jl:dg8L15a3A>;;6*>068f?!7b13?886g7b;29?l?a2900c?7i:188f7?a290>6?49{%1gg?`63-;n57;<4:m1=c<722c95h4?::k:b?6=3`3<6=44i9`94?=en80;6;4?:1y'011=n:1b==;50;&702<68<10e4;50;&702<>=21b5;4?:%673??132c8m<4?:%673?5f921b?8l50;&702<4=k10c?:n:18'011=:=k07pl;4383>4<729q/89952578k72c290/899525c8?xu>n3:1>vP6f:?e5?77=2wx4o4?:3y]7}Y1>16j<4<5c9~w7?b2909wS<6e:?e5?5f92wx>4h50;0xZ7?a34l:6?:n;|q74=<72;q6j<465:?707<50533fl<6=4+455961g<3ty95k4?:3y]6<`<5;3m6?7i;|q:b?6=:rT2j63=9g8:b>{t0k0;6?uQ8c9>6<`=0k1v84?:2y>6<`=:0o01?7i:858977:t$2f`>`c<@=9m7E:?7:&2425<i51o0;66g=9d83>>o>n3:17d78:188m=d=831ij<4?:783>5}#<==1j>5f11794?"3<>0:<854i8794?"3<>02965f9783>!23?33=76g!23?39j=65f34`94?"3<>089o54o36b>5<#<==1>9o4;|`707<7280;6=u+4559613o6=4+455961g<3ty2j7>52z\:b>;a93;;96s|8c83>7}Y0k16j<466:p=2<72;qU5:52f0801g=z{;3n6=4={_0:a>;a939j=6s|28d94?4|V;3m70h>:36b?xu3810;6?u2f08:1>;3<;098i5r}c094?7=83:p(9>9:89'7ae=n11/899545f8 4c>2<9?7bh8:18'011=:=k07p}=9g83>7}Y:0l01?7i:3;e?xu>n3:1>vP6f:?1=c<>n2wx4o4?:3y]6}::0l1>4k4=3;e><1<5;0m;6*;078`?x{e<8n1<7=52;6x 6bd2lo0D9=i;I633>"68>0n7)?j9;700>o?j3:17d7i:188k7?a2900n?7i:186>7<1s-9oo7h>;%3f=?34<2e95k4?::k1=`<722c2j7>5;h;4>5<3<729q/8995f29j553=83.?8:4>0498m<3=83.?8:465:9j=3<72->?;779;:k0e4<72->?;7=n1:9j70d=83.?8:4<5c98k72f290/899525c8?xd3<;0;6<4?:1y'011=:=?0c?:k:18'011=:=k07p}6f;296~X>n27m=7??5:p{t1>0;6?uQ969>b4<4=k1v?7j:181[4>m27m=7=n1:p6<`=838pR?7i;72f3ty?<54?:3y>b4<>=27?8?4=4e9~yg4=83;1<7>t$525><=#;mi1j55+455901b<,8o268=;;nd4>5<#<==1>9o4;|q1=c<72;qU>4h4=3;e>7?a3ty2j7>52z\:b>;51o02j6s|8c83>7}Y0k16>4h58c9~w0<72:q6>4h528g897?a20=01?4i7:&743lh50;796?0|,:nh6k>4H51e?M27?2.:i44:359j=0<722c2;7>5;h:a>5<::18'011=99?07d7::18'011=1<10e4850;&702<>>21b?l?50;&702<4i810e>;m:18'011=;j76sm45694?7=83:p(9:8:56g?j43i3:1(9:8:36b?>{t:0l1<7n38?m6s|9g83>7}Y1o16>4h51178yv?02909wS78;<0:b?52j2wx584?:3y]=0=::0l1585rs9`94?4|V1h01?7i:848yv`?2909w0<6f;1b5>;3<=098l5r}c0b6?6==381:v*N3;o1C8=94$0g:>0533`3>6=44i8594?=n0k0;66g6f;29?j4>n3:17o<6f;292?6=8r.?8:4i3:k240<72->?;7??5:9j=0<72->?;77:;:k:2?6=,=><6484;h1b5?6=,=><6>o>;:k01g<72->?;7=:b:9l61g=83.?8:4=4`98yg23<3:1=7>50z&702<39o50;&702<54h525c8yv?a2909wS7i;<0:b?77=2wx5:4?:3y]=2=::0l1?8l4}r;6>5<5sW3>70<6f;;6?xu?j3:1>vP7b:?1=c<>>2wxj54?:3y>6<`=;h;019:;:36b?x{e;o?1<7;52;4x 6bd2o:0D9=i;I633>"68>0n7)?j9;700>o>=3:17d78:188m=d=831b5k4?::m1=c<722h95k4?:783>5}#<==1j>5f11794?"3<>0:<854i8794?"3<>02965f9783>!23?33=76g!23?39j=65f34`94?"3<>089o54o36b>5<#<==1>9o4;|`701<7280;6=u+455901bj6=4+455961g<3ty95k4?:3y]6<`<5;3m6?:n;|q:b?6=:rT2j63=9g8240=z{0=1<7=2795k465:p6g634>?87<;a:~f6`6290>6?49{%1gg?`73A>8j6F;069'551=m2.:i44:359j=0<722c2;7>5;h:a>5<::18'011=99?07d7::18'011=1<10e4850;&702<>>21b?l?50;&702<4i810e>;m:18'011=;j76sm45694?7=83:p(9:8:56g?j43i3:1(9:8:36b?>{t:0l1<7n38?m6s|9g83>7}Y1o16>4h51178yv?02909wS78;<0:b?52j2wx584?:3y]=0=::0l1585rs9`94?4|V1h01?7i:848yv`?2909w0<6f;1b5>;3<=098l5r}c1f`?6==381:v*N3;o1C8=94$024>`=#9l319>:4i8794?=n1>0;66g7b;29?l?a2900c?7i:188f7?a290=6=4?{%673?`43`;;97>5$564>46232c297>5$564><3<3`3=6=4+4559=3=n7>5$564>63e32e98l4?:%673?43i21vn9:;:182>5<7s->?;7:;d:m10d<72->?;7<;a:9~w7?a2909wS<6f:?1=c<5:;|q:3?6=:rT2;63=9g801g=z{0?1<7e3482j779;|qe012=:=k0qpl0<52?q/?im5f19K06`<@=:<7)??7;g8 4c>2<9?7d7::188m<1=831b4o4?::k:b?6=3f82j7>5;c0:b?6=>3:1o68<0;6):;7;331>=n1<0;6):;7;;6?>o>>3:1(9:8:848?l5f93:1(9:8:2c2?>o4=k0;6):;7;16f>=h:=k1<7*;46810d=?87>51;294~"3<>0?8i5`25c94?"3<>098l54}r0:b?6=:rT95k5228d961gn3;;96s|9683>7}Y1>16>4h534`8yv?22909wS7:;<0:b??23ty3n7>52z\;f>;51o02:6s|f983>7}::0l1?l?4=567>72f3twiio4?:281>1}#;mi1ih5G42d8L1603-;n57;<4:k;f?6=3`3m6=44o3;e>5<i51o0;66g=9d83>>o>n3:17d78:188m=d=831ij<4?:783>5}#<==1j>5f11794?"3<>0:<854i8794?"3<>02965f9783>!23?33=76g!23?39j=65f34`94?"3<>089o54o36b>5<#<==1>9o4;|`707<7280;6=u+4559613o6=4+455961g<3ty2j7>52z\:b>;a93;;96s|8c83>7}Y0k16j<466:p=2<72;qU5:52f0801g=z{;3n6=4={_0:a>;a939j=6s|28d94?4|V;3m70h>:36b?xu3810;6?u2f08:1>;3<;098i5r}c094?7=83:p(9>9:89'7ae=n11/899545f8 4c>2<9?7bh8:18'011=:=k07p}=9g83>7}Y:0l01?7i:3;e?xu>n3:1>vP6f:?1=c<>n2wx4o4?:3y]6}::0l1>4k4=3;e><1<5;0m;6*;078`?x{emh0;6>4=:5y'7ae=ml1C8>h4H524?!7b13?886g7b;29?l?a2900c?7i:188f7?a290>6?49{%1gg?`63-;n57;<4:m1=c<722c95h4?::k:b?6=3`3<6=44i9`94?=en80;6;4?:1y'011=n:1b==;50;&702<68<10e4;50;&702<>=21b5;4?:%673??132c8m<4?:%673?5f921b?8l50;&702<4=k10c?:n:18'011=:=k07pl;4383>4<729q/89952578k72c290/899525c8?xu>n3:1>vP6f:?e5?77=2wx4o4?:3y]7}Y1>16j<4<5c9~w7?b2909wS<6e:?e5?5f92wx>4h50;0xZ7?a34l:6?:n;|q74=<72;q6j<465:?707<50533fl<6=4+455961g<3ty95k4?:3y]6<`<5;3m6?7i;|q:b?6=:rT2j63=9g8:b>{t0k0;6?uQ8c9>6<`=0k1v84?:2y>6<`=:0o01?7i:858977:t$2f`>`c<@=9m7E:?7:&2a<<2;=1b4o4?::k:b?6=3f82j7>5;c0:b?6==381:v*"6m00>?95`28d94?=n:0o1<75f9g83>>o>?3:17d6m:188fc7=83<1<7>t$564>c56=4+4559553<3`3>6=4+4559=0==n;h;1<7*;4680e4=5$564>72f32wi89<50;394?6|,=><6?::;n07`?6=,=><6?:n;:p=c<72;qU5k52f08240=z{1h1<7;a938?m6s|41:94?4|5o;15852450961b:183!27>330(>jl:g:8 1202=>o7)?j9;700>ia?3:1(9:8:36b?>{t:0l1<7n382j6s|9g83>7}Y1o16>4h59g9~w=d=838pR5l4=3;e>=d7?b3482j778;<09b2=#<9<1o6srb5d3>5<42;0?w)=kc;gf?M24n2B?<:5+1d;9162>i51o0;66l=9g83>0<52?q/?im5f09'5`?==:>0c?7i:188m7?b2900e4h50;9j=2<722c3n7>5;cd2>5<1290;w):;7;d0?l77=3:1(9:8:026?>o>=3:1(9:8:878?l?1290/89959798m6g6290/89953`38?l52j3:1(9:8:27a?>i5=zj=>96=4>:183!23?38?96a=4e83>!23?38?m65rs8d94?4|V0l01k?51178yv>e2909wS6m;<07}Y:0o01k?53`38yv4>n3:1>vP=9g9>b4<57:1818`620?019:=:36g?x{e:3:1=7>50z&743<>3-9oo7h7;%673?23l2.:i44:359lb2<72->?;7<;a:9~w7?a2909wS<6f:?1=c<51o1v4h50;0xZ<`<5;3m64h4}r:a>5<5sW2i70<6f;:a?xu22908w0<6f;0:a>;51o02;63=:g58 1612j1vqo9n:180>7<3s-9oo7kj;I60b>N38>1/=h755268m=d=831b5k4?::m1=c<722h95k4?:481>3}#;mi1j<5+1d;91625<>o?j3:17oh>:185>5<7s->?;7h<;h331?6=,=><6<>:;:k:1?6=,=><64;4;h;5>5<#<==15;54i2c2>5<#<==1?l?4;h16f?6=,=><6>;m;:m10d<72->?;7<;a:9~f125290:6=4?{%673?43=2e98i4?:%673?43i21v4h50;0xZ<`<5o;1==;4}r:a>5<5sW2i70h>:848yv?02909wS78;63e3ty95h4?:3y]6<3<5=>96?:k;|a6?6=93:1"6m00>?95`f683>!23?38?m65rs3;e>5<5sW82j63=9g81=c=z{0l1<7e3482j76m;|q6>5<4s482j7<6e:?1=c<>?2796k94$525>f=zuk>i;7>53;090~"4lj0ni6F;3g9K051<,8o268=;;h:a>5<n3:17d<6e;29?l?a2900e4950;9j56;294~"3<>0m?6g>0483>!23?3;;965f9483>!23?33>76g66;29 12020<07d=n1;29 1202:k:76g<5c83>!23?39>n65`25c94?"3<>098l54}c676?6=93:17p}7b;296~X?j27m=779;|q:3?6=:rT2;63i1;16f>{t:0o1<77}Y:0l01k?525c8yv2703:1>v3i1;;6?823:38?h6srb383>4<729q/8=859:&0`f0ck950;&702<54h528d8yv?a2909wS7i;<0:b??a3ty3n7>52z\;f>;51o03n6s|5;297~;51o095h5228d9=2=::3l<7):?6;a8yxd58;0;684=:7y'7ae=n91C8>h4H524?!7b13?886g65;29?l?02900e5l50;9j=c<722e95k4?::`1=c<72?0;6=u+4559b6=n99?1<7*;468240==n1?0;6):;7;;5?>o4i80;6):;7;1b5>=n;j6=4+455961g<3th?894?:083>5}#<==189j4o36b>5<#<==1>9o4;|q1=c<72;qU>4h4=3;e>72f3ty2j7>52z\:b>;51o0:<85rs8594?4|V0=01?7i:27a?xu>=3:1>vP65:?1=c<>=2wx4o4?:3y]o>;<670?43i2wvn?><:186>7<1s-9oo7h?;I60b>N38>1/=h755268m<3=831b5:4?::k;f?6=3`3m6=44o3;e>5<76g65;29 12020?07d79:18'011=1?10e>o>:18'011=;h;07d=:b;29 1202:?i76a=4`83>!23?38?m65rb567>5<6290;w):;7;67`>i5=z{;3m6=4={_0:b>;51o098l5rs8d94?4|V0l01?7i:026?xu>?3:1>vP67:?1=c<4=k1v4;50;0xZ<3<5;3m64;4}r:a>5<5sW2i70<6f;;5?xua03:1>v3=9g80e4=:<=>1>9o4}|`143<72<096;u+3ea9b5=O<:l0D9>8;%3f=?34<2c297>5;h;4>5<>i51o0;66l=9g83>3<729q/8995f29j553=83.?8:4>0498m<3=83.?8:465:9j=3<72->?;779;:k0e4<72->?;7=n1:9j70d=83.?8:4<5c98k72f290/899525c8?xd3<=0;6<4?:1y'011=<=n0c?:n:18'011=:=k07p}=9g83>7}Y:0l01?7i:36b?xu>n3:1>vP6f:?1=c<68<1v4950;0xZ<1<5;3m6>;m;|q:1?6=:rT2963=9g8:1>{t0k0;6?uQ8c9>6<`=1?1vk650;0x97?a2:k:70:;4;07e>{zj;:<6=4::385!5ck3l;7E::4i8794?=n1>0;66g7b;29?l?a2900c?7i:188f7?a290=6=4?{%673?`43`;;97>5$564>46232c297>5$564><3<3`3=6=4+4559=3=n7>5$564>63e32e98l4?:%673?43i21vn9:;:182>5<7s->?;7:;d:m10d<72->?;7<;a:9~w7?a2909wS<6f:?1=c<5:;|q:3?6=:rT2;63=9g801g=z{0?1<7e3482j779;|qe012=:=k0qpl=0983>0<52?q/?im5f19K06`<@=:<7)?j9;700>o>=3:17d78:188m=d=831b5k4?::m1=c<722h95k4?:783>5}#<==1j>5f11794?"3<>0:<854i8794?"3<>02965f9783>!23?33=76g!23?39j=65f34`94?"3<>089o54o36b>5<#<==1>9o4;|`701<7280;6=u+455901bj6=4+455961g<3ty95k4?:3y]6<`<5;3m6?:n;|q:b?6=:rT2j63=9g8240=z{0=1<7=2795k465:p6g634>?87<;a:~f76>290>6?49{%1gg?`73A>8j6F;069'5`?==:>0e4;50;9j=2<722c3n7>5;h;e>5<5<1290;w):;7;d0?l77=3:1(9:8:026?>o>=3:1(9:8:878?l?1290/89959798m6g6290/89953`38?l52j3:1(9:8:27a?>i5=zj=>?6=4>:183!23?3>?h6a=4`83>!23?38?m65rs3;e>5<5sW82j63=9g810d=z{0l1<77p}67;296~X>?2795k4<5c9~w<3=838pR4;4=3;e><3n33=7p}i8;296~;51o08m<52456961g3}#;mi1j=5G42d8L1603-;n57;<4:k:1?6=3`3<6=44i9`94?=n1o0;66a=9g83>>d51o0;6;4?:1y'011=n:1b==;50;&702<68<10e4;50;&702<>=21b5;4?:%673??132c8m<4?:%673?5f921b?8l50;&702<4=k10c?:n:18'011=:=k07pl;4583>4<729q/899545f8k72f290/899525c8?xu51o0;6?uQ28d897?a2;>j7p}6f;296~X>n2795k4>049~w<1=838pR494=3;e>63e3ty297>52z\:1>;51o0296s|8c83>7}Y0k16>4h5979~wc>=838p1?7i:2c2?823<38?m6srb32a>5<22;0=w)=kc;d3?M24n2B?<:5+1d;9162>o?j3:17d7i:188k7?a2900n?7i:185>5<7s->?;7h<;h331?6=,=><6<>:;:k:1?6=,=><64;4;h;5>5<#<==15;54i2c2>5<#<==1?l?4;h16f?6=,=><6>;m;:m10d<72->?;7<;a:9~f123290:6=4?{%673?23l2e98l4?:%673?43i21v?7i:181[4>n2795k4=4`9~w<`=838pR4h4=3;e>4623ty2;7>52z\:3>;51o089o5rs8794?4|V0?01?7i:878yv>e2909wS6m;<0:b??13tym47>52z?1=c<4i81689:525c8yxd58j0;684=:7y'7ae=n91C8>h4H524?!7b13?886g65;29?l?02900e5l50;9j=c<722e95k4?::`1=c<72?0;6=u+4559b6=n99?1<7*;468240==n1?0;6):;7;;5?>o4i80;6):;7;1b5>=n;j6=4+455961g<3th?894?:083>5}#<==189j4o36b>5<#<==1>9o4;|q1=c<72;qU>4h4=3;e>72f3ty2j7>52z\:b>;51o0:<85rs8594?4|V0=01?7i:27a?xu>=3:1>vP65:?1=c<>=2wx4o4?:3y]o>;<670?43i2wvn?>k:186>7<1s-9oo7h?;I60b>N38>1/=h755268m<3=831b5:4?::k;f?6=3`3m6=44o3;e>5<76g65;29 12020?07d79:18'011=1?10e>o>:18'011=;h;07d=:b;29 1202:?i76a=4`83>!23?38?m65rb567>5<6290;w):;7;67`>i5=z{;3m6=4={_0:b>;51o098l5rs8d94?4|V0l01?7i:026?xu>?3:1>vP67:?1=c<4=k1v4;50;0xZ<3<5;3m64;4}r:a>5<5sW2i70<6f;;5?xua03:1>v3=9g80e4=:<=>1>9o4}|`141<72<096;u+3ea9b5=O<:l0D9>8;%3f=?34<2c297>5;h;4>5<>i51o0;66l=9g83>3<729q/8995f29j553=83.?8:4>0498m<3=83.?8:465:9j=3<72->?;779;:k0e4<72->?;7=n1:9j70d=83.?8:4<5c98k72f290/899525c8?xd3<=0;6<4?:1y'011=<=n0c?:n:18'011=:=k07p}=9g83>7}Y:0l01?7i:36b?xu>n3:1>vP6f:?1=c<68<1v4950;0xZ<1<5;3m6>;m;|q:1?6=:rT2963=9g8:1>{t0k0;6?uQ8c9>6<`=1?1vk650;0x97?a2:k:70:;4;07e>{zj;:>6=4::385!5ck3l;7E::4i8794?=n1>0;66g7b;29?l?a2900c?7i:188f7?a290=6=4?{%673?`43`;;97>5$564>46232c297>5$564><3<3`3=6=4+4559=3=n7>5$564>63e32e98l4?:%673?43i21vn9:;:182>5<7s->?;7:;d:m10d<72->?;7<;a:9~w7?a2909wS<6f:?1=c<5:;|q:3?6=:rT2;63=9g801g=z{0?1<7e3482j779;|qe012=:=k0qpl>f`83>0<52?q/?im5f19K06`<@=:<7)?j9;700>o>=3:17d78:188m=d=831b5k4?::m1=c<722h95k4?:783>5}#<==1j>5f11794?"3<>0:<854i8794?"3<>02965f9783>!23?33=76g!23?39j=65f34`94?"3<>089o54o36b>5<#<==1>9o4;|`701<7280;6=u+455901bj6=4+455961g<3ty95k4?:3y]6<`<5;3m6?:n;|q:b?6=:rT2j63=9g8240=z{0=1<7=2795k465:p6g634>?87<;a:~f6c6290>6?49{%1gg?`73A>8j6F;069'5`?==:>0e4;50;9j=2<722c3n7>5;h;e>5<5<1290;w):;7;d0?l77=3:1(9:8:026?>o>=3:1(9:8:878?l?1290/89959798m6g6290/89953`38?l52j3:1(9:8:27a?>i5=zj=>?6=4>:183!23?3>?h6a=4`83>!23?38?m65rs3;e>5<5sW82j63=9g810d=z{0l1<77p}67;296~X>?2795k4<5c9~w<3=838pR4;4=3;e><3n33=7p}i8;296~;51o08m<52456961g3}#;mi1j=5G42d8L1603-;n57;<4:k:1?6=3`3<6=44i9`94?=n1o0;66a=9g83>>d51o0;6;4?:1y'011=n:1b==;50;&702<68<10e4;50;&702<>=21b5;4?:%673??132c8m<4?:%673?5f921b?8l50;&702<4=k10c?:n:18'011=:=k07pl;4583>4<729q/899545f8k72f290/899525c8?xu51o0;6?uQ28d897?a2;>j7p}6f;296~X>n2795k4>049~w<1=838pR494=3;e>63e3ty297>52z\:1>;51o0296s|8c83>7}Y0k16>4h5979~wc>=838p1?7i:2c2?823<38?m6srb2;;>5<22;0=w)=kc;d3?M24n2B?<:5+1d;9162>o?j3:17d7i:188k7?a2900n?7i:185>5<7s->?;7h<;h331?6=,=><6<>:;:k:1?6=,=><64;4;h;5>5<#<==15;54i2c2>5<#<==1?l?4;h16f?6=,=><6>;m;:m10d<72->?;7<;a:9~f123290:6=4?{%673?23l2e98l4?:%673?43i21v?7i:181[4>n2795k4=4`9~w<`=838pR4h4=3;e>4623ty2;7>52z\:3>;51o089o5rs8794?4|V0?01?7i:878yv>e2909wS6m;<0:b??13tym47>52z?1=c<4i81689:525c8yxd5jm0;684=:7y'7ae=n91C8>h4H524?!7b13?886g65;29?l?02900e5l50;9j=c<722e95k4?::`1=c<72?0;6=u+4559b6=n99?1<7*;468240==n1?0;6):;7;;5?>o4i80;6):;7;1b5>=n;j6=4+455961g<3th?894?:083>5}#<==189j4o36b>5<#<==1>9o4;|q1=c<72;qU>4h4=3;e>72f3ty2j7>52z\:b>;51o0:<85rs8594?4|V0=01?7i:27a?xu>=3:1>vP65:?1=c<>=2wx4o4?:3y]o>;<670?43i2wvn7<3s-9oo7kj;I60b>N38>1/==95e:&2a<<2;=1b4o4?::k:b?6=3f82j7>5;c0:b?6==381:v*"6m00>?95`28d94?=n:0o1<75f9g83>>o>?3:17d6m:188fc7=83<1<7>t$564>c56=4+4559553<3`3>6=4+4559=0==n;h;1<7*;4680e4=5$564>72f32wi89<50;394?6|,=><6?::;n07`?6=,=><6?:n;:p=c<72;qU5k52f08240=z{1h1<7;a938?m6s|41:94?4|5o;15852450961b:183!27>330(>jl:g:8 1202=>o7)?j9;700>ia?3:1(9:8:36b?>{t:0l1<7n382j6s|9g83>7}Y1o16>4h59g9~w=d=838pR5l4=3;e>=d7?b3482j778;<09b2=#<9<1o6srb0fg>5<42;0?w)=kc;gf?M24n2B?<:5+1159a>"6m00>?95f8c83>>o>n3:17b<6f;29?g4>n3:197<56z&0`f4k50;9j=c<722c2;7>5;h:a>5<::18'011=99?07d7::18'011=1<10e4850;&702<>>21b?l?50;&702<4i810e>;m:18'011=;j76sm45094?7=83:p(9:8:366?j43l3:1(9:8:36b?>{t1o0;6?uQ9g9>b4<68<1v5l50;0xZ=d<5o;15;5rs8594?4|V0=01k?534`8yv4>m3:1>vP=9d9>b4<4i81v?7i:181[4>n27m=7<;a:p05>=838p1k?5949>014=:=n0qpl=:182>5<7s->;:774$2f`>c><,=><69:k;%3f=?34<2em;7>5$564>72f32wx>4h50;0xZ7?a3482j7<6f:p=c<72;qU5k5228d9=c=z{1h1<71/8=85c:~f6`e29086<4<{I633>"4lj0:ii5fa583>>of=3:17b=n0;29?g23j3:1?7>50z&0`f<3;l1C8>h4H524?!23?3>8h6*>e88671=nnh0;6):;7;331>=nnk0;6):;7;331>=h:=81<7*;46810d=o?;<67f?43:2wvn>hn:180>4<4sA>;;6*"6m00>?95ff`83>!23?3;;965ffc83>!23?3;;965`25094?"3<>098l54}rc7>5<5sWk?70:;b;da?xuf=3:1>vPn5:?70g50;0xZ6g734>?n7<;2:~f6`>29086<4<{I633>"4lj0:ii5fa583>>of=3:17b=n0;29?g23j3:1?7>50z&0`f<3;l1C8>h4H524?!23?3>8h6*>e88671=nnh0;6):;7;331>=nnk0;6):;7;331>=h:=81<7*;46810d=o?;<67f?43:2wvn?>i:180>4<4sA>;;6*"6m00>?95ff`83>!23?3;;965ffc83>!23?3;;965`25094?"3<>098l54}rc7>5<5sWk?70:;b;da?xuf=3:1>vPn5:?70g50;0xZ6g734>?n7<;2:~f76b29086<4<{I633>"4lj0:ii5fa583>>of=3:17b=n0;29?g23j3:1?7>50z&0`f<3;l1C8>h4H524?!23?3>8h6*>e88671=nnh0;6):;7;331>=nnk0;6):;7;331>=h:=81<7*;46810d=o?;<67f?43:2wvn??7:180>4<4sA>;;6*"6m00>?95ff`83>!23?3;;965ffc83>!23?3;;965`25094?"3<>098l54}rc7>5<5sWk?70:;b;da?xuf=3:1>vPn5:?70g50;0xZ6g734>?n7<;2:~f77029086<4<{I633>"4lj0:ii5fa583>>of=3:17b=n0;29?g23j3:1?7>50z&0`f<3;l1C8>h4H524?!23?3>8h6*>e88671=nnh0;6):;7;331>=nnk0;6):;7;331>=h:=81<7*;46810d=o?;<67f?43:2wvn??9:180>4<4sA>;;6*"6m00>?95ff`83>!23?3;;965ffc83>!23?3;;965`25094?"3<>098l54}rc7>5<5sWk?70:;b;da?xuf=3:1>vPn5:?70g50;0xZ6g734>?n7<;2:~f77229086<4<{I633>"4lj0:ii5fa583>>of=3:17b=n0;29?g23j3:1?7>50z&0`f<3;l1C8>h4H524?!23?3>8h6*>e88671=nnh0;6):;7;331>=nnk0;6):;7;331>=h:=81<7*;46810d=o?;<67f?43:2wvn??;:180>4<4sA>;;6*"6m00>?95ff`83>!23?3;;965ffc83>!23?3;;965`25094?"3<>098l54}rc7>5<5sWk?70:;b;da?xuf=3:1>vPn5:?70g50;0xZ6g734>?n7<;2:~f77429086<4<{I633>"4lj0:ii5fa583>>of=3:17b=n0;29?g23j3:1?7>50z&0`f<3;l1C8>h4H524?!23?3>8h6*>e88671=nnh0;6):;7;331>=nnk0;6):;7;331>=h:=81<7*;46810d=o?;<67f?43:2wvn??=:180>4<4sA>;;6*"6m00>?95ff`83>!23?3;;965ffc83>!23?3;;965`25094?"3<>098l54}rc7>5<5sWk?70:;b;da?xuf=3:1>vPn5:?70g50;0xZ6g734>?n7<;2:~f77629086<4<{I633>"4lj0:ii5fa583>>of=3:17b=n0;29?g23j3:1?7>50z&0`f<3;l1C8>h4H524?!23?3>8h6*>e88671=nnh0;6):;7;331>=nnk0;6):;7;331>=h:=81<7*;46810d=o?;<67f?43:2wvn???:180>4<4sA>;;6*"6m00>?95ff`83>!23?3;;965ffc83>!23?3;;965`25094?"3<>098l54}rc7>5<5sWk?70:;b;da?xuf=3:1>vPn5:?70g50;0xZ6g734>?n7<;2:~f7d229086<4<{I633>"4lj0:ii5fa583>>of=3:17b=n0;29?g23j3:1?7>50z&0`f<3;l1C8>h4H524?!23?3>8h6*>e88671=nnh0;6):;7;331>=nnk0;6):;7;331>=h:=81<7*;46810d=o?;<67f?43:2wvn9=?:180>4<4sA>;;6*"6m00>?95ff`83>!23?3;;965ffc83>!23?3;;965`25094?"3<>098l54}rc7>5<5sWk?70:;b;da?xuf=3:1>vPn5:?70g50;0xZ6g734>?n7<;2:~f14a29086<4<{I633>"4lj0:ii5fa583>>of=3:17b=n0;29?g23j3:1?7>50z&0`f<3;l1C8>h4H524?!23?3>8h6*>e88671=nnh0;6):;7;331>=nnk0;6):;7;331>=h:=81<7*;46810d=o?;<67f?43:2wvn94<4sA>;;6*"6m00>?95ff`83>!23?3;;965ffc83>!23?3;;965`25094?"3<>098l54}rc7>5<5sWk?70:;b;da?xuf=3:1>vPn5:?70g50;0xZ6g734>?n7<;2:~f14c29086<4<{I633>"4lj0:ii5fa583>>of=3:17b=n0;29?g23j3:1?7>50z&0`f<3;l1C8>h4H524?!23?3>8h6*>e88671=nnh0;6):;7;331>=nnk0;6):;7;331>=h:=81<7*;46810d=o?;<67f?43:2wvno>50;094?6|,:nh6<>7;I60b>N38>1/8995899'5`?==:>0ek750;&702<68<10c?:=:18'011=:=k0D9:9;:af4<72:0;6=u+3ea905=O<:l0D9>8;%673?5a3-;n57;<4:kee?6=,=><6<>:;:kef?6=,=><6<>:;:m107<72->?;7<;a:9~f16329086=4?{%1gg?273A>8j6F;069'011=;o1/=h755268mcg=83.?8:4>0498mcd=83.?8:4>0498k725290/899525c8?xd5k00;6?4?:1y'7ae=9920D9=i;I633>"3<>0346*>e88671=nn00;6):;7;331>=h:=81<7*;46810d=O<=<07pl=c`83>7<729q/?im511:8L15a3A>;;6*;468;<>"6m00>?95ff883>!23?3;;965`25094?"3<>098l5G4548?xd5kk0;6>4?:1y'7ae=:==0D9=i;I633>"3<>098;5+1d;916296=4+455961g<3th85:4?:383>5}#;mi1==64H51e?M27?2.?8:478:&2a<<2;=1bj44?:%673?77=21d>9<50;&702<58;%673?5a3-;n57;<4:kee?6=,=><6<>:;:kef?6=,=><6<>:;:m107<72->?;7<;a:9~f14>29086=4?{%1gg?273A>8j6F;069'011=;o1/=h755268mcg=83.?8:4>0498mcd=83.?8:4>0498k725290/899525c8?xd3:?0;6>4?:1y'7ae=<91C8>h4H524?!23?39m7)?j9;700>oai3:1(9:8:026?>oaj3:1(9:8:026?>i5<;0;6):;7;07e>=zj=886=4<:183!5ck3>;7E:96=4+455961g<3th?=k4?:383>5}#;mi1==64H51e?M27?2.?8:478:&2a<<2;=1bj44?:%673?77=21d>9<50;&702<58;%673?5a3-;n57;<4:kee?6=,=><6<>:;:kef?6=,=><6<>:;:m107<72->?;7<;a:9~f15529086=4?{%1gg?273A>8j6F;069'011=;o1/=h755268mcg=83.?8:4>0498mcd=83.?8:4>0498k725290/899525c8?xd3;=0;6>4?:1y'7ae=<91C8>h4H524?!23?39m7)?j9;700>oai3:1(9:8:026?>oaj3:1(9:8:026?>i5<;0;6):;7;07e>=zj=9=6=4<:183!5ck3>;7E:96=4+455961g<3th9n94?:283>5}#;mi1>994H51e?M27?2.?8:4=479'5`?==:>0eko50;&702<68<10ekl50;&702<68<10c?:=:18'011=:=k07pl=b083>7<729q/?im511:8L15a3A>;;6*;468;<>"6m00>?95ff883>!23?3;;965`25094?"3<>098l5G4548?xd5j;0;6>4?:1y'7ae=<91C8>h4H524?!23?39m7)?j9;700>oai3:1(9:8:026?>oaj3:1(9:8:026?>i5<;0;6):;7;07e>=zj;;i6=4<:183!5ck3>;7E:96=4+455961g<3th9=i4?:283>5}#;mi18=5G42d8L1603->?;7=i;%3f=?34<2cmm7>5$564>46232cmn7>5$564>46232e98?4?:%673?43i21vn??i:180>5<7s-9oo7:?;I60b>N38>1/89953g9'5`?==:>0eko50;&702<68<10ekl50;&702<68<10c?:=:18'011=:=k07pl=2083>6<729q/?im5419K06`<@=:<7):;7;1e?!7b13?886gia;29 12028:>76gib;29 12028:>76a=4383>!23?38?m65rb300>5<4290;w)=kc;63?M24n2B?<:5+45597c=#9l319>:4igc94?"3<>0:<854ig`94?"3<>0:<854o361>5<#<==1>9o4;|`160<72:0;6=u+3ea905=O<:l0D9>8;%673?5a3-;n57;<4:kee?6=,=><6<>:;:kef?6=,=><6<>:;:m107<72->?;7<;a:9~f74029086=4?{%1gg?273A>8j6F;069'011=;o1/=h755268mcg=83.?8:4>0498mcd=83.?8:4>0498k725290/899525c8?xd5:00;6>4?:1y'7ae=<91C8>h4H524?!23?39m7)?j9;700>oai3:1(9:8:026?>oaj3:1(9:8:026?>i5<;0;6):;7;07e>=zj;8i6=4<:183!5ck3>;7E:96=4+455961g<3th9>i4?:283>5}#;mi18=5G42d8L1603->?;7=i;%3f=?34<2cmm7>5$564>46232cmn7>5$564>46232e98?4?:%673?43i21vn??6:181>5<7s-9oo7??8:J77c=O<9=0(9:8:9:8 4c>2<9?7dh6:18'011=99?07b<;2;29 1202;>j7E:;6:9~f6`029096=4?{%1gg?7702B??k5G4158 1202120(290/89951178?j43:3:1(9:8:36b?M23>21vn>h7:180>5<7s-9oo7:?;I60b>N38>1/89953g9'5`?==:>0eko50;&702<68<10ekl50;&702<68<10c?:=:18'011=:=k07pl7<729q/?im511:8L15a3A>;;6*;468;<>"6m00>?95ff883>!23?3;;965`25094?"3<>098l5G4548?xd4n=0;6>4?:1y'7ae=<91C8>h4H524?!23?39m7)?j9;700>oai3:1(9:8:026?>oaj3:1(9:8:026?>i5<;0;6):;7;07e>=zj:om6=4=:183!5ck3;;46F;3g9K051<,=><6564$0g:>0533`l26=4+4559553<3f8?>7>5$564>72f3A>?:65rb2d3>5<4290;w)=kc;63?M24n2B?<:5+45597c=#9l319>:4igc94?"3<>0:<854ig`94?"3<>0:<854o361>5<#<==1>9o4;|`0ad<72;0;6=u+3ea955><@=9m7E:?7:&702?;7??5:9l614=83.?8:4=4`9K010<3th8io4?:383>5}#;mi1==64H51e?M27?2.?8:478:&2a<<2;=1bj44?:%673?77=21d>9<50;&702<58;%673?5a3-;n57;<4:kee?6=,=><6<>:;:kef?6=,=><6<>:;:m107<72->?;7<;a:9~f6`c29086=4?{%1gg?273A>8j6F;069'011=;o1/=h755268mcg=83.?8:4>0498mcd=83.?8:4>0498k725290/899525c8?xd4no0;6>4?:1y'7ae=<91C8>h4H524?!23?39m7)?j9;700>oai3:1(9:8:026?>oaj3:1(9:8:026?>i5<;0;6):;7;07e>=zj8o>6=4=:183!5ck3;;46F;3g9K051<,=><6564$0g:>0533`l26=4+4559553<3f8?>7>5$564>72f3A>?:65rb0g5>5<4290;w)=kc;63?M24n2B?<:5+45597c=#9l319>:4igc94?"3<>0:<854ig`94?"3<>0:<854o361>5<#<==1>9o4;|`2`=<72=0;6=u+3ea904=O<:l0D9>8;%673?5a3-;n57;<4:kee?6=,=><6<>:;:kef?6=,=><6<>:;:keg?6=,=><6<>:;:m107<72->?;7<;a:9~f6c429086=4?{%1gg?273A>8j6F;069'011=;o1/=h755268mcg=83.?8:4>0498mcd=83.?8:4>0498k725290/899525c8?xd4m=0;6?4?:1y'7ae=9920D9=i;I633>"3<>0346*>e88671=nn00;6):;7;331>=h:=81<7*;46810d=O<=<07pl7<729q/?im511:8L15a3A>;;6*;468;<>"6m00>?95ff883>!23?3;;965`25094?"3<>098l5G4548?xd4m?0;6>4?:1y'7ae=<91C8>h4H524?!23?39m7)?j9;700>oai3:1(9:8:026?>oaj3:1(9:8:026?>i5<;0;6):;7;07e>=zj:o<6=4<:183!5ck3>;7E:96=4+455961g<3th:jn4?:883>5}#;mi1885G42d8L1603->?;7=i;%3f=?34<2cmm7>5$564>46232cmn7>5$564>46232cmo7>5$564>46232cmh7>5$564>46232cmi7>5$564>46232cmj7>5$564>46232c:<=4?:%673?77=21b==?50;&702<68<10c?:=:18'011=:=k07pl>fe83>6<729q/?im5419K06`<@=:<7):;7;1e?!7b13?886gia;29 12028:>76gib;29 12028:>76a=4383>!23?38?m65rb0df>5<5290;w)=kc;33<>N3;o1C8=94$564>=><,8o268=;;hd:>5<#<==1==;4;n076?6=,=><6?:n;I672>=zj8lm6=4<:183!5ck3>;7E:96=4+455961g<3th9<=4?:283>5}#;mi18=5G42d8L1603->?;7=i;%3f=?34<2cmm7>5$564>46232cmn7>5$564>46232e98?4?:%673?43i21vn?>>:180>5<7s-9oo7:?;I60b>N38>1/89953g9'5`?==:>0eko50;&702<68<10ekl50;&702<68<10c?:=:18'011=:=k07pl<9083>6<729q/?im5419K06`<@=:<7):;7;1e?!7b13?886gia;29 12028:>76gib;29 12028:>76a=4383>!23?38?m65rb2;1>5<5290;w)=kc;33<>N3;o1C8=94$564>=><,8o268=;;hd:>5<#<==1==;4;n076?6=,=><6?:n;I672>=zj:386=4=:183!5ck3;;46F;3g9K051<,=><6564$0g:>0533`l26=4+4559553<3f8?>7>5$564>72f3A>?:65rb2;7>5<4290;w)=kc;63?M24n2B?<:5+45597c=#9l319>:4igc94?"3<>0:<854ig`94?"3<>0:<854o361>5<#<==1>9o4;|`0=0<72:0;6=u+3ea905=O<:l0D9>8;%673?5a3-;n57;<4:kee?6=,=><6<>:;:kef?6=,=><6<>:;:m107<72->?;7<;a:9~f4ba29096=4?{%1gg?7702B??k5G4158 1202120(290/89951178?j43:3:1(9:8:36b?M23>21vn5<7s-9oo7??8:J77c=O<9=0(9:8:9:8 4c>2<9?7dh6:18'011=99?07b<;2;29 1202;>j7E:;6:9~f4c629086=4?{%1gg?273A>8j6F;069'011=;o1/=h755268mcg=83.?8:4>0498mcd=83.?8:4>0498k725290/899525c8?xd6m;0;6>4?:1y'7ae=<91C8>h4H524?!23?39m7)?j9;700>oai3:1(9:8:026?>oaj3:1(9:8:026?>i5<;0;6):;7;07e>=zj8o86=4<:183!5ck38?;6F;3g9K051<,=><6?:9;%3f=?34<2cmm7>5$564>46232cmn7>5$564>46232e98?4?:%673?43i21vn5<7s-9oo7:>;I60b>N38>1/89953g9'5`?==:>0eko50;&702<68<10ekl50;&702<68<10ekm50;&702<68<10c?:=:18'011=:=k07pl>db83>6<729q/?im52558L15a3A>;;6*;468103=#9l319>:4igc94?"3<>0:<854ig`94?"3<>0:<854o361>5<#<==1>9o4;|`0=a<72;0;6=u+3ea955><@=9m7E:?7:&702?;7??5:9l614=83.?8:4=4`9K010<3th85h4?:383>5}#;mi1==64H51e?M27?2.?8:478:&2a<<2;=1bj44?:%673?77=21d>9<50;&702<58;%673?5a3-;n57;<4:kee?6=,=><6<>:;:kef?6=,=><6<>:;:keg?6=,=><6<>:;:m107<72->?;7<;a:9~f7da29096=4?{%1gg?7702B??k5G4158 1202120(290/89951178?j43:3:1(9:8:36b?M23>21vn?m?:181>5<7s-9oo7??8:J77c=O<9=0(9:8:9:8 4c>2<9?7dh6:18'011=99?07b<;2;29 1202;>j7E:;6:9~f7e629096=4?{%1gg?7702B??k5G4158 1202120(290/89951178?j43:3:1(9:8:36b?M23>21vn?m=:181>5<7s-9oo7??8:J77c=O<9=0(9:8:9:8 4c>2<9?7dh6:18'011=99?07b<;2;29 1202;>j7E:;6:9~f7e429096=4?{%1gg?7702B??k5G4158 1202120(290/89951178?j43:3:1(9:8:36b?M23>21vn?m;:181>5<7s-9oo7??8:J77c=O<9=0(9:8:9:8 4c>2<9?7dh6:18'011=99?07b<;2;29 1202;>j7E:;6:9~f7e2290=6=4?{%1gg?243A>8j6F;069'011=;o1/=h755268mcg=83.?8:4>0498mcd=83.?8:4>0498mce=83.?8:4>0498mcb=83.?8:4>0498mcc=83.?8:4>0498k725290/899525c8?xd5k?0;6>4?:1y'7ae=<91C8>h4H524?!23?39m7)?j9;700>oai3:1(9:8:026?>oaj3:1(9:8:026?>i5<;0;6):;7;07e>=zj;i<6=4<:183!5ck38?;6F;3g9K051<,=><6?:9;%3f=?34<2cmm7>5$564>46232cmn7>5$564>46232e98?4?:%673?43i21vn?l8:180>5<7s-9oo7:?;I60b>N38>1/89953g9'5`?==:>0eko50;&702<68<10ekl50;&702<68<10c?:=:18'011=:=k07pl=b983>7<729q/?im511:8L15a3A>;;6*;468;<>"6m00>?95ff883>!23?3;;965`25094?"3<>098l5G4548?xd5j00;6?4?:1y'7ae=9920D9=i;I633>"3<>0346*>e88671=nn00;6):;7;331>=h:=81<7*;46810d=O<=<07pl=b`83>6<729q/?im5419K06`<@=:<7):;7;1e?!7b13?886gia;29 12028:>76gib;29 12028:>76a=4383>!23?38?m65rb3`a>5<4290;w)=kc;073>N3;o1C8=94$564>7213-;n57;<4:kee?6=,=><6<>:;:kef?6=,=><6<>:;:m107<72->?;7<;a:9~f7g329086=4?{%1gg?273A>8j6F;069'011=;o1/=h755268mcg=83.?8:4>0498mcd=83.?8:4>0498k725290/899525c8?xd5i<0;6?4?:1y'7ae=9920D9=i;I633>"3<>0346*>e88671=nn00;6):;7;331>=h:=81<7*;46810d=O<=<07pl=a783>6<729q/?im5419K06`<@=:<7):;7;1e?!7b13?886gia;29 12028:>76gib;29 12028:>76a=4383>!23?38?m65rb3c4>5<4290;w)=kc;073>N3;o1C8=94$564>7213-;n57;<4:kee?6=,=><6<>:;:kef?6=,=><6<>:;:m107<72->?;7<;a:9~f7g>29086=4?{%1gg?273A>8j6F;069'011=;o1/=h755268mcg=83.?8:4>0498mcd=83.?8:4>0498k725290/899525c8?xd5ih0;6?4?:1y'7ae=9920D9=i;I633>"3<>0346*>e88671=nn00;6):;7;331>=h:=81<7*;46810d=O<=<07pl=ac83>7<729q/?im511:8L15a3A>;;6*;468;<>"6m00>?95ff883>!23?3;;965`25094?"3<>098l5G4548?xd5ij0;6>4?:1y'7ae=:==0D9=i;I633>"3<>098;5+1d;916296=4+455961g<3th9mi4?:283>5}#;mi18=5G42d8L1603->?;7=i;%3f=?34<2cmm7>5$564>46232cmn7>5$564>46232e98?4?:%673?43i21vn?oj:180>5<7s-9oo7<;7:J77c=O<9=0(9:8:365?!7b13?886gia;29 12028:>76gib;29 12028:>76a=4383>!23?38?m65rb6a94?4=83:p(>jl:02;?M24n2B?<:5+4559<==#9l319>:4ig;94?"3<>0:<854o361>5<#<==1>9o4H565?>{e?m0;6?4?:1y'7ae=9920D9=i;I633>"3<>0346*>e88671=nn00;6):;7;331>=h:=81<7*;46810d=O<=<07pl8e;297?6=8r.8hn4;0:J77c=O<9=0(9:8:2d8 4c>2<9?7dhn:18'011=99?07dhm:18'011=99?07b<;2;29 1202;>j76sm7g83>6<729q/?im5419K06`<@=:<7):;7;1e?!7b13?886gia;29 12028:>76gib;29 12028:>76a=4383>!23?38?m65rb9294?5=83:p(>jl:364?M24n2B?<:5+4559610<,8o268=;;hdb>5<#<==1==;4;hda>5<#<==1==;4;n076?6=,=><6?:n;:a<4<72:0;6=u+3ea905=O<:l0D9>8;%673?5a3-;n57;<4:kee?6=,=><6<>:;:kef?6=,=><6<>:;:m107<72->?;7<;a:9~f1>429086=4?{%1gg?273A>8j6F;069'011=;o1/=h755268mcg=83.?8:4>0498mcd=83.?8:4>0498k725290/899525c8?xd30=0;6?4?:1y'7ae=9920D9=i;I633>"3<>0346*>e88671=nn00;6):;7;331>=h:=81<7*;46810d=O<=<07pl;8483>7<729q/?im511:8L15a3A>;;6*;468;<>"6m00>?95ff883>!23?3;;965`25094?"3<>098l5G4548?xd30?0;6>4?:1y'7ae=<91C8>h4H524?!23?39m7)?j9;700>oai3:1(9:8:026?>oaj3:1(9:8:026?>i5<;0;6):;7;07e>=zj=2<6=4<:183!5ck38?;6F;3g9K051<,=><6?:9;%3f=?34<2cmm7>5$564>46232cmn7>5$564>46232e98?4?:%673?43i21vn967:180>5<7s-9oo7:?;I60b>N38>1/89953g9'5`?==:>0eko50;&702<68<10ekl50;&702<68<10c?:=:18'011=:=k07pl;8883>6<729q/?im52558L15a3A>;;6*;468103=#9l319>:4igc94?"3<>0:<854ig`94?"3<>0:<854o361>5<#<==1>9o4;|q4e?6=;rT;0m3lj7p}8b;296~;0i33m706>:361?xu0k3:1>v38c;076>;0m3li7p}8d;296~;0l38?>638f;da?xu0m3:1>v38e;076>;?83lj7p}8f;296~;0n38?>6370;da?xu?83:1>v370;076>;?93li7p}72;29e~X?:279ol4i9:?76f44ia:?763>4ia:?765=d<5=8<65l4=507>=d<5=8:65l4=53g>=d<5;km65l4=3c1>=d<5:l>65l4=2d2>=d<5:oo65l4=2g;>=d<5lh14o52e`8;f>;30903n63;f18;f>;0i32i70:m7;:a?847:32i7032i70=27855465:?0a=<>=278j8465:?0b4<>=278ii465:?a5?43:27?<94ib:?2`=f5<5<;16n<4ib:pa=<72:q6io46f:?fe?4>n27i<7h6;|qf=?6=:r7nn7<6f:?a5?`f3ty:h:4?:5y>5a>=:=801d881=c=:9l<1jl521ed9b<=:9mi1jl52808ee>;30:0mm63;858e=>{t9mk1<7<`<58nh6?:=;|q2`g<72;q6=il5250894bd2oh0q~?kd;293~;6lm095k521d79b<=:9l81jl521e`9bf=:?j0m5638f;db?82?03lj7p}>dd83>7}:9mn15k521d1961452z?2`c<5<;16=h?5fc9~w4c72909w0?j0;076>;6m;0mn6s|1d394?4|58o:6?:=;<3f7?`f3ty:i?4?:3y>5`4=:=801v3>e48107=:9l<1jo5rs0g4>5<5s4>m<77i;<3f2?43:2wx=ko50;0x94`f2;3m70?k8;d`?xu6nk0;6?u21gc9=c=::9;1>9<4}r3eg?6=:r7:jn4=439>657=nh1v63=018ee>{t9oo1<772534;mj7hm;|q2bc<72;q6=kh5250897672oh0q~n279==4n4:?15g0;c6?846j3lj70?ic;db?xu6mo0;69u221696<`<5;:n6l;4=30g>cg<58lo6ko4}r3e4?6=;r79<84=9g9>65`=i<16=kh5f`9~w4`5290?w0;5980j963=1e8ee>;6nj0mn6s|1g194?2|5;:<6?7i;<026?g2348:j7hn;<3eg?`c3ty:j94?:5y>65>=:0l01??<:`7897462ok011m8522319bd=:9oi1jh5rs0d5>5<3s48;m7<6f:?15084ia:?2bf<6891v;5:>0mm63>fb8eb>{t9o21<7:t=32`>7?a348:;7o:;<01=?`f34;mo7??1:p5c?=83>p1?>k:3;e?84603k>70<=b;db?87al3li7p}=1`83>6}::8;1m95220`9614<5;;o6kl4}r02g?6=;r79=?4n4:?15a<5<;16>3;c7?846n38?>63=208ef>{t:;:1<7=t=337>d2<5;8:6?:=;<017?`e3ty9>?4?:2y>643=i=16>?=5250897422oh0q~<=4;297~;59?0j863=248107=::;=1jo5rs305>5<4s48:;7o;;<013?43:279>44ib:p67>=839p1??7:`68974>2;>970<=b;da?xu5:h0;6>u221g9e1=::;h1>9<4=30g>cd52z?14ci4=439~w74b2909w06s|23d94?4|5;:?64h4=32f>6g73ty9?=4?:3y>653=1o16>=h53`28yv4493:1>v3=028:b>;59908m=5rs311>5<5s48;:77i;<025?5f82wx>>=50;0x976020l01??=:2c3?xu5;=0;6?u221:9=c=::891?l>4}r001?6=:r79<446f:?151<4i91v?=9:181847i33m70<>5;1b4>{t::=1<7<`<5;;=6>o?;|q17=<72;q6>=m59g9>641=;h:0q~<<9;296~;58m02j63=1980e5=z{;9n6=4>8z?705?278j8467:?0b4<>?278ii467:?0a=<>?279?279<;467:?142<>?279<5467:?14<<>?279?279?279<9467:?140<>?27:jl467:?0a4<>?2784h467:?0==<>?279ni467:p6d6=837}::h815k522`5961452z?1e1<5<;16>l95f`9~w7g22909w0;5i?0mn6s|2`494?4|5;k=6?:=;<0b3?`e3ty9m54?:3y>6d4=1<16>lk52508yv4f13:1>v3=a88107=::hi1jo5rs3cb>5<5s48jm7<;2:?1eall50;0x97ge2;>9706dc=nk1v?o>:18584fn382j63=b48b1>;5k=0m563=b88e=>;5i?0mm63=ac8e=>{t:k:1<7<`<5;h96?:=;|q1f4<72;q6>o?5250897d52oh0q~o950;0x97d02;>9706gg=nk1v?ln:18184ei38?>63=bc8ef>{t:ki1<76g7348i>7hn;|q1fa<72:qU>oj4=3`g>7?a348h57h6;|q1f`<72;q6>oj59g9>6f1=:=80q~:361?84d=3lo7p}=c383>7}::j81>9<4=3a6>cc52z?1g6<5<;16>n85f`9~w7e32909w0;5k?0mn6s|2b794?4|5;i>6?:=;<0`3?`f3ty9o;4?:3y>6f0=:=801?m8:g`8yv4d03:1>v3=be8:1>;5kk098?5rs3a:>5<5s48h57<;2:?1ggno50;0x97ef2;>970b2;3m7p}<9183>7}:;0=1j452387961452z?0=4<5<;16?4;5f`9~w6?52909w0=62;076>;41=0mm6s|38194?4|5:386?:=;<1:0?`e3ty8594?:3y>7<2=:=801>7::g`8yv5>>3:1>v3<8d8:b>;41>098?5rs2;;>5<5sW92463<9981=c=z{:3h6=4={<1:7k:361?85>n3lj7p}<9d83>7}:;0o1>9<4=2;e>ce54z\0f6=::k=1jo522`69bg=::h31jo5rs2g2>5<4s49n=7<6f:?741k>:8d896c02;>97p}7}:;l91>9<4=2g4>cg52z?0a1<5<;16?h85f`9~w6c22909w0=j5;076>;4m?0mn6s|3d494?4|5:o=6?:=;<1f3?`e3ty8hi4?:7y>7`>=:0l01>h6:`6896ce2o301>hk:g`896c42ok01>7=:g;8yv5b13:1>v3;4mj098?5rs2gb>5<5s49nm7<;2:?0af970=jc;da?xu4ll0;6;u23df96<`<5:l26l;4=2dg>cg<5:o?6k74=2;2>cg<5:3n6k74}r1fa?6=:r78ii46f:?0b5<5<;1v>ki:18185bn38?>63{t;ml1<78t=2d2>7?a349mm7o:;<1eb?`f349n97h6;<1:7?`>3492h7h6;|q0b7<72;q6?k?59g9>7c2=:=80q~=i3;296~;4n:098?523g69bg=z{:o;6=4:{<1e1?4>n278jo4n5:?0a6h7:361?xu4n>0;6?u23g59614<5:l36kl4}r1eg?6=;r78jl4n4:?0ba<5<;16?kh5fc9~w6`b2909w0=ib;c7?85an38?>6s|41294?4|5:l26>o?;<1e4?`f3ty?<<4?:3y>7cg=;h:01>h;:gc8yv27:3:1>v35<6=279<;465:?142<>=279<5465:?14<<>=279=279=279<9465:?140<>=27:jl465:?741<5<;16>o:5f`9>7c1=n016?k=5f89>7``=n016?ho5f89>6de=nh1v9?7:186826l382j63;2e8b0>;39o0m563;338ef>;5k90m56s|40g94?4|5=;o64h4=503>7253ty?=k4?:3y>04`=:=80195<5s4>9=77i;<617?43:2wx89<4}r62f?6=:4=9g9>07`=i<168>85f`9>6f4=n01v9<7:181825?33m70:=9;076>{t<8i1<7=t=50b>7?a34>8<7o:;<0`1?`e3ty?>o4?:3y>07g=1o168?m52508yv2493:1?v3;2d8b0>;3;;098?524269bg=z{=986=4<{<61b?g334>887<;2:?773;50;0x91572h>019=9:361?xu3;>0;6?u243f97d6<5=886kl4}r60h4070=nk1v9=6:181825n39j<63;288ef>{t<:k1<76g734>9o7hm;|q7<5<724=5:3>7?a34=o6k74=5:0>cd<5=2<6ko4}r6;6?6=:r7?4=46f:?7<<<5<;1v96<:18182?;38?>63;888ee>{t<1>1<772534>3:7hn;|q7<0<72;q685;5250891>12oh0q~:76;296~;30?098?524959bg=z{=2<6=4={<6;3?43:27?454ib:p0=>=838p1967:361?82?13li7p};b483>7}Y;3n9095k5r}c66e?6=:3:18;%673?>?3-;n57;<4:ke=?6=,=><6<>:;:m107<72->?;7<;a:J703=<=7>52;294~"4lj0:<55G42d8L1603->?;767;%3f=?34<2cm57>5$564>46232e98?4?:%673?43i2B?8;54}c662?6=:3:18;%673?>?3-;n57;<4:ke=?6=,=><6<>:;:m107<72->?;7<;a:J703=>;7>52;294~"4lj0:<55G42d8L1603->?;767;%3f=?34<2cm57>5$564>46232e98?4?:%673?43i2B?8;54}c66N3;o1C8=94$564>6`<,8o268=;;hdb>5<#<==1==;4;hda>5<#<==1==;4;n076?6=,=><6?:n;:a0``=8381<7>t$2f`>46?3A>8j6F;069'011=011/=h755268mc?=83.?8:4>0498k725290/899525c8L12132wi88k50;094?6|,:nh6<>;;I60b>N38>1/8995899K573<,8km6<>:;%3f=?34<2cm57>5$564>46232e98?4?:%673?43i21vn9h6:181>5<7s-9oo7??4:J77c=O<9=0(9:8:9:8L4423-;jj7??5:&2a<<2;=1bj44?:%673?77=21d>9<50;&702<5059K06`<@=:<7):;7;:;?M75=2.:mk4>049'5`?==:>0ek750;&702<68<10c?:=:18'011=:=k07plj6;296?6=8r.8hn4>059K06`<@=:<7):;7;:;?M75=2.:mk4>049'5`?==:>0ek750;&702<68<10c?:=:18'011=:=k07pljd;296?6=8r.8hn4>059K06`<@=:<7):;7;:;?M75=2.:mk4>049'5`?==:>0ek750;&702<68<10c?:=:18'011=:=k07pl;9`83>7<729q/?im51168L15a3A>;;6*;468;<>N6:<1/=lh51178 4c>2<9?7dh6:18'011=99?07b<;2;29 1202;>j76sm4c494?4=83:p(>jl:027?M24n2B?<:5+4559<==O9;?0(76a=4383>!23?38?m65rb40;>5<5290;w)=kc;330>N3;o1C8=94$564>=><@88>7)?nf;331>"6m00>?95ff883>!23?3;;965`25094?"3<>098l54}c;0>5<5290;w)=kc;330>N3;o1C8=94$564>=><@88>7)?nf;331>"6m00>?95ff883>!23?3;;965`25094?"3<>098l54}c:g>5<5290;w)=kc;330>N3;o1C8=94$564>=><@88>7)?nf;331>"6m00>?95ff883>!23?3;;965`25094?"3<>098l54}c6ae?6=:3:18;%673?>?3A;996*>ag8240=#9l319>:4ig;94?"3<>0:<854o361>5<#<==1>9o4;|`66c<72;0;6=u+3ea9552<@=9m7E:?7:&70285+1`d9553<,8o268=;;hd:>5<#<==1==;4;n076?6=,=><6?:n;:a34<72;0;6=u+3ea9552<@=9m7E:?7:&70285+1`d9553<,8o268=;;hd:>5<#<==1==;4;n076?6=,=><6?:n;:a35<72;0;6=u+3ea9552<@=9m7E:?7:&70285+1`d9553<,8o268=;;hd:>5<#<==1==;4;n076?6=,=><6?:n;:a2c<72;0;6=u+3ea9552<@=9m7E:?7:&70285+1`d9553<,8o268=;;hd:>5<#<==1==;4;n076?6=,=><6?:n;:a2`<72;0;6=u+3ea9552<@=9m7E:?7:&70285+1`d9553<,8o268=;;hd:>5<#<==1==;4;n076?6=,=><6?:n;:a2f<72;0;6=u+3ea9552<@=9m7E:?7:&70285+1`d9553<,8o268=;;hd:>5<#<==1==;4;n076?6=,=><6?:n;:a2g<72;0;6=u+3ea9552<@=9m7E:?7:&70285+1`d9553<,8o268=;;hd:>5<#<==1==;4;n076?6=,=><6?:n;:a2d<72;0;6=u+3ea9552<@=9m7E:?7:&70285+1`d9553<,8o268=;;hd:>5<#<==1==;4;n076?6=,=><6?:n;:a2<<72;0;6=u+3ea9552<@=9m7E:?7:&70285+1`d9553<,8o268=;;hd:>5<#<==1==;4;n076?6=,=><6?:n;:a2=<72;0;6=u+3ea9552<@=9m7E:?7:&70285+1`d9553<,8o268=;;hd:>5<#<==1==;4;n076?6=,=><6?:n;:a22<72;0;6=u+3ea9552<@=9m7E:?7:&70285+1`d9553<,8o268=;;hd:>5<#<==1==;4;n076?6=,=><6?:n;:a23<72;0;6=u+3ea9552<@=9m7E:?7:&70285+1`d9553<,8o268=;;hd:>5<#<==1==;4;n076?6=,=><6?:n;:a20<72;0;6=u+3ea9552<@=9m7E:?7:&70285+1`d9553<,8o268=;;hd:>5<#<==1==;4;n076?6=,=><6?:n;:a21<72;0;6=u+3ea9552<@=9m7E:?7:&70285+1`d9553<,8o268=;;hd:>5<#<==1==;4;n076?6=,=><6?:n;:a26<72;0;6=u+3ea9552<@=9m7E:?7:&70285+1`d9553<,8o268=;;hd:>5<#<==1==;4;n076?6=,=><6?:n;:a3<<72;0;6=u+3ea9552<@=9m7E:?7:&70285+1`d9553<,8o268=;;hd:>5<#<==1==;4;n076?6=,=><6?:n;:a3=<72;0;6=u+3ea9552<@=9m7E:?7:&70285+1`d9553<,8o268=;;hd:>5<#<==1==;4;n076?6=,=><6?:n;:a32<72;0;6=u+3ea9552<@=9m7E:?7:&70285+1`d9553<,8o268=;;hd:>5<#<==1==;4;n076?6=,=><6?:n;:a33<72;0;6=u+3ea9552<@=9m7E:?7:&70285+1`d9553<,8o268=;;hd:>5<#<==1==;4;n076?6=,=><6?:n;:a30<72;0;6=u+3ea9552<@=9m7E:?7:&70285+1`d9553<,8o268=;;hd:>5<#<==1==;4;n076?6=,=><6?:n;:a31<72;0;6=u+3ea9552<@=9m7E:?7:&70285+1`d9553<,8o268=;;hd:>5<#<==1==;4;n076?6=,=><6?:n;:a36<72;0;6=u+3ea9552<@=9m7E:?7:&70285+1`d9553<,8o268=;;hd:>5<#<==1==;4;n076?6=,=><6?:n;:a37<72;0;6=u+3ea9552<@=9m7E:?7:&70285+1`d9553<,8o268=;;hd:>5<#<==1==;4;n076?6=,=><6?:n;:a2a<72;0;6=u+3ea9552<@=9m7E:?7:&70285+1`d9553<,8o268=;;hd:>5<#<==1==;4;n076?6=,=><6?:n;:a0`b=83>1>7:t$2f`>4433A>8j6F;069'5`?==:>0ek750;9je`<722e98?4?::m24d<722h:<94?:383>5}#;mi1==:4$564>=><@88>7)?nf;331>"6m00>?95ff883>!23?3;;965`25094?"3<>098l54}c070?6=;3;1?v*2cm57>5$564>46232c8o84?:%673??d32e98?4?:%673?43i2B8o:54}rd:>5<5sWl270:;3;d:?xufm3:1>vPne:?706<4k<1v?:=:181[43:27?8>4=439~yv43:3:1>vP=439>552=:=80q~??a;297~X68h16==:5f89>612=:=80q~h6:181[`>348?87h6;|qba?6=:rTji63=458ba>{zj=336=4=:183!5ck38??6F;3g9K051<,=><6564H006?!7fn38?m6*>e88671=nn00;6):;7;331>=h:=81<7*;46810d=52;294~"4lj098>5G42d8L1603->?;767;I311>"6io098l5+1d;916296=4+455961g<3th?j;4?:383>5}#;mi1>9=4H51e?M27?2.?8:478:J260=#9hl1>9o4$0g:>0533`l26=4+4559553<3f8?>7>5$564>72f32wi5<4?:383>5}#;mi1>9=4H51e?M27?2.?8:478:J260=#9hl1>9o4$0g:>0533`l26=4+4559553<3f8?>7>5$564>72f32wi8hl50;094?6|,:nh6?:<;I60b>N38>1/8995899K573<,8km6?:n;%3f=?34<2cm57>5$564>46232e98?4?:%673?43i21vn9k>:181>5<7s-9oo7<;3:J77c=O<9=0(9:8:9:8L4423-;jj7<;a:&2a<<2;=1bj44?:%673?77=21d>9<50;&702<50ek750;&702<68<10c?:=:18'011=:=k07pl;8083>7<729q/?im52518L15a3A>;;6*;468;<>N6:<1/=lh525c8 4c>2<9?7dh6:18'011=99?07b<;2;29 1202;>j76sm47294?5=939p(>jl:367?M24n2B?<:5G1378 4ga2;>j7)?j9;700>oa13:17doj:188k7252900n9:<:180>5<7s->?;7=l6:ke=?6=,=><6<>:;:k0g0<72->?;77l;:m107<72->?;7<;a:J0g2=40ek750;&702<68<10c?:=:18'011=:=k07pl;e283>7<729q/?im52518L15a3A>;;6*;468;<>N6:<1/=lh525c8 4c>2<9?7dh6:18'011=99?07b<;2;29 1202;>j76sm4g`94?5=939p(>jl:367?M24n2B?<:5G1378 4ga2;>j7)?j9;700>oa13:17doj:188k7252900n9:<:180>5<7s->?;7=l6:ke=?6=,=><6<>:;:k0g0<72->?;77l;:m107<72->?;7<;a:J0g2=40ek750;&702<68<10c?:=:18'011=:=k07pl;5583>7<729q/?im52518L15a3A>;;6*;468;<>N6:<1/=lh525c8 4c>2<9?7dh6:18'011=99?07b<;2;29 1202;>j76sm44`94?4=83:p(>jl:360?M24n2B?<:5+4559<==O9;?0(76a=4383>!23?38?m65rb5d0>5<5290;w)=kc;077>N3;o1C8=94$564>=><@88>7)?nf;07e>"6m00>?95ff883>!23?3;;965`25094?"3<>098l54}c6b6?6=:3:18;%673?>?3A;996*>ag810d=#9l319>:4ig;94?"3<>0:<854o361>5<#<==1>9o4;|`7ff<72:0:6>u+3ea9612<@=9m7E:?7:J260=#9hl1>9o4$0g:>0533`l26=44i`g94?=h:=81<75m45194?5=83:p(9:8:2a5?l`>290/89951178?l5d=3:1(9:8:8a8?j43:3:1(9:8:36b?M5d?21vk750;0xZc?<5=>86k74}rcf>5<5sWkn70:;3;1`1>{t:=81<76srb432>5<42808w)=kc;070>N3;o1C8=94H006?!7fn38?m6*>e88671=nn00;66gne;29?j43:3:17o:;3;297?6=8r.?8:4?;7??5:9j7f3=83.?8:46c:9l614=83.?8:4=4`9K7f1<3tym57>52z\e=>;3<:0m56s|ad83>7}Yil1689=53b78yv43:3:1>vP=439>015=:=80qpl;a683>7<729q/?im52518L15a3A>;;6*;468;<>N6:<1/=lh525c8 4c>2<9?7dh6:18'011=99?07b<;2;29 1202;>j76sm4c294?4=83:p(>jl:360?M24n2B?<:5+4559<==O9;?0(76a=4383>!23?38?m65rb556>5<5290;w)=kc;077>N3;o1C8=94$564>=><@88>7)?nf;07e>"6m00>?95ff883>!23?3;;965`25094?"3<>098l54}c126?6=:3:18;%673?>?3A;996*>ag810d=#9l319>:4ig;94?"3<>0:<854o361>5<#<==1>9o4;|`04f<72;0;6=u+3ea9615<@=9m7E:?7:&70285+1`d961g<,8o268=;;hd:>5<#<==1==;4;n076?6=,=><6?:n;:a76c=8381<7>t$2f`>7243A>8j6F;069'011=011C=?;4$0ce>72f3-;n57;<4:ke=?6=,=><6<>:;:m107<72->?;7<;a:9~f65?29096=4?{%1gg?43;2B??k5G4158 1202120D<<:;%3bb?43i2.:i44:359jb<<72->?;7??5:9l614=83.?8:4=4`98yg54:3:1>7>50z&0`f<5<:1C8>h4H524?!23?3237E?=5:&2ec<5

0498k725290/899525c8?xd4:j0;6?4?:1y'7ae=:=90D9=i;I633>"3<>0346F>249'5d`=:=k0(290/89951178?j43:3:1(9:8:36b?>{e;;<1<7<50;2x 6bd2;>87E:j7)?j9;700>oa13:1(9:8:026?>i5<;0;6):;7;07e>=zj:8;6=4=:183!5ck38??6F;3g9K051<,=><6564H006?!7fn38?m6*>e88671=nn00;6):;7;331>=h:=81<7*;46810d=52;294~"4lj098>5G42d8L1603->?;767;I311>"6io098l5+1d;916296=4+455961g<3th8=44?:383>5}#;mi1>9=4H51e?M27?2.?8:478:J260=#9hl1>9o4$0g:>0533`l26=4+4559553<3f8?>7>5$564>72f32wi?<=50;094?6|,:nh6?:<;I60b>N38>1/8995899K573<,8km6?:n;%3f=?34<2cm57>5$564>46232e98?4?:%673?43i21vn>>9:181>5<7s-9oo7<;3:J77c=O<9=0(9:8:9:8L4423-;jj7<;a:&2a<<2;=1bj44?:%673?77=21d>9<50;&702<55<7s->?;7<6a:J742=O99<0(!23?382m65rb5a94?7=83:p(9:8:3;b?M27?2B:<;5+1`d9553<,8oi6?7>;h0:e?6=,=><6?7n;:a0a<7280;6=u+4559650z&702<51h1C8=94H025?!7fn3;;96*>ec8115=n:0k1<7*;4681=d=m6=4>:183!23?382m6F;069K550<,8km6<>:;%3ff?4292c95l4?:%673?4>i21vn8>50;394?6|,=><6?7n;I633>N68?1/=lh51178 4ce2;?97d<6a;29 1202;3j76sm5083>4<729q/899528c8L1603A;;:6*>ag8240=#9lh1>8=4i3;b>5<#<==1>4o4;|`66?6=93:19;%3bb?77=2.:io4=559j6i2B?<:5G1148 4ga28:>7)?jb;061>o51h0;6):;7;0:e>=zj<>1<7?50;2x 1202;3j7E:?7:J243=#9hl1==;4$0ga>7313`82m7>5$564>7?f32wi984?:083>5}#<==1>4o4H524?M77>2.:mk4>049'5`d=:0<0e?7n:18'011=:0k07pl:6;295?6=8r.?8:4=9`9K051<@8:=7)?nf;331>"6mk099:5f28c94?"3<>095l54}c74>5<6290;w):;7;0:e>N38>1C==84$0ce>4623-;nn7<:8:k1=d<72->?;7<6a:9~f0>=83;1<7>t$564>7?f3A>;;6F>079'5d`=99?0(i3:1(9:8:3;b?>{e=h0;6<4?:1y'011=:0k0D9>8;I332>"6io0:<85+1d`960bn7>51;294~"3<>095l5G4158L4613-;jj7??5:&2ag<51>1b>4o50;&702<51h10qo;l:182>5<7s->?;7<6a:J742=O99<0(!23?382m65rb4f94?7=83:p(9:8:3;b?M27?2B:<;5+1`d9553<,8oi6?76;h0:e?6=,=><6?7n;:a1`<7280;6=u+4559650z&702<51h1C8=94H025?!7fn3;;96*>ec8127=n:0k1<7*;4681=d=:183!23?382m6F;069K550<,8km6<>:;%3ff?41i2c95l4?:%673?4>i21vn;?50;394?6|,=><6?7n;I633>N68?1/=lh51178 4ce2;=37d<6a;29 1202;3j76sm6383>4<729q/899528c8L1603A;;:6*>ag8240=#9lh1>5>4i3;b>5<#<==1>4o4;|`;g?6=93:19;%3bb?77=2.:io4=5c9j6i2B?<:5G1148 4ga2;>j7)?jb;042>i51h0;6):;7;0:e>=zj081<7?50;2x 1202;3j7E:?7:J243=#9hl1==;4$0ga>73d3`82m7>5$564>7?f32wimh4?:083>5}#<==1>4o4H524?M77>2.:mk4>049'5`d=:"6mk099k5f28c94?"3<>095l54}c0`g?6=93:19;%3bb?43i2.:io4=739l650z&702<51h1C8=94H025?!7fn38?m6*>ec8131=h:0k1<7*;4681=d=51;294~"3<>095l5G4158L4613-;jj7<;a:&2ag<5?k1d>4o50;&702<51h10qo"6mk09;=5`28c94?"3<>095l54}c0g5?6=93:19;%3bb?43i2.:io4=6d9l650z&702<51h1C8=94H025?!7fn38?m6*>ec812f=h:0k1<7*;4681=d=51;294~"3<>095l5G4158L4613-;jj7<;a:&2ag<5>k1d>4o50;&702<51h10qo"6mk09:i5`28c94?"3<>095l54}c0g=?6=93:19;%3bb?43i2.:io4=6g9l650z&702<51h1C8=94H025?!7fn38?m6*>ec8134=h:0k1<7*;4681=d=51;294~"3<>095l5G4158L4613-;jj7<;a:&2ag<5?:1d>4o50;&702<51h10qo"6mk09;85`28c94?"3<>095l54}c667?6=93:19;%3bb?43i2.:io4=8e9l650z&702<51h1C8=94H025?!7fn38?m6*>ec81>h7>51;294~"3<>095l5G4158L4613-;jj7??5:&2ag<5>=1b>4o50;&702<51h10qo::f;295?6=8r.?8:4=9`9K051<@8:=7)?nf;07e>"6mk094o5`28c94?"3<>095l5G3b58?xd3?90;6<4?:1y'011=:0k0D9>8;I332>"6io098l5+1d`96=`5}#<==1>4o4H524?M77>2.:mk4=4`9'5`d=:1;0c?7n:18'011=:0k07pl;7b83>4<729q/899528c8L1603A;;:6*>ag8240=#9lh1>5;4i3;b>5<#<==1>4o4;|`7<5<7280;6=u+455968;I332>"6io098l5+1d`963>5}#<==1>4o4H524?M77>2.:mk4=4`9'5`d=:?:0c?7n:18'011=:0k07pl;9883>4<729q/899528c8L1603A;;:6*>ag8240=#9lh1>594i3;b>5<#<==1>4o4;|`7e4<7280;6=u+455968;I332>"6io098l5+1d`96<45}#<==1>4o4H524?M77>2.:mk4=4`9'5`d=:0:0c?7n:18'011=:0k07pl;b483>4<729q/899528c8L1603A;;:6*>ag8240=#9lh1>4:4i3;b>5<#<==1>4o4;|`7f<<7280;6=u+455968;I332>"6io098l5+1d`96=><6?7n;:a0`6=83;1<7>t$564>7?f3A>;;6F>079'5d`=:=k0(i3:1(9:8:3;b?>{e9o4$0ga>71b3f82m7>5$564>7?f32wi8h:50;394?6|,=><6?7n;I633>N68?1/=lh525c8 4ce2;=h7b<6a;29 1202;3j76sm4da94?7=83:p(9:8:3;b?M27?2B:<;5+1d`96<5<#;3j6=4+455965}#<==1>4o4H524?M77>2.:mk4=4`9'5`d=:?=0c?7n:18'011=:0k07pl;f583>4<729q/899528c8L1603A;;:6*>ag810d=#9lh1>;84o3;b>5<#<==1>4o4;|`7b=<7280;6=u+455968;I332>"6io098l5+1d`96=g<6?7n;I1`3>=zj<8>6=4>:183!23?382m6F;069K550<,8km6?:n;%3ff?4>=2e95l4?:%673?4>i21vn8<8:182>5<7s->?;7<6a:J742=O99<0(!23?382m65rb40f>5<6290;w):;7;0:e>N38>1C==84$0ce>4623-;nn7<91:k1=d<72->?;7<6a:9~f=c=83;1<7>t$564>7?f3A>;;6F>079'053=;j20(!23?382m65rb9d94?4=83:p(9:8:9:8 6bd28:?7)?nf;331>"38<08o55+35697f><@=:<7E?=5:&2a<<2;=1bj44?:%673?77=21d>9<50;&702<5"6io0:<85+1d`96=55}#<==1455+3ea9552<,8km6<>:;%631?5d02.88947)?j9;700>oa13:1(9:8:026?>i5<;0;6):;7;07e>=zj:k<6=4>:183!23?382m6F;069K550<,=:>6>m7;%3bb?77=2.:io4=7g9j67>50z&702059'5d`=99?0(9>::2a;?!53<39h46F;069K573<,8o268=;;hd:>5<#<==1==;4;n076?6=,=><6?:n;:a7d2=83;1<7>t$564>7?f3A>;;6F>079'053=;j20(!23?382m65rb2c6>5<5290;w):;7;:;?!5ck3;;86*>ag8240=#<9?1?n64$267>6e?3A>;;6F>249'5`?==:>0ek750;&702<68<10c?:=:18'011=:=k07pl4<729q/899528c8L1603A;;:6*;0480g==#9hl1==;4$0ga>71f3`82m7>5$564>7?f32wi?l=50;094?6|,=><6564$2f`>4633-;jj7??5:&740<4k11/?9:53b:8L1603A;996*>e88671=nn00;6):;7;331>=h:=81<7*;46810d=>:7h6;|q04?6=:r7?9?4=e:?712004=;j1688o5f89~w1d=839pR9l4=719b<=:0f<51h1v9j50;1xZ1b<5??1j4524e81=d=z{=o1<7=t^5g8930=n0168h4=9`9~w1`=839pR9h4=759b<=:15<51h1v8?50;1xZ07<5?31j4525081=d=z{<81<7=t^40893g=n0169?4=9`9~w05=839pR8=4=7`9b<=:=:095l5rs4694?5|V<>01;m5f89>11<51h1v8;50;1xZ03<5?n1j4525481=d=z{<<1<7=t^44893c=n0169;4=9`9~w01=839pR894=7d9b<=:=>095l5rs4:94?5|V<201:>5f89>1=<51h1v8o50;1xZ0g<5>;1j4525`81=d=z{1a<51h1v8k50;1xZ0c<5>?1j4525d81=d=z{4=659b<=:>9095l5rs7394?5|V?;01:65f89>24<51h1v;<50;1xZ34<5>31j4526381=d=z{?91<7=t=56e>43<5<9:6<;4=7196147253ty=97>53z?70c<6?27>?<4>7:?51?43:2wx:;4?:2y>01`=91169>?5199>23<5<;1v;950;1x912a283018=>:0;8931=:=80q~87:180823n3;j70;<1;3b?80?2;>97p}99;297~;3;1138?>6s|6`83>6}:<=l1=n5252395f=:>h098?5rs7`94?5|5=>m64b<5?h1>9<4}r4`>5<4s4>?j7?j;<705?7b34?<4>f:?5`?43:2wx:h4?:2y>01`=:9169>?5219>2`<5<;1v;h50;1x912a2;8018=>:30893`=:=80q~9?:181823n388709?:361?xu093:1>v3;4g811>;0938?>6s|7383>7}:=:;1>;52738107=z{>91<771<5>91>9<4}r57>5<5s4?8=7<7;<57>7253ty<97>52z?674<5127<97<;2:p33<72;q69>?52`9>33<5<;1v:950;0x90562;h01:952508yv1?2908w0:;f;0`?834938h7097:361?xu013:1?v3;4g81`>;2;809h6389;076>{t?h0;6:u244393d=:<=o1;l524639b<=:=4<5<9:65<4=571>=4<5=?;65<4=56f>=46=4={<705?>234>><768;|q;g?6=;rT3o637d;d:?8>d2;3j7p}7d;296~;3=803o637d;076>{t190;6>uQ919>=4<5<;165=4=9`9~w<7=838p19:>:36g?8?62o30q~7=:180[?534386k74=8096?i77=;<;0>7253tyji7>53z\ba>;b>3l270oj:3;b?xub>3:1?v3;508ba>;3{tmj0;6>uQeb9>aa167=1?1688<5979>006=mj1689k5979>aa<5<;1v<>=:181823n3;;>63;518247=z{8:i6=4={<666?77j27?9<4>0c9~w47a2909w0::2;32b>;3=80:=k5rs005>5<5s4>?j7?=6:?717<6:?1v<=;:181822:3;8i63;508271=z{8>;6=4={<666?73827?9<4>559~w4322909w0::2;361>;3=90:985rs07`>5<4s4>?j7?:c:?717<6=j1688>514a8yv72l3:1>v3;53821a=:<<:1=8j4}r354?6=:r7?9?4>619>007=9?:0q~?m0;296~;3=;0:n=5244395f252z?717<6k<1688?51b78yv44m3:1?v3;418e3>;3007=52z?715<5jm1689k52cf8yv4dk3:1?vP=cb9>750=:=801?ml:3;b?xu5km0;6>uQ2bf8966d2;>970{t:jl1<7=t^3ae?856:38?>63=cg81=d=z{;n;6=4<{_0g4>;49:098?522e29653z\1`4=:;831>9<4=3f2>7?f3ty9h?4?:2y]6a4<5:;m6?:=;<0g6?4>i2wx>i950;1xZ7b03499<7<;2:?1`2<51h1v?j7:180[4c0278>;4=439>6a>=:0k0q~2;3j7p}=d`83>6}Y:mk01>==:361?84ci382m6s|2e`94?5|V;ni70=<8;076>;5lk095l5rs3f`>5<4sW8oo63<3d8107=::mi1>4o4}r0ga?6=:r7?8k4=dd9>167=:mo0q~h:50;0x90562;o?70::0;0f0>{t;9<1<77ed349;:7h6;|q04f<72;q69>?52bf8966d2o30q~=>2;296~;2;809ok523009b<=z{:;86=4={<705?4c8278=>4i9:p74?=838p18=>:3f2?85613l27p}<1g83>7}:=:;1>i<4=23e>c?52z?674<5l>16??>5f89~w6412909w0;<1;0g<>;4:?0m56s|33a94?4|5<9:6?j6;<11g?`>3ty8??4?:3y>167=:mk01>==:g;8yv5403:1>v3:3081`g=:;:21j45rs21f>5<5s4?8=7>70;<1;171>{t;=21<762?34>><7=;5:p7=c=838p18=>:2:e?823m393i6s|38:94?4|5<9:6>7m;<67a?5>02wx?o=50;0x912a2:h870:;e;1a7>{t<8=1<717034>?i7:>7:p005=839pR9;<;<660?43:27?9>4=9`9~w1332909w0::8;076>;3==0m56s|44794?4|5=?=6?:=;<66001=:=8019;7:g`8yv2213:1?vP;589>00d=:=8019;6:3;b?xu3=k0;6?u244c9614<5=?i6k74}r66`?6=;rT?9i5244g9b<=:<4o4}r66a?6=?<4;5b9>004=<6s|44d94?5|V=?m70:90;076>;3=o095l5rs542>5<5s4>>=7:92:?72550;1xZ11734><>7<;2:?735<51h1v99=:181820938?>63;738e=>{t<>>1<7=t^557?820=38?>63;7581=d=z{==>6=4={<666?20<27?;84i9:p02e=839pR99l;<64`?`>34>:550?820l38?>6s|49294?5|V=2;70:71;076>;309095l5rs5:2>5<5s4>?i7:70:?7<4f34>3n7<;2:?73m63;8c8e=>{t<0=1<7=t^5;4?82>038?>63;9681=d=z{=336=4<{<705?2>>27?9=4;979>0<>=n01v976:180[2>127?5l4i9:?7=<<51h1v97n:18182293>2:63;9`8107=z{=k:6=4<{_6b5>;3i;098?524`396j>7>52z?714<3i8168l<5f89~w1g22908wS:n5:?7e2<5<;168l;528c8yv2f?3:1>v3;5387e0=:5<4sW>ji63;b18107=:4o4}r6a4?6=:r7?9<4;ad9>0g6=n01v9l::180[2e=27?n;4i9:?7f0<51h1v9l9:181823m3>i963;b78107=z{=h26=4<{_6a=>;3jh0m563;b881=d=z{=hj6=4<{<705?2e027?9?4;b99>0gg=:=80q~:mb;297~X3jk168om5250891de2;3j7p};be83>7}:<<;18o64=5``>c?o<7>53z\7`5=:9<4=5f3>7?f3ty?h<4?:3y>167=:g;8yv2b83:1?vP;e19>0`7=:=8019k?:3;b?xu3m80;6?u252390fg<5=o:6k74}r6f6?6=;rT?i?524d19614<5=o96?7n;|q7a6<72;q69>?551;891c42o30q~:j4;297~X3m=168hl5250891c32;3j7p};ec83>7}:=:;18h:4=5ga>c?no7>53z\7af=:7?f3ty?ih4?:3y>0``=:=8019kk:`g8yv2a83:1>v3;4d87b5=:5<4sW>m=63;f28107=:4o4}r6e7?6=:r7>?<4;f09>0c5=n01v9h;:180[2a<27?j;4=439>0c2=:0k0q~:i6;296~;2;80?j9524g49b<=z{=l36=4<{_6e<>;3n00m563;f981=d=z{=l26=4<{<705?2a?27?9?4;f69>0c?=:=80q~:ia;297~X3nh168kl5250891`f2;3j7p};fb83>7}:<<;18k94=5da>c?53z\655=:=8;1>9<4=433>7?f3ty>=?4?:3y>007==9l018?>:g;8yv35=3:1?vP:249>170=:=8018<::3;b?xu2:?0;6?u24429172<5<8=6k74}r713?6=;rT>>:5253:9b<=:=;=1>4o4}r7117>=:=80q~;=e;297~X2:l169?h5f89>17c=:0k0q~;=f;291~;3>i52523917b<5=?968>k4=439~w=c=839pR5k4=9g965<4sW>l1j45rs2c4>5<4sW9j;635<4sW9j8635<4sW9j>639<4}r64b?6=9r7?;k4=439~w6g?290:w0=n8;076>{t;h?1<7?t=2c6>7253ty8m>4?:0y>7d5=:=80qp`>a`f94?2|@=:<7p`>a`g94?2|@=:<7p`>a`d94?5|@=:<7p`>ac294?7|@=:<7D<6a;3x5?{]n<0:w7>51zJ742=N:0k1=v?5}[d6>4}6mk0vqc?nb283>4}O<9=0E?7n:0y2>x\a=3;p=hl5}|l2eg2=83;pD9>8;H0:e?7|93wQj84>{0ga>x{i9hh>6=4>{I633>O51h0:w<4rZg795~7bj3wvb1B>4o51z39y_`228q:io4r}o3bf2<728qC8=94I3;b>4}62tPm97?t1d`9yxh6ik21<7?tH524?L4>i3;p=7sUf4824ce2twe=ll6:182M27?2C95l4>{08~^c3=9r;nn7srn0cae?6=9rB?<:5F28c95~7=uSl>6ec8~yk7fjk0;65<6sA>;;6G=9`824:|Xe1?7|9lh1qp`>ab294?7|@=:<7D<6a;3x5?{]n<0:w7>51zJ742=N:0k1=v?5}[d6>4}6mk0vqc?nc283>4}O<9=0E?7n:0y2>x\a=3;p=hl5}|l2ef2=83;pD9>8;H0:e?7|93wQj84>{0ga>x{i9hi>6=4>{I633>O51h0:w<4rZg795~7bj3wvb1B>4o51z39y_`228q:io4r}o3bg2<72:qC8=94}o3bg=<72:qC8=94}o3bg<<72:qC8=94}o3bgd<72:qC8=94}o3bgg<72:qC8=94}o3bgf<72:qC8=94}o3bga<72:qC8=94}o3bg`<72:qC8=94}o3bgc<72:qC8=94}o3b`5<72:qC8=94}o3b`4<72;qC8=94}o3b`7<72:qC8=94}o3b`6<72:qC8=94}o3b`1<72;qC8=94}o3b`0<72;qC8=94}o3b`3<72;qC8=94}o3b`2<72;qC8=94}o3b`=<72;qC8=94}o3b`<<72;qC8=94}o3b`d<72;qC8=94}o3b`g<72;qC8=94}o3b`f<72:qC8=94}o3b`a<72:qC8=94}o3b``<720qC8=94}o3b`c<72hqC8=94}o3ba5<724}62tPm97?t1d`9yxh6il81<7?j{I633>{i9ho86=4>{I633>O51h0:w?4rZg795~7bj3wvb1vb1B>4o51z39y_`228q:io4r}o3ba3<724}62tPm97?t1d`9yxh6il21<79tH524?xh6il31<7?tH524?L4>i3;p=7sUf4824ce2twe=lkn:184<~N38>1vb1vb1vb1vb1vb1vb1vb1vb1vb1vb1vb1vb1vb1vb1vb1vb1vb1B>4o51z09y_`228q:io4r}o3bbf<728qC8=94I3;b>4}52tPm97?t1d`9yxh6ion1<7?tH524?L4>i3;p>7sUf4824ce2twe=lhj:182M27?2C95l4>{38~^c3=9r;nn7srn0ceb?6=9rB?<:5F28c95~4=uSl>6ec8~yk7e890;65<6sA>;;6G=9`827b1794?7|@=:<7D<6a;3x6?{]n<0:w53zJ742=zf8h;47>54zJ742=zf8h;57>55zJ742=zf8h;m7>52zJ742=zf8h;n7>52zJ742=zf8h;o7>53zJ742=zf8h;h7>52zJ742=zf8h;i7>52zJ742=zf8h:<7>52zJ742=zf8h:=7>52zJ742=zf8h:>7>52zJ742=zf8h:?7>52zJ742=zf8h:87>52zJ742=zf8h:97>52zJ742=zf8h::7>53zJ742=zf8h:;7>53zJ742=zf8h:47>54zJ742=zf8h:57>54zJ742=zf8h:m7>53zJ742=zf8h:n7>51zJ742=zf8h:o7>51zJ742=N:0k1=v<5}[d6>4}6mk0vqc?m1e83>4}O<9=0qc?m1d83>4}O<9=0qc?m1g83>4}O<9=0qc?m2183>4}O<9=0E?7n:0y1>x\a=3;p=hl5}|l2f77=83;pD9>8;|l2f74=83;pD9>8;H0:e?7|93wQj84>{0ga>x{i9k886=49{I633>{i9k8?6=4={I633>O51h0:w>4rZg795~7bj3wvb1vb1B>4o51z09y_`228q:io4r}o3a62<728qC8=94}o3a6=<728qC8=94I3;b>4}52tPm97?t1d`9yxh6j;31<7=tH524?xh6j;k1<7?tH524?L4>i3;p=7sUf4824ce2twe=o{38~^c3=9r;nn7srn0`1`?6=6ec8~yk7e:o0;69uG4158yk7e;90;6?50;7xL1603td:n><50;3xL1603@82m7?t1;Yb0<6s8oi6psa1c10>5<5sA>;;6sa1c17>5<6sA>;;6G=9`8273:1=vF;069J6b2;94?4|@=:<7p`>b2c94?7|@=:<7D<6a;3x5?{]n<0:w53zJ742=zf8h8i7>52zJ742=N:0k1=v=5}[d6>4}6mk0vqc?m3g83>0}O<9=0qc?m4183>4}O<9=0E?7n:0y1>x\a=3;p=hl5}|l2f17=83>pD9>8;|l2f14=83;pD9>8;H0:e?7|:3wQj84>{0ga>x{i9k>86=4={I633>{i9k>?6=4>{I633>O51h0:w?4rZg795~7bj3wvb1vb1B>4o51z09y_`228q:io4r}o3a02<72;qC8=94}o3a0=<72:qC8=94I3;b>6}428086pTi5;3x5`d=utd:n9750;1xL1603td:n9o50;6xL1603td:n9l50;3xL1603@82m7?t2;Yb0<6s8oi6psa1c6`>5<5sA>;;6sa1c6g>5<6sA>;;6G=9`827vF;069~j4d3n3:1=vF;069J6b4094?3|@=:<7p`>b4194?4|@=:<7D<6a;3x7?{]n<0:w:7>54zJ742=zf8h>;7>51zJ742=N:0k1=v?5}[d6>4}6mk0vqc?m5983>7}O<9=0qc?m5883>4}O<9=0E?7n:0y2>x\a=3;p=hl5}|l2f0g=83?pD9>8;|l25<6sA>;;6sa19f94?7|@=:<7p`>8d83>4}O<9=0qc?7f;295~N38>1vb<7?:182M27?2we=4?50;3xL1603td:5?4?:0yK05151zJ742=zf83?6=4>{I633>{i90?1<7?tH524?xh61>0;6?uG4158yk7>j3:1=vF;069~j4?d290:wE:?7:m58;|l2e5<728qC8=94}o3b5?6=9rB?<:5rn0c1>5<6sA>;;6sa1`694?7|@=:<7p`>a483>4}O<9=0qc?n6;295~N38>1vb51zJ742=zf8ki6=4>{I633>{i9hi1<7?tH524?xh6im0;68;|l2f4<728qC8=94}o3a6?6=9rB?<:5rn0`0>5<6sA>;;6sa1c694?7|@=:<7p`>b483>4}O<9=0qc?m6;295~N38>1vb51zJ742=zf8hi6=4>{I633>{i9ki1<7vF;069~j4da290:wE:?7:m5f6=83;pD9>8;|l2g4<728qC8=94}o3`6?6=9rB?<:5rn700>5<4sA>;;6sa63694?5|@=:<7p`92483>7}O<9=0qc8=b;295~N38>1vb;51zJ742=zf?926=4>{I633>{i>:k1<7?tH524?xh1;k0;68;|l57c<728qC8=94}o474?6=;rB?<:5rn762>5<6sA>;;6sa65094?7|@=:<7p`94283>4}O<9=0qc8;4;297~N38>1vb;:::180M27?2we:9850;3xL1603td=8:4?:0yK05151zJ742=zf?>26=4>{I633>{i>=k1<7=tH524?xh18;|l50c<728qC8=94}o464?6=9rB?<:5rn772>5<6sA>;;6sa64094?7|@=:<7p`95283>4}O<9=0qc8:4;295~N38>1vb;;::182M27?2we:8850;3xL1603td=9:4?:0yK05147>51zJ742=zf??26=4>{I633>{i>8;|l51c<728qC8=94}o454?6=9rB?<:5rn742>5<6sA>;;6sa67094?7|@=:<7p`96283>4}O<9=0qc894;295~N38>1vb;8::182M27?2we:;850;3xL1603td=::4?:0yK05151zJ742=zf?<26=4>{I633>{i>?k1<7?tH524?xh1>k0;68;|l52c<728qC8=94}o445?6=9rB?<:5rn751>5<6sA>;;6sa66194?7|@=:<7p`97583>4}O<9=0qc885;295~N38>1vb;99:182M27?2we::950;3xL1603td=;54?:0yK05151zJ742=zf?=j6=4>{I633>{i>>h1<7?tH524?xh1?j0;68;|l5<5<725<4sA>;;6sa69194?5|@=:<7p`98583>6}O<9=0qc875;295~N38>1vb;69:182M27?2we:5950;7xL1603td=454?:2yK05151zJ742=zf?2j6=4>{I633>{i>1h1<7;tH524?xh10j0;6>uG4158yk0?l3:1=vF;069~j3>b290:wE:?7:m2=`=839pD9>8;|l5=5<72:qC8=94}o4:5?6=9rB?<:5rn7;1>5<6sA>;;6sa68194?7|@=:<7p`99583>4}O<9=0qc865;295~N38>1vb;79:182M27?2we:4950;3xL1603td=554?:0yK05151zJ742=zf?3j6=4={I633>{i>0h1<7l3:1=vF;069~j3?b290:wE:?7:m2<`=83;pD9>8;|l5e5<728qC8=94}o4b6?6=9rB?<:5rn7c0>5<6sA>;;6sa6`594?5|@=:<7p`9a983>4}O<9=0qc8n9;295~N38>1vb;on:182M27?2we:ll50;3xL1603td=mn4?:0yK05151zJ742=zf?kn6=4>{I633>{i>hl1<7?tH524?xh1j:0;68;|l5f=<728qC8=94}o4a=?6=9rB?<:5rn7`b>5<6sA>;;6sa6ca94?7|@=:<7p`9be83>4}O<9=0qc8me;295~N38>1vb;li:182M27?2we:n>50;3xL1603td=o84?:0yK05151zJ742=zf?i<6=4>{I633>{ii?81<7<0;6>uG4158ykg1>3:1?vF;069~jd0?2908wE:?7:me3e=839pD9>8;|lb2`<72:qC8=94}oc5b?6=9rB?<:5rn`53>5<6sA>;;6saa6394?7|@=:<7p`n7383>4}O<9=0qco83;295~N38>1vbl9;:182M27?2wem:;50;3xL1603tdj;;4?:0yK05151zJ742=zfh=36=4>{I633>{ii>31<7?tH524?xhf?h0;68;|lb3`<72;qC8=94}oc;4?6=:rB?<:5rn`:2>5<6sA>;;6saa9094?7|@=:<7p`n8283>4}O<9=0qco74;295~N38>1vbl68:182M27?2wem5750;3xL1603tdj4l4?:0yK05151zJ742=zfh2h6=4<{I633>{ii1n1<7?tH524?xhf0l0;68;|lb=7<728qC8=94}oc:7?6=9rB?<:5rn`;7>5<6sA>;;6saa8794?7|@=:<7p`n9783>4}O<9=0qco67;295~N38>1vbl77:182M27?2wem4750;3xL1603tdj5l4?:3yK05151zJ742=zfh3h6=4>{I633>{ii0n1<7?tH524?xhf1l0;6n3:1=vF;069~jdg62908wE:?7:med4=83;pD9>8;|lbe6<728qC8=94}ocb0?6=9rB?<:5rn`c6>5<6sA>;;6saa`494?7|@=:<7p`na683>4}O<9=0qcon8;295~N38>1vblo6:182M27?2wemlo50;3xL1603tdjmo4?:0yK05151zJ742=zfhko6=4>{I633>{iiho1<7?tH524?xhfio0;6vF;069~jdd62909wE:?7:meg5=839pD9>8;|lbf1<728qC8=94}oca1?6=9rB?<:5rn``5>5<6sA>;;6saac594?7|@=:<7p`nb983>4}O<9=0qcom9;295~N38>1vblln:182M27?2wemol50;3xL1603tdjnn4?:0yK05151zJ742=zfhhn6=4>{I633>{iikl1<7?tH524?xhfk90;6pD9>8;|lbg1<721qC8=94}oc`2?6=:rB?<:5rn`a4>5<5sA>;;6saab:94?7|@=:<7p`nc883>4}O<9=0qcola;295~N38>1vblmm:182M27?2wemnm50;3xL1603tdjoi4?:0yK05151zJ742=zfhim6=4<{I633>{iim:1<7=tH524?xhfl80;68;|lb`0<728qC8=94}ocg2?6=9rB?<:5rn`f4>5<6sA>;;6saae:94?7|@=:<7p`nd883>4}O<9=0qcoka;295~N38>1vbljm:182M27?2wemim50;3xL1603tdjhi4?:0yK05152zJ742=zfho:6=4={I633>{iil81<7?tH524?xhfm:0;68;|lba=<728qC8=94}ocf=?6=9rB?<:5rnc`:>5<4sA>;;6sabcc94?5|@=:<7p`mbc83>6}O<9=0qclmd;295~N38>1vbom7:182M27?2wenn750;3xL1603tdiol4?:0yK05151zJ742=zfkih6=4>{I633>{ijjn1<7?tH524?xhekl0;68;|la`1<72:qC8=94}o`g2?6=9rB?<:5rncf4>5<4sA>;;6sa10;5>5<4sA>;;6sa10;4>5<6sA>;;6sa10;;>5<6sA>;;6sa10;:>5<6sA>;;6sa10;b>5<6sA>;;6sa10;a>5<6sA>;;6sa10;`>5<6sA>;;6sa10;g>5<6sA>;;6sa10;f>5<6sA>;;6sa10;e>5<6sA>;;6sa10c0>5<4sA>;;6sa10c7>5<4sA>;;6sa10c6>5<4sA>;;6sa10c5>5<6sA>;;6sa10c4>5<6sA>;;6sa10c;>5<6sA>;;6sa10c:>5<6sA>;;6sa10cb>5<6sA>;;6sa10c`>5<3sA>;;6sa10cg>5<69rB?<:5rn03ba?6=;rB?<:5rn03bb?6=9rB?<:5rn03a4?6=9rB?<:5rn03a5?6=9rB?<:5rn03a6?6=9rB?<:5rn03a7?6=9rB?<:5rn03a0?6=5<6std9=?4?:0y~j7562908wp`=2c83>4}zf;?;6=4<{|l10d<728qvb?8i:180xh5>00;65<4std9454?:0y~j7gc2908wp`=a683>4}zf;ih6=4<{|l1g3<728qvb?km:180xh5m<0;65<4std8<94?:0y~j64>2908wp`<2283>4}zf:>36=4<{|l007<728qvb>88:180xh4>80;65<4std84=4?:0y~j6g22908wp`<9g83>4}zf:i?6=4<{|l0f`<728qvb>k<:180xh4lm0;65<4std8jn4?:0y~j3`1290:wp`80c83>4}zf>8;6=4>{|l47c<72:qvb:=6:182xh0=l0;6>urn67;>5<6std<;>4?:0y~j2?52908wp`88b83>4}zf>h:6=4<{|l4eg<728qvb:j?:180xh0kh0;65<4std4}zf18o6=4<{|l;62<728qvb5:=:182xh?=>0;65<6std34o4?:2y~j=>2290:wp`7a`83>6}zf1k?6=4>{|l;g<<72:qvb5m<:182xhe9k0;6>urnc36>5<6stdi?l4?:2y~jg53290:wp`m5883>6}zfk?86=4>{|la3d<72;qvbo9<:182xhd8<0;65<4stdh=h4?:0y~jf242908wp`l3e83>4}zfj<96=4<{|l`1f<728qvbn6>:180xhd?k0;65<4stdh5l4?:0y~jfda2908wp`lb883>4}zfjnn6=4<{|l``=<728qvbnhk:180xhdn>0;65<4stdo=;4?:0y~ja5e2908wp`k3483>4}zfm?j6=4<{|lg11<728qvbi96:180xhc?:0;65<4stdo5?4?:0y~jad02908wp`kb083>4}zfmn=6=4<{|lg`5<728qvbih::180xhcmo0;65<5stdn4}zfl?=6=4<{|lf15<728qvbh9::180xhb>o0;65<4stdn4h4?:0y~j`d42908wp`jae83>4}zfln96=4<{|lfgf<728qvbhh>:180xhbmk0;65<4stdm4}zf883n7>53zm57>2290:wp`>2`c94?5|ug;9m94?:0y~j44d13:1?vsa13a0>5<6std:>h650;1xyk75m;0;6>>:182xh6;8i1<7?t}o3074<728qvb<=;6;295~{i9:?i6=4>{|l2726=83;pqc?<8483>4}zf89j87>53zm56?b290:wp`>3b194?5|ug;8ni4?:0y~j45b:3:1?vsa12f`>5<6std:8=?50;1xyk74nk0;6{|l202c=83;pqc?;9283>4}zf8>j47>51zm51dc290:wp`>4e094?7|ug;?i:4?:0y~j42ak3:1=vsa1432>5<6std:9?850;3xyk72;k0;6k1<7?t}o366}zf8?oo7>51zm5g3e290:wWh::0y2ag:7p`>b4a94?7|Ro?1=v?jb;K6175<6sSl>6ec8~L7263td:n;>50;3xyk7e>80;61<7?t}|~DEE|9o?36h;1;84Zhh|9:;<<640^llp5678Vcf|R>Pnnv3456692;n7<<5D`vbE976294:86?=:HLSQQ:1<26>752F__\XZ5R@>25?699?1:>7AZTQWW>Wct}e~7=<4?>c926?TF48;1<3h4138Qavsk|5;:6=0>1:31>Zgcl9:;=1?>:10:35>LHW]]0J0?=58?386d=593K7><4>>02864442HUM_O2=3;3=51=5;3CE\XZ5A^DPF94428437?4K<083:f=52MkmL2>:1<:?7:14=Whno<=>?<083:4=4n291EC^ZT;QT86<6611?6I2<:1<`?14?>897>@U;;3:5i6:5IORVP?B;;3:5=?5;:HLSQQ4?>g97>JSSX\^1]1=50?37?119:69SV:4294m794Paef3456;;3:596O311<6?D:697?0M1?=>49B8459=2K7=90:;@>21;3G;914>7L2>9?68E979=2K7>=08;@>15?79=2K7><0:;@>16;117;2G;?7>0M1614:C?=;2?10g8EVtaWge<=>>_hos[DUunVddx=>?10;8EZ@TJ5;;245N_GQA847912KTJ^L313<:?DYA[K6:?374A^DPF973601JSK]M<07==>GXNZH7=;06;@]EWG:6?730MRH\B=3;:<=FWOYI0<718:C\BVD;9730MRH\B=03:<=FWOYI0??19:C\BVD;:;4i7LQISC>17?7912KTJ^L322<;?DYA[K69255N_GQA868?3HUM_O2;>99B[CUE4<437LQISC>5:==FWOYI0:07;@]EWG:?611JSK]M<8<7?Dekz?1Jcxz@Ed9BkprHMVg~t=>?0g9BkprHMVg~t=>?0033?Dir|FOTaxv?01225464AnwwK@Yhz9:;>4:Cpaq2E5=DOE?6M7M59@4:AooZOI^Vcf|RMck^KMR472>5833M6:295K<3<5?A:4294?7I2<>79GPDELzl1OXLMDr^llp5679;80HYOLKs]mkq6788Uba}QKT@AHvZhh|9:;=<94D`vb85803Mkm1?15:FbpdG?3MkmL2?>89GeqgF48:5n6Jnt`C?54<7601OmyoN<03=e>Bf|hK7=7>18:FbpdG;9720HlznA=0=e>Bf|hK7?7>18:FbpdG;;720HlznA=6=<>Bf|hK79364D`vbE90902NjxlO37?:8@drfI52546Jnt`C?=;0Pilr\@jssGLUjbi>?013f?Air|FOTmcj?01316>Bh}}ENSl`k0122[lkwWMe~xBKPaof34576l2NdyyAJ_np3456582NdyyAJ_np3456XadzTHb{{OD]lv56788n0Hb{{OD]lv5679;:0Hb{{OD]lv5679Vcf|RJ`uuMF[jt789;:=6K:;DDbhi773LLj`aQfmq]FBdjk9;1N_85JS=2=1>CT484>7H]32?58AV:4294>7H]33?c8AVYffm:;<=?n;DQ\ekb789:Te`~PER]bja6789;j7H]Paof34576i2OXSl`k0122[lkwWLYTmcj?0132`>CTWjg{Sh?01214>CTWjg{Sh?012\mhvXMZUha}Qjq12344bCTWfx;<=>Pilr\AVYhz9:;<<;4EUC@O46?1028AQGDCVcf|RK[ABI2<>CiikfnX<4Es78Aw:76>1N~1?50?78Aw:66=1MOAE=;GF0?CBD<2LOOH=4FER1?C@33OLo=:5IFe3.Mk>9:DE`4+Nf8;37KHk1,Km6g=ANm;&EcQfmq68BCb5?2LMh?#Fn99EBa4*Ag;27KHk2,Km54>9:DE`7+Nf=;37KHk2,Km1g=ANm8&EcQfmq68BCb4?2LMh>#Fn99EBa5*Ag;27KHk3,Km54?@Al<'Bb55IFe7.Mk7>3OLo9 Ga10:8BCb2%@d9n6HId4/JjZojx=1MJi88;GDg2(Oi9=1MJi8"Io]jiuYANm<&Ec?;;GDg32=ANm=&Ec64FGf4)Lh612LMh:#Fn03;?C@c?$Ce>45IFe5.Mk4602LMh:#Fn2;8BCb0%@d8=55IFe5.Mk2e3OLo; Ga_hos0>@Al1=0JKj7-Hl;?C@c0$Ce=45IFe:.Mk7602LMh5#Fn3;8BCb?%@d9=55IFe:.Mk5>3OLo4 Ga30;8BCb?%@d?=55IFe:.Mk3e3OLo4 Ga_hos7>@TJ:1M_@m4FRO\4(vfzldo6H\M^3.tdtbf}i0J^CP2,rbv`hsk2LXAR="p`pfjq7?5F339J07=N=;1B:?5F759JEFUb3@KH_Rgbp^KBGV733@DBX>5FNW78MJGD\m1BCLM[_`lg4567:91BCLM[_`lg4567W`g{SDANCU]bja6789;o7DANCU]bja67888;7DANCU]bja6788Uba}QFO@AW[dhc89::=i5FO@AW[hs89:;i6G@ABV\ip~789::j6G@ABV\ip~789::=h5FO@AW[hs89:;>k5FO@AW[hs89:;>?j;HMBGQYj}q:;<=:>1:KLEFRXe|r;<=>Pilr`?LIFK]Ud~=>?00g8MJGD\Vey<=>?_hos[LIFK]Ud~=>?0048MJGD\{<0EBLJRSg8MJDBZ[Uecy>?0001?LIEM[XTbbz?013\mhvXAFHN^_Qaou2344733@EIA95FOCQ7?LID[<1BCN]>5:KLGV423@EH_>m4INAP[dhc89:;=h5FOBQ\ekb789:Te`~PINAP[dhc89:;=k5FOBQ\ghvXmx:;<=<;;HM@WZejxVoz<=>?_hos[LID[Vif|Rk~01235c=NGJYTo`~Pep2344433@EH_Rmbp^gr4566W`g{SDALS^antZcv89::=k5FOBQ\ghvXmx:;=_hos[LID[Vif|Rk~01215c=NGJYTo`~Pep2346433@EH_Rmbp^gr4564W`g{SDALS^antZcv89:8=k5FOBQ\ghvXmx:;<9<;;HM@WZejxVoz<=>;_hos[LID[Vif|Rk~01275c=NGJYTo`~Pep2340433@EH_Rmbp^gr4562W`g{SDALS^antZcv89:>=k5FOBQ\ghvXmx:;<;<;;HM@WZejxVoz<=>9_hos[LID[Vif|Rk~01255c=NGJYTo`~Pep2342433@EH_Rmbp^gr4560W`g{SDALS^antZcv89:<=k5FOBQ\ghvXmx:;<5<;;HM@WZejxVoz<=>7_hos[LID[Vif|Rk~012;5c=NGJYTo`~Pep234<433@EH_Rmbp^gr456>W`g{SDALS^antZcv89:2=k5FOBQ\ghvXmx:;==<;;HM@WZejxVoz<=??_hos[LID[Vif|Rk~01335==NGJYTe`~9;HMGKKCc3@EOCCKPos2345473@EOCCKPos2345YneyUBCIAAE^mq4567911BCIAAEhl4?LICGGOy86G@L1a8MJJ7Wds<=>?d:KLH5Yj}q:;<=?i;HMO4Zkrp9:;<<>>e:KLH5Yj}q:;<=?>f:KLH5Yj}q:;<=?>1g9JKI6Xe|r;<=>>20g8MJJ7Wds<=>?12g8MJJ7Wds<=>?15f8MJJ7Wds<=>?2d9JKI6Xe|r;<=>=1d9JKI6Xe|r;<=><1d9JKI6Xe|r;<=>;1d9JKI6Xe|r;<=>:1d9JKI6Xe|r;<=>91e9JKI6Xe|r;<=>8e:KLH5Yj}q:;<=9>d:KLH5Yj}q:;<=6j;HMO4Zkrp9:;<5?j;HMO4Zkrp9:;<4?>0:KLH5Yj}q:;<=Qfmq`8MJJ7Wfx;<=>>c:KLH5Yhz9:;OHD8Uecy>?003f?LIK9Vddx=>?1^kntZOHD8Uecy>?0036?LIKLZ30EB@_Rdcg}47OHX^IX56G@PVPfea602CD\Z\jae{\mhvXAFZ\^hoky058MJTCZL[m7DA]DSGR[dhc89:;>95FOSFQATYffm:;<=Qfmq]JKWBUMXUjbi>?013e?LIUL[OZS`{w012355=NG[NYI\Qbuy23457692CD^I\JQ^ov|56788;:=6G@REPFUZkrp9:;1:KLVATBYVg~t=>?02324>OHZMXN]Rczx12341763@EYH_K^_lw{4567<8;;7DA]DSGR[hs89:;9:1038MJTCZL[Taxv?01255462CD^H_}139JKWCVzVcf|RG@RDSq50=NG[]:h6G@RV3\ekb789:n7DA]W0]bja6789;n7DA]W0]bja67898n7DA]W0]bja67899:=6G@RV3\ekb789:Te`~l;HMQS4Yhz9:;<Paof34566m2CD_=Qnne2345YneyUBC^>Paof34566k2CD_=Qbuy2345b?01]jiuYNGZ:Tc>?013f?LITWjg{Sh?012f?LITWjg{Sh?013f?LITWjg{Sh?010f?LITWjg{Sh?011f?LITWjg{Sh?016f?LITWjg{Sh?017f?LITWjg{Sh?0147?LIR8j1BCX>Pmtz3456c3@E^Pmtz34566;l1BCX>Pmtz34566Pmtz34565m2CDY=Qbuy234546m2CDY=Qbuy234556m2CDY=Qbuy234526m2CDY=Qbuy234536m2CDY=Qbuy234506l2CDY=Qbuy23451b3@E^>0:KLSWTBYVg~t=>?0032?LIPZ[OZS`{w012354763@E\^_K^_lw{4567:8;:7DAXRSGR[hs89:;??4038MJQUZL[Taxv?0127546119JKRTUMXUfyu>?01527>OH_[XN]Rczx1234Zojx11BCZ\]EPp1?LU33@Y_Oh5FSUA\mhvXAZ^H=i5FS^antZcv89:;h6G\_bos[`w789;o7D]Pclr\at678;n0E^Qlmq]fu567;m1B_Rmbp^lf4567;2Ce<>5Fn018Mk443@d8?6Ga429Jj0ci5CPL]B@AYT]KU8n6B_M^@VWQTN9m1G\@QLOTLWAWY6l2F[ARM@UOVFVZ4c3EZFSNAZNUGQ[6>f3EZFSIHI_0;:?IVJWMLMS?o4LQO\@C@X:9k0@]CPDGD\64g>o4LQO\@C@X:=k0@]CPDGD\60g:o4LQO\@C@X:1k0@]CPDGD\6JWEVNMJR;6;MRN[A@AW?30@]CPDGD\3<=KXDUOJKQ79:NSIZBANV3j7A^B_YMQ6Z7>3E^bah|30?c8HQojm{6:<3m4LUknaw:693:5m6B[ilgq847912F_e`k}<0<:?IRnelx7>374LUknaw:4601GXdcjr=6==>JSadoy0806;MVji`t;>730@Ygbes>4:<=K\`gn~1619:NWmhcu40437AZfmdpWG45?0037?IRnelx_ORmbp^gr4567:8>0@YgbesV@[fkwWl{;<=><169OPlkbz]ITo`~Pep2345Yney20@Ygbes]355=K\`gn~R>Pmtz3456692F_e`k}_1]nq}6789;:>6B[ilgq[5Yj}q:;<=?>139OPlkbzV:Taxv?01215441:NWmhcuW9Ufyu>?01720>JSadoyS=Qbuy2345Yney20@Ygbes]2=>JSadoyS<>6;MVji`tX98;;7AZfmdp\5Zgil9:;?_hos[IRnelxT=Road12344773E^bah|P1^cm`5679;<0@Ygbes]2[dhc89::Sdc_MVji`tX9Vkeh=>?1033?IRnelxT=Road123670_`lg4565W`g{SAZfmdp\5Zgil9:;>?0337?IRnelxT=Rmbp^gr4567;8=0@Ygbes]2[fkwWl{;<=>Pilr24>JSadoyS2:NWmhcuW8Ufyu>?010254=K\`gn~R?Pmtz345649=1GXdcjr^3\ip~789:Te`~>0:NWmhcuW8Uecy>?0005?IRnelxT=R``t1235ZojxVF_e`k}_0]mkq6788;m7AZfmdp\5Ziu89:;>95CThofvZ7Xg{:;<=Qfmq]OPlkbzV;Tc>?013e?IRnelxT=Ra}012261=K\`gn~R?Pos2344YneyUGXdcjr^3\kw6788;m7AZfmdp\5Ziu89:9>95CThofvZ7Xg{:;?033;?IRnelxT>55CThofvZ5?3E^bah|P499OPlkbzV?37AZfmdp\2==K\`gn~R97;MVji`tX011GXdcjr^;6?Iifl8n0@bok1^llp5679;:0@bok1^llp5679Vcf|RB`ae3\jjr789;:h6Cnde]\eab789:o7@okd^]b`a6788l0Aua}_Sgpqir;994m7@v`r^Pfwpjs48;5i6Cwos]Qavsk|5;5i6Cwos]Qavsk|585i6Cwos]Qavsk|595i6Cwos]Qavsk|5>5i6Cwos]Qavsk|5?5i6Cwos]Qavsk|5<5i6Cwos]Qavsk|5=5i6Cwos]Qavsk|525i6Cwos]Qavsk|535>6@?2:L26>H5:2D8>6@;2:L60>HHZ1;0C>5@R378KW4E9<1D^?L=3:MQ70=HZ:H9?6A]449LV1D6;2EY9>5@R718KW143FX386Azt1g8Kpr7W`g{SB{{0068Kpr6m2E~x<:4Otv0a>Ir|:Uba}Q@uu120>Ir|=o0Cxz;_hos[Jss<8>0Cxz:4:Mvp32W;87<0]1?50?68U979<2[7>384Q=194;20:SlWWcflpUjbi>?0105?TiTZlkouRoad1234ZojxV[d__kndx]bja6789;:<6_`SSgb`|Yffm:;<<<9;PmPV`gcqVkeh=>?1^kntZWh[[ojhtQnne234476;2[d__kndx]`iuYby9:;5^oRPfeaXkdzTi|>?000`?TiTZlkouRmbp^gr4566W`g{S\a\Rdcg}ZejxVoz<=>>1g9RkVTbimsTc>?0107?TiTZlkouRa}0123[lkwWXeX^hoky^mq45679o1Zc^\jae{\kw67888?7\a\Rdcg}Ziu89::Sdc_PmPV`gcqVey<=>>149QE969>2XJ0<>18:PB847=87<0^L2>1?78VD:66<1YM1<15:PB86823[K783;4R@>6:0=UI5<596\N<6<6?WG;07?0^L26>29QEH3W_hos[WGJKZ:S=<>4R@O@WZojxVXJAN]>8:PBIWcflp;;7_OBRdcg}Zkrp9:;<?1008VDKUmhnrS`{w012354753[KF^hoky^ov|5678;;:=6\NMSgb`|Yj}q:;<==>4:PBIWcflpUfyu>?01]jiu3=5]ARGO[dhc89:;Sdc_SCPAIYffm:;<=?k;SCPAIYj}q:;<=k4R@QFHZkrp9:;<1:PBW@JXe|r;<=>Pilr6?WGTMGn0^L]JN^ov|5678l1YM^KA_lw{45679o1YM^KA_lw{456798l0^L]JN^ov|5678;;n7_O\EO]nq}67899:=6\NSDL\ip~789:Te`~:;SCPvc3h4R@QqvZkrp9:;<>?i;SCPvwYj}q:;<=:>e:PBWwtXe|r;<=>:109QEVtuWds<=>?_hosg>TFW9Ufyu>?01f8VDY7Wds<=>?1d9QEZ6Xe|r;<=>>1d9QEZ6Xe|r;<=>=1e9QEZ6Xe|r;<=><119QEZ6Xe|r;<=>Pilrg?WGX99Ufyu>?01g8VDY68Vg~t=>?00d8VDY68Vg~t=>?003e?WGX99Ufyu>?0102a>TFW8:Taxv?012054=UIV;;S`{w0123[lkwl2XJS_lw{4567;8;0^LQ>1^ov|5678Vcf|n5]A^3\ip~789:o7_OP1^ov|56788o0^LQ>_lw{456798o0^LQ>_lw{4567:8n0^LQ>_lw{4567;8:0^LQ>_lw{4567W`g{o6\N_3]nq}6789n0^LQ=_lw{45679l1YMRQbuy234576m2XJS>Qbuy234546l2XJS>Qbuy23455682XJS>Qbuy2345Yneyi0^LQ;_lw{4567l2XJS9Qbuy23457b3[KT8Rczx123447b3[KT8Rczx123477c3[KT8Rczx12346773[KT8Rczx1234Zojxj1YMR;Pmtz3456c3[KT9Rczx12344cS`{w012354cS`{w012364bS`{w0123746S`{w0123[lkwk2XJS;Qbuy2345bTFW>Ufyu>?0132a>TFW>Ufyu>?0102`>TFW>Ufyu>?01124>TFW>Ufyu>?01]jiueTFW1Ufyu>?013f?WGX0Vg~t=>?003f?WGX0Vg~t=>?033g?WGX0Vg~t=>?0233?WGX0Vg~t=>?0^kntf=UIV3Taxv?012g?WGX1Vg~t=>?00g8VDY>Wds<=>?10g8VDY>Wds<=>?20f8VDY>Wds<=>?3028VDY>Wds<=>?_hos1>THEJY<7_ABCR6[51=UGDIX8UQfmq]QKHET4RNO@WZejxVoz<=>?279QKHETWjg{Sh?012\mhvXZFGH_Rmbp^gr456798:0^BCLS^kntZTHEJY:>6\\8:PP4qiUmo;;7_]?tnPfbZgil9:;?_hos[WU7|fXnjRoad12344773[Y;xb\jf^cm`5679;<0^^>{oSge[dhc89::Sdc_SQ3pjTbnVkeh=>?1033?WU7|fXnjRoad123670?3348VV6sg[omSl`k0120[lkwW[Y;xb\jf^cm`567;8;=7_]?tnPfbZojxVXX?01f8VVYdeyUn}=>?1e9QWZejxVoz<=>=d:PP[fkwWl{;<==k;SQ\ghvXmx:;<9j4RR]`iuYby9:;9i5]S^antZcv89:=h6\\_bos[`w789=87_ZC5:Pfeac3[ojhtQnne2345473[ojhtQnne2345YneyUYiljv_`lg45679m1Yiljv_`lg4566:91Yiljv_`lg4566W`g{S_kndx]bja6788;o7_kndx]bja678;8;7_kndx]bja678;Uba}Q]e`fz[dhc89:9=<>4Rdcg}ZojxVXnmiw>7:PfbAiimo1YikJ`nd]bja67898?7_kiDnlf[dhc89:;Sdc_Sge@jhbWhdo<=>?1g9QacBhflUecy>?0007?WcaLfdnSca{0122[lkwW[omHb`j_omw45669l1YikJ`nd]lv5678;80^hhKoog\kw6789Uba}Q]egFlj`Yhz9:;<<84RddQat>?239QacTbyVkeh=>?0^kntZTbn[ozSl`k0123544>139QacSuoVcf|R\jfTpd5a=Umo_ykRa}012365=Umo_ykRa}0123[lkwW[omYiPos2345713[oxyaz6;Sgpqir;87k0^h}zlu>24;e1;2=e>Tb{|f019:Pfwpjs4:427_k|umv?0;?89Qavsk|5<556\jstnw828>3[oxyaz38?;8V`urd}622?84RdqvhqY7Wge<=>?_hos[Wct}e~T0_nb}4:Q`wq2<[ldo6]jnu]bja6789;n7^kat^cm`5678Vcf|R]jnu]bja6789;i7^kat^mq45679j1XiczPos2345YneyUXiczPos23457f3Zdo^LCLSVP26>Uil[KFO^Y]_`lg4567:h1Xbi\NMBQTVZgil9:;<0:Qm`WGJKZ]YSnc_ds3456XadzT_cj]ALAPSWYdeyUn}=>?0036?VhcZHGH_Z\Pclr\at67889;7^`kR@O@WRTXkdzTi|>?00]jiuYTfmXJAN]XR^antZcv89::=<;4SofQEHET_[Uha}Qjq123666<[gnYM@M\WS]`iuYby9:;>Rgbp^Qm`WGJKZ]YSnc_ds345469<1Xbi\NMBQTVZejxVoz<=><319PjaTFEJY\^Rmbp^gr4564W`g{S^`kR@O@WRTXkdzTi|>?02321>Uil[KFO^Y]_bos[`w789>8<6]adSCNGVQUWjg{Sh?016\mhvX[gnYM@M\WS]`iuYby9:;8?033;?VhcZFGH_<=4SofQKHETWjg{Sh?01220>Uil[EFO^Qlmq]fu56788;?7^`kRNO@WZejxVoz<=>?2068WkbUGDIXSnc_ds345649=1Xbi\@MBQ\ghvXmx:;<=:>7:Qm`WIJKZUha}Qjq1234Zojx890_cj]OLAP[fkwWl{;<=?>4:Qm`WIJKZUha}Qjq12354733Zdo^BCLS^antZcv89::><:4SofQKHETWjg{Sh?013051=TfmXDAN]Pclr\at6788>:;6]adSMNGVYdeyUn}=>?1^knt45<[gnYC@M\_bos[`w7898:86]adSMNGVYdeyUn}=>?2037?VhcZFGH_Rmbp^gr4565:8>0_cj]OLAP[fkwWl{;<=<<169PjaTHEJYTo`~Pep2347Yney;=7^`kRNO@WZojxVYeh_ABCR3e?VhcZFGH_Ra}012361=TfmXDAN]Pos2345YneyUXbi\@MBQ\kw6789;?7^}jt39WWa=S[Vif|Rk~0123`>RTWjg{Sh?013g?QUXkdzTi|>?03f8PVYdeyUn}=>?359W]UC23]cfi64Thofv96902^bah|31?:8Plkbz585m6Zfmdp?7?6902^bah|33?58Plkbz]I:>6ZfmdpWGZejxVoz<=>?2`9Wmhcu\JUha}Qjq1234ZojxV^bah|[C^antZcv89:;=i5[ilgq[dhc89:;>=5[ilgq[dhc89:;Sdc_UknawYffm:;<=?8;Upj@drf;2\HO45YIDU\P\VB<2\[Mm4VQCq[kis89::=h5YP@p\jjr789;Te`~PVQCq[kis89::=l5YiePBIFUPZm1]ei\NMBQTV32l911]ei\NMBQTV32lWjg{Sh?0122=>Pnl[KFO^Y]65i\ghvXmx:;<=?>9:Tj`WGJKZ]Y:9ePclr\at67898:56XfdSCNGVQU>=aTo`~Pep234556k2\bh_OBCRUQ21mXkdzTi|>?01]jiu473_co^LCLSVP50nYneyU]ei\NMBQTV32l98?0Zdj]ALAPSWYdeyUn}=>?0228RlbUIDIX[_Qlmq]fu5678Vcf|RXfdSCNGVQUWjg{Sh?012250=QamXJAN]XR^antZcv89::?=5YiePBIFUPZVif|Rk~0122[lkwW_co^LCLSVP\ghvXmx:;<5:Tj`WGJKZ]YSnc_ds3454482\bh_OBCRUQ[fkwWl{;<=7[gkR@O@WRTXkdzTi|>?0213?SocZHGH_Z\Pclr\at678:Uba}QYiePBIFUPZVif|Rk~0120543<^`nYM@M\WS]`iuYby9:;8>>4VhfQEHET_[Uha}Qjq1230ZojxV\bh_OBCRUQ[fkwWl{;<=:>149UmaTFEJY\^Rmbp^gr4562;91]ei\NMBQTVZejxVoz<=>:_hos[SocZHGH_Z\Pclr\at678<;:96XfdSCNGVQUWjg{Sh?01404>Pnl[KFO^Y]_bos[`w78981078RlbUIDIX[_Qlmq]fu5670::0Zdj]ALAPSWYdeyUn}=>?8^kntZPnl[KFO^Y]_bos[`w7892:=85YiePBIFUPZVif|Rk~012:75=QamXJAN]XR^antZcv89:2Sdc_WkgVDKD[^XTo`~Pep234<76=2\bh_OBCRUQ[fkwWl{;<<><0:Tj`WGJKZ]YSnc_ds3446XadzTZdj]ALAPSWYdeyUn}=>>0036?SocZHGH_Z\Pclr\at67989;7[gkR@O@WRTXkdzTi|>?10]jiuYQamXJAN]XR^antZcv89;:=?01326>Pnl[KFO^Y]_np345659;1]ei\NMBQTVZiu89:;?<;4VhfQEHET_[Ud~=>?0^knt7=P[<1\_1>15:UP84823^Y7>394WR>0>5823^Y7?384W`psW@7c3QCGECV"XE@#4+7'[]_I,= > @Q@ML0^cjVCo==5Wdl]Neoiu^lxxeb`>0:ZgiZKnffx]i}foo78\j:76?1Sc1>1179[k969:?1Sc1>1389[k969W`g{?6V|t89[wqAJgmogn6Qnde2345:76m1Tmij?012?5?69j2Ujhi>?01>2:g=Ximn;<=>32?f8[dbc89:;0>4?>c9\eab789:7?3l4_`fg4566494h7Rokd12359776l1Tmij?013?54<76j1Tmij?013?548e3Vkoh=>?1=3=f>Yflm:;<<2=>c9\eab789;7?3l4_`fg45664=4i7Rokd1235939j2Ujhi>?00>5:g=Ximn;<=?37?`8[dbc89::050m;^cg`567953546Qnne2345713Vkeh=>?0^kntZYffm:;<=?7;^cm`56798<0Sl`k0122[lkwWVkeh=>?10:8[dhc89:9=;5Paof3454XadzTSl`k01215==Xign;<==>6:]bja678:Uba}QPaof3455602Ujbi>?0535?Zgil9:;8Rgbp^]bja678=;37Road123140:_hos[Zgil9:;9<64_`lg45619?1Tmcj?014\mhvXWhdo<=>9199\ekb789=::6Qnne2342YneyUTmcj?0152<>Yffm:;<5?9;^cm`5670Vcf|RQnne234=7?3Vkeh=>?9048[dhc89:2Sdc_^cm`5671820S`{w01233>Yhz9:;<<:4_np3456XadzTSb|?01223>Yhz9:;=<:4_np3457XadzTSb|?01321>gcllxn7l`k0123[jt789:9>6oad1234Ziu89:;Sdc_`lg4567Wfx;<=>>3:amp2=dg|di<4cr18`c`>3mcyinzfoo18bvd33`eia95focq:?kGCg|~GI=?7;oCGkprKM9Uba}QaAEmvpIC798:0bLJ`uuNF4Ziu89:;>;5aAEmvpIC7Wfx;<=>Pilr\jDBh}}FN199mEAir|EO:Sdc_oCGkprKM8;87cO\7:lBWZ@TJ>1eM^QFOC31?kGTW@EIScO\_HMAkprf3gKXSDAMotv5?kGTg|~n7cO\otv\ekb789:9>6`NSnww[dhc89:;Sdc_oCPkprXign;<=>>e:lBWjssWge<=>>239mEVir|Vddx=>?1^kntZhF[fSca{01225a=iIZe~xRa}012365=iIZe~xRa}0123[lkwWgKXcxzPos2345703gKdyyAJ159mEjssGLUba}QaAnwwK@723gHN^_74nCGQVZ@TJ8;0bOK]R^DPFZgil9:;?0^kntZhEM[XTJ^LPaof3456612dII_\PIN@4?kD@W@EI;6`MR^KLFc=iJ[UBCOQnne2345433gHYSDAM_`lg4567W`g{ScL]_HMA[dhc89:;=k5aBS]JKGYj}q:;<=??;o@Q[LIEWds<=>?1038jGTXAFHTaxv?01225475aBS]JKGYj}q:;<=Qfmqg8jGTXAFHTc>?0101?kDUW@EISb|?012\mhvXfKXTEBLPos2345733gIJ_n5aC@Q\ip~789:o7cMNS^ov|56788o0bNO\_lw{456798n0bNO\_lw{4567:l1eOL]Pmtz345659m1eOL]Pmtz34564m2dHM^Qbuy234556m2dHM^Qbuy234526l2dHM^Qbuy23453682dHM^Qbuy2345Yney<0bIZNCJc8jARFKBUM_Oo4nEVBGNYNGKo0bIZNCJ]bja6789897cJ[ABI\ekb789:Te`~PnEVBGNYffm:;<=?j;oFWEFMXff~;<=?=2:lGPDELWge<=>>_hos[kBSIJATbbz?01322>hCagFNh6`KioNF[jt789:9<6`KioNF[jt789:Te`~PnEkmH@Yhz9:;<<84nEkmK@c6`KioMF[lkwWgNbbBK>7:lGkprHMo1eHb{{OD]bja67898?7cJ`uuMF[dhc89:;Sdc_oFlqqIBWhdo<=>?1g9m@jssGLUjbi>?0007?kBh}}ENSl`k0122[lkwWgNdyyAJ_`lg456698>0bIaztNG\mhvXfMe~xBK>e:lGkprHMVey<=>?239m@jssGLUd~=>?0^kntZhCg|~DIRa}012350=iAYF9:6`FPM0q0>hKLZ20bAJ\_GQA<>hKLZUBCO?;;oNGWZOHJVdGH^QFOCmvpg=iDMYTEBL`uua8jIBTWhdo<=>?1d9mHAUXign;<=>Pilr\jIBTWhdo<=>?1b9mHAUXign;<=?>e:lO@VYffm:;<c:lO@VYffm:;Rgbp^lO@VYffm:;0bAYJc:lOS@Yffm:;<=?j;oNTAZgil9:;hHMVkeh=>?00a8jJCXign;<=>Pilr\jJCXign;<=>>b:lLAZgil9:;=?1348jJpbzekrSl`k0122[lkwWgE}ibny^cm`56798;;7cAyesnb}Zhh|9:;=?84nNtfvig~Wge<=>>_hos[kIqm{fjuR``t1235412:lQKHETW`g{Sc\@MBQ22>hUGD]Ni6`]OLUF[dhc89:;>?5aRNOTAZgil9:;?1008jWIJ_LUba}QaRNOTA42hRLZUjbi>?013f?kSC[Vkeh=>?0^kntZhRLZUjbi>?013`?kSC[Vkeh=>?10g8jPBTWhdo<=>>_hos[kSC[Vkeh=>?10a8jPBTWge<=>>1d9mQAUXff~;<=?Pilr\jPBTWge<=>>1c9mQAUXg{:;<=?l;oWGWZiu89:;Sdc_oWGWZiu89:;=95aUVG`?kSPMVkeh=>?00g8jPQBWhdo<=>?_hos[kSPMVkeh=>?00g8jPQBW`g{Sc[XE068jSKF02d]ALQFOC37?kPJIVCDNR`YM@]JKGir|k1eZ@OPIN@lqqehQXHUecy>?00]jiuYi^YKTbbz?01327>hPM>1e[HQISC58tjjXkea27}ac_bnhak>vhz}Uh`fka9:rlvqYci}k37}a}t^mse3=wg{~T}o5osv\v`urd}30|b|{_raov<=wg{~T~k{1:p0?wgj02x`Rzvpd38wc=tg|xhiRhfldlbi`b<{fyohQciogmf7=rx01}ma{j_bkm=>pfd|oTc}l7;ya:156dl1so4;<6ynsg12*=tJK|0g8222c0sg9m:7?4n2d4>3=#;o?1?hh4}R;:>6`c2;2m6<=;b02e>400mh1o?hk50;395~U>i39mh7<7f;300g77n3;=;h94vG174?6=93;1;%0:4?2bj2h8ih4?:5`957<11rF8j?4={I3e4>"5190?il5rL2d0>4}#v??:0:9y.2f?3:17d7>:188k1002900e9=k:188k1ec2900e9l=:188k1gc2900c4<50;9l0d7=831b89k50;9l0<`=831d88l50;9l006=831bo;4?::kf6?6=3`>=47>5;n60a?6=3f>?47>5;h64g?6=3f>j<7>5;h6`a?6=3f>i?7>5;n6:5?6=3`386=44i8294?=h<:<1<75`42c94?=n<>l1<75f45d94?=h:1<75`46694?=n1<75`45`94?=h:hn1<7*=9381ef=i:0;1<65`2``94?"51;09mn5a28395>=h:k<1<7*=9381ef=i:0;1>65`2c794?"51;09mn5a28397>=h:k>1<7*=9381ef=i:0;1865`2c194?"51;09mn5a28391>=h:k81<7*=9381ef=i:0;1:65`2c394?"51;09mn5a28393>=h:k:1<7*=9381ef=i:0;1465`2`d94?"51;09mn5a2839=>=h:ho1<7*=9381ef=i:0;1m65`2`c94?"51;09mn5a2839f>=n??0;6)<62;56?k4>93:07d9;:18'6<4=?<1e>4?51:9j36<72-82>79:;o0:5?4<3`=96=4+280930=i:0;1?65f7183>!4>:3=>7c<61;68?l0a290/>4<5749m6<7==21b:h4?:%0:6?123g82=784;h4g>5<#:081;85a28393>=n>j0;6)<62;56?k4>93207d8m:18'6<4=?<1e>4?59:9j2d<72-82>79:;o0:5?g<3`<26=4+280930=i:0;1n65f6983>!4>:3=>7c<61;a8?l00290/>4<5749m6<7=l21b;h4?:%0:6?123g82=7k4;h5g>5<#:081;85a2839b>=n?j0;6)<62;56?k4>93;;76g8b;29 7?52>?0b?7>:038?l1f290/>4<5749m6<7=9;10e:750;&1=7<0=2d95<4>3:9j3=<72-82>79:;o0:5?7332c<;7>5$3;1>235<#:081;85a283953=6=4+28097225<#:081?::4n3;2>4=5<6290;w)=m0;31<>N4n91d=?950;9~f6`3290:6=4?{%1a4?5el2B8j=5`29g94?=zj=9;6=4>d;1:>1b|D:l96l?:8`8^=c=9r;?6pT<6g8245=u`3=6=44o205>5<5<!4>:3>:7c<61;28?l27290/>4<5409m6<7=921b?k4?:%0:6?263g82=7<4;h1g>5<#:0818<5a28397>=n;k0;6)<62;62?k4>93>07d=n:18'6<4=<81e>4?55:9j7<<72-82>7:>;o0:5?0<3`936=4+280904=i:0;1;65f3683>!4>:3>:7c<61;:8?l51290/>4<5409m6<7=121b?84?:%0:6?263g82=7o4;h17>5<#:0818<5a2839f>=n;:0;6)<62;62?k4>93i07d==:18'6<4=<81e>4?5d:9j0d<72-82>7:>;o0:5?c<3`>26=4+280904=i:0;1j65m48:94?3=:3?p@>h=:0yK5c64=#?4$5fa>d7<,=no6?7;;%6g=??c3g>o97?4$5fb>4`f3`3o6=44i8g94?=ni80;66a=9583>>o4??0;66l8:186>7<2sE9m>7?tH0d3?x"4j90:j45fa083>>i51=0;66g6d;29?l?b2900e4950;9a3?6==3:1N4n91G?k<51z&271<3m81ve4;50;9j=2<722c3j7>5;hc2>5<=2wx>;k50;0x96`6288<7095969~w70a2909w0952868Z7?33ty9;=4?:3y]e4=:?3k:7psm43:94?5=83:p(>l?:354?M5a82c:>l4?::k26g<722e9;84?::p=a<72;qU5i527;;g?xu>m3:1>vP6e:?76=<6:k1v?7;:181[4><27<6?7;;|q033<72:qU?:84=68b5>;3:10:>l5rs504>5<5s4=15h5243:962346|,:h;6<88;n37f?6=3`;>=7>5;h367?6=3`;>97>5;h363?6=3`;>57>5;h36f?6=3`;>h7>5;h36b?6=3`;==7>5;h357?6=3`;=97>5;h37b?6=3`9h>7>5;c37g?6=13:150z&0f5<5?m1C?k>4i00b>5<5<5<6=44}c37a?6=;3:1vP>4c9>51c=:>?0q~?:1;296~X6=816=9m513c8yv72;3:1>vP>529>51e=9;h0q~?:5;296~X6=<16=9m513a8yv72?3:1>vP>569>51e=9;n0q~?:9;296~X6=016=9m513d8yv72j3:1>vP>5c9>51e=9;o0q~?:d;296~X6=m16=9m51228yv72n3:1>vP>5g9>51b=9;h0q~?91;296~X6>816=9m51238yv71;3:1>vP>629>51b=9;k0q~?95;296~X6><16=9j513f8yv73n3:1>vP>4g9>51b=9;i0q~=l2;296~X4k;16=9j513g8yv73k3:1>v3>4b8130=:9=o1=?o4}r37`?6=:r7:8i4=749>51c=9;h0qpl<9c83>`<328;p(>l?:2;a?j5>>3:17d:6:188m1g=831b??4?::k07?6=3`9?6=44i2794?=n;?0;66g<7;29?l5?2900e>750;9j7d<722c8n7>5;h1g>5<o4?::k26f<722c:>i4?::m130<722wi?4650;794?6|,:h;68j4H2d3?l75i3:17d?=b;29?l75k3:17d?=d;29?j40=3:17pl<9883>3<729q/?o>5639K7c65<5<5<54;294~"4j90>46F:;50;9~w6?12909wS=66:?0=d<5?<1v9750;0xZ1?<5:336<2c9~w62=838pR>:4=2;:>44e3ty897>52z\01>;41>0:>n5rs2494?4|V:<01>78:00g?xu4?3:1>vP<7:?0=<<6:h1v>650;0xZ6><5:336<288o7p}2b9~w6b=838pR>j4=2;:>44b3ty85:4?:3y>7<1=:>?01>7n:00a?xu4110;6?u238:9623<5:3j6<i41j0;66g;9;29?l2f2900e><50;9j76<722c887>5;h16>5<>o403:17d=6:188m6g=831b?o4?::k0`?6=3k92h7>55;294~"4j90>n6F7j:186>5<7s-9i<7;l;I1e4>o6:h0;66g>2c83>>o6:j0;66g>2e83>>i5?<0;66sm38d94?0=83:p(>l?:708L6`73`;9m7>5;h31f?6=3`;9o7>5;h31`?6=3`;9i7>5;n041?6=3th8m=4?:583>5}#;k:1955G3g28m44f2900e<k3:1>vP<9b9>7d6=:>?0q~:6:181[2>3492h7?=a:p0d<72;qU8l5238g957gl3;9n6s|3283>7}Y;:16?4j513a8yv532909wS=;;<1:b?75j2wx?84?:3y]70=:;0n1=?j4}r15>5<5sW9=70=6e;31f>{t;>0;6?uQ369>7<`=9;k0q~=7:181[5?3492i7?=c:p7<<72;qU?45238g957bn3;9h6s|3c83>7}Y;k16?4h513a8yv5c2909wS=k;<1:b?75m2wx?4j50;0x96?c2;=>70=n0;31f>{t;0o1<7712349j<7?=a:p7<`=838p1>7i:356?85f83;9o6srb2c5>5>o3i3:17d==:188m65=831b?94?::k01?6=3`9=6=44i2594?=n;10;66g<9;29?l5f2900e>l50;9j7a<722h8m>4?:883>5}#;k:1:>5G3g28m44f2900e<:188k7122900qo=n4;292?6=8r.8n=492:J0b5=n9;k1<75f13`94?=n9;i1<75f13f94?=n9;o1<75`26794?=zj:k>6=4<:183!5e83?>7E=i0:k26d<722c:>o4?::m130<722wx?l<50;0xZ6g5349j97<85:p0<<72;qU84523`1957gj6=4={_6b?85f;3;9n6s|3383>7}Y;;16?l=513a8yv542909wS=<;<1b7?75l2wx?94?:3y]71=:;h>1=?l4}r16>5<5sW9>70=n3;31a>{t;?0;6?uQ379>7d5=9;l0q~=8:181[50349j87?=a:p7=<72;qU?5523`195667}Y;h16?l:513f8yv5e2909wS=m;<1b0?75k2wx?i4?:3y]7a=:;h>1=?k4}r1b7?6=:r78m>4=749>7d3=9;k0q~=n4;296~;4i=09;8523`7957dg}#;k:1?n64o2a7>5<>o4i3:17d=m:188m6b=831b?k4?::k74?6=3`>96=44b2a6>5<2290;w)=m0;7a?M5a82c:>l4?::k26g<722c:>n4?::k26a<722e9;84?::a7f0=83?1<7>t$2`3>0b<@:l;7d?=a;29?l75j3:17d?=c;29?l75l3:17b<85;29?xd4k>0;6>4?:1y'7g6==<1C?k>4i00b>5<5<52z\0g1=:;j=1>:;4}r1;>5<5sW9370=l5;31e>{t;00;6?uQ389>7f3=9;h0q~=n:181[5f349h97?=c:p7g<72;qU?o523b7957b3;9o6s|3g83>7}Y;o16?n8513f8yv272909wS:?;<1`2?75i2wx8?4?:3y]07=:;j<1=?l4}r1`1?6=:r78o84=749>7f1=9;k0q~=l6;296~;4k?09;8523b5957dc}#;k:1?h94o2g0>5<5<5<5<5<5<5<?50;9l623=831vn>k::186>5<7s-9i<7<8b:J0b5=n9;k1<75f13`94?=n9;i1<75f13f94?=h:>?1<75rb2g5>5<4290;w)=m0;042>N4n91b=?o50;9j57d=831d>:;50;9~w6c42909wS=j3:?0a3<5?<1v>mn:181[5di278i94>2c9~w6ed2909wS=lc:?0a1<6:h1v>mj:181[5dm278i94>2b9~w6b72909wS=k0:?0a1<6:l1v>j=:181[5c:278i94>2e9~w6b32909wS=k4:?0a1<6:o1v>j9:181[5c>278i94>309~w6b?2909wS=k8:?0a1<6;91v>jn:181[5ci278i84>2`9~w6bd2909wS=kc:?0a0<6:j1v>jj:181[5cm278i84>2c9~w6c72909wS=j0:?0a0<6:m1v>k;:18185b<38<9636=4={<1f1?40=278i;4>2c9~yg55?3:197>50z&0f5<2m2B8j=5f13c94?=n9;h1<75f13a94?=n9;n1<75`26794?=zj:8h6=4<:183!5e838<:6Fo8:180>5<7s-9i<7;:;I1e4>o6:h0;66g>2c83>>i5?<0;66sm2cc94?5=83:p(>l?:458L6`73`;9m7>5;h31f?6=3f8<97>5;|`1fg<72:0;6=u+3c2910=O;o:0e<50z&0f5<212B8j=5f13c94?=n9;h1<75f13a94?=h:>?1<75rb2g1>5<4290;w)=m0;76?M5a82c:>l4?::k26g<722e9;84?::a7=c=8391<7>t$2`3>03<@:l;7d?=a;29?l75j3:17b<85;29?xd6;:0;644?:1y'7g6=>:1C?k>4i00b>5<5<5<5<5<2m7>53;294~"4j90>96F5<7s-9i<7;i;I1e4>o6:h0;66g>2c83>>o6:j0;66g>2e83>>i5?<0;66sm3b`94?3=83:p(>l?:4g8L6`73`;9m7>5;h31f?6=3`;9o7>5;h31`?6=3f8<97>5;|`0ga<72<0;6=u+3c291a=O;o:0e<50z&0f5<2m2B8j=5f13c94?=n9;h1<75f13a94?=n9;n1<75`26794?=zj:n:6=4::183!5e83?o7E=i0:k26d<722c:>o4?::k26f<722c:>i4?::m130<722wi?i=50;794?6|,:h;68j4H2d3?l75i3:17d?=b;29?l75k3:17d?=d;29?j40=3:17pl0<729q/?o>55b9K7c65<5<6=44}c1g3?6==3:1N4n91b=?o50;9j57d=831b=?m50;9j57b=831d>:;50;9~f6b>290>6=4?{%1a4?3d3A9m<6g>2`83>>o6:k0;66g>2b83>>o6:m0;66a=7483>>{e;mh1<7;50;2x 6d72h?;h31e?6=3`;9n7>5;h31g?6=3`;9h7>5;n041?6=3th8hi4?:483>5}#;k:19n5G3g28m44f2900e<?1<75rb2g2>5<2290;w)=m0;7a?M5a82c:>l4?::k26g<722c:>n4?::k26a<722e9;84?::a7=5=83?1<7>t$2`3>0e<@:l;7d?=a;29?l75j3:17d?=c;29?l75l3:17b<85;29?xd40<0;684?:1y'7g6==j1C?k>4i00b>5<5<5<55;294~"4j90>n6F66:186>5<7s-9i<7;j;I1e4>o6:h0;66g>2c83>>o6:j0;66g>2e83>>i5?<0;66sm39`94?3=83:p(>l?:4g8L6`73`;9m7>5;h31f?6=3`;9o7>5;h31`?6=3f8<97>5;|`050z&0f5<2j2B8j=5f13c94?=n9;h1<75f13a94?=n9;n1<75`26794?=zj8??6=4::183!5e83?h7E=i0:k26d<722c:>o4?::k26f<722c:>i4?::m130<722wi=8850;794?6|,:h;68m4H2d3?l75i3:17d?=b;29?l75k3:17d?=d;29?j40=3:17pl>5983>0<729q/?o>55e9K7c65<5<6=44}c36e?6==3:1N4n91b=?o50;9j57d=831b=?m50;9j57b=831d>:;50;9~f43d290>6=4?{%1a4?3c3A9m<6g>2`83>>o6:k0;66g>2b83>>o6:m0;66a=7483>>{e9h?;h31e?6=3`;9n7>5;h31g?6=3`;9h7>5;n041?6=3th::=4?:483>5}#;k:19h5G3g28m44f2900e<?1<75rb047>5<2290;w)=m0;7g?M5a82c:>l4?::k26g<722c:>n4?::k26a<722e9;84?::a530=83?1<7>t$2`3>0b<@:l;7d?=a;29?l75j3:17d?=c;29?l75l3:17b<85;29?xd4:00;6>4?:1y'7g6==?1C?k>4i00b>5<5<54;294~"4j909;45G3g28m44f2900e<83:187>50z&0f5<5?01C?k>4i00b>5<5<6=44}c14b?6=;3:1N4n91b=?o50;9j57d=831d>:;50;9~f6?5290?6=4?{%1a4?4012B8j=5f13c94?=n9;h1<75f13a94?=h:>?1<75rb2:2>5<4290;w)=m0;76?M5a82c:>l4?::k26g<722e9;84?::a7<2=8391<7>t$2`3>7113A9m<6g>2`83>>o6:k0;66a=7483>>{e9<:1<7=50;2x 6d72<<0D>h?;h31e?6=3`;9n7>5;n041?6=3th?5n4?:283>5}#;k:19:5G3g28m44f2900e<349K7c65<033o7p}<2783>3}Y;;<01><8:356?855k3;9n6344e3ty9n44?:5y]6g?<5;hj6?9:;<0af?75i278o44>2b9~w61c2909wS=8d:?0<`<5?<1v5o50;1xZ=g<5=3j6<2o7?=b:p7<3=838pR>7:;<1b3?40=2wx=9l50;0xZ42e34;=;7?;b:p0a5=838pR9j<;<1`=?75i2wx>o650;0xZ7d?348in7<85:p773=838pR><:;<11g?40=2wx=><50;0xZ45534;8?7<85:p507=838p1<88:072?872:38<96s|14194?4|58<<6<;<;<360?40=2wx=8;50;0x940028?>70?:6;041>{t9<=1<743034;>47<85:p50?=838p1<88:07:?872i38<96s|14`94?4|58<<6<;m;<36g?40=2wx=;;50;0x940028<>70?96;041>{t943c34;>i7<85:p50`=838p1<88:07e?871838<96s|17394?4|58<<6<8>;<356?40=2wx=;=50;0x940028<870?94;041>{t;;21<744f349957<85:p7=4=838p1>6<:356?85>83;9m6s|39694?4|5:2>6?9:;<1:4?75j2wx?5850;0x96>02;=>70=60;31g>{t;121<77123492>7?=a:p7=g=838p1>6m:356?85>:3;9n6s|39a94?4|5:2o6?9:;<1:6?75k2wx?:k50;0x961a2;=>70=64;31e>{t;1:1<7712349287?=b:p0m38<96s|38494?4|5:3i6>79;<1b=?75i2wx??o50;0x964e2;=>70==9;31f>{t;0i1<76?d349j57?=b:p7d4=838p1>o9:2c1?85f13;9o6s|3b694?4|5:i36>m;;<1`=?75j2wx=9h50;0x940028>m70?:0;041>{t;l91<76c4349n>7?=b:p0<>=83>p1977:3;7?84ej3;9n63<28826d=:9<:1=?o4}r1`7?6=;r78o44=749>7`4=9;k01>6j:00b?xu3100;6?u248:9=`=:<0k1>:;4}r6:f?6=:r7?5n4=749>052z?0=7<5?<16?5?513`8yv5>;3:1>v3<8d826g=:;0>1>:;4}r1b2c9>7d?=:>?0q~=la;296~;4m>08ol523b`962352z?0a2<4kj16?nj52678yv5dm3:1>v3:;4}r1g4?6=:r78i:47a7=:>?0q~=k2;296~;4m>08h?523e1962352z?0a2<4l=16?i;52678yv5cm3:1>v3:;4}r1`6?6=;rT:4o5217597f4<5:o96?9:;|q0a5<72:q6?h953d2896c62;=>70=8f;31e>{t;m<1<7=t=2g4>6b1349o;7<85:?0<4<6:h1v>j7:18185b?39o463;4lm09;85rs5094?76sW>970=l8;61?855?3;9o63=b`826g=:9:91=>?4=20a>44c34;>>7?=d:?211<6:m16=88513f8943?288o70?:a;31`>;6=j0:>i5214g957b<58<;6<2c9>530=9;h0(>9>:538j617291v9>50;32[27349h47:?;<113?75l279nl4>2`9>565=9::01>55826f=:9<<1=?m4=07;>44d34;>m7?=a:?21f<6:k16=8k513`89407288h70?92;31`>;6>=0:>i52174957b<,:=:69?4n253>4=z{:l1<7??{_1e?85d039m70==7;31f>;6;:0:>k5233`957d<58?96<2`9>50>=9;h01<;n:00`?872k3;9o63>5d826d=:9?:1=?l4=041>44d34;=87?=c:?223<6:h1/?:?5409m726=:2wx?i4?:01xZ6b<5:3i6>j4=2c2>6b<5:k=6>j4=2a;>6b<5:8<6<o4>2`9>504=9;k01<;;:00b?872>3;9n63>59826d=:944f34;>i7?=c:?225<6:h16=;<513`89403288j70?96;31g>"4?80?=6`<7180?xu4j3:1:vP177m:2;896g62:301>o9:2;896e?2:301<=<:00a?!5093>:7c=80;48yv5?290=wS=7;<1:f?5?349j=7=7;<1b2?5?349h47=7;<307?75i2.8;<4;1:l035<03ty8;7>511y]72=:;0h1?:523`3972=:;h<1?:523b`957e<5:io6<2c9>7a5=9;h01>j::00b?85c?3;9h6344c349oj7?=d:?0a4<6:m1/?:?5409m726=02wx?;4?:02xZ60<5:3i6>84=2c2>60<5:k=6>84=2aa>44e349hh7?=a:?0gc<6:m16?i?513f896b4288o70=k5;31`>;4l>0:>o523e;957g<5:ni6<2b9>7`7=9;i0(>9>:538j617201v>;50;33[523492n7=:;<1b5?52349j:7=:;<1`f?75l278oi4>2e9>7f`=9;h01>j>:00b?85c;3;9o6344d349on7?=b:?0`a<6:h16?ih513`896c6288i7)=81;62?k5083k0q~=;:1824~X4<2785o4<4:?0e4<4<278m;4<4:?0gg<6:h16?nj513a896ea288j70=k1;31g>;4l:0:>l523e7957d<5:n<6<2`9>7ab=9;h01>ji:00b?85b93;9m6*<70875>h4?90i7p}<3;29e~X4;2785o4<3:?0e4<4;278m;4<3:?0<6<6:m16?5;513f896>0288o70=79;31g>;40k0:>n5239f957d<,:=:69?4n253>f=z{:81<7ot^20896?e2:801>o>:20896g12:801>6<:00`?85?=3;9o63<86826f=:;131=?l4=2:a>44e3493h7?=a:&034<392d8;=4k;|q7e?6=irT?m63<9c87e>;4i80?m63;40:0:>l52397957d<5:2<6<2e9>7=b=9;n0(>9>:538j6172l1v9750;cxZ1?<5:3i6974=2c2>1?<5:k=6974=2:0>44e349397?=a:?0<2<6:h16?57513f896>e288j70=7d;31g>"4?80?=6`<718e?x{ef19~H6`42;qe8h85499'0`3=>2w/?o>52c58^=c=:ro1=:4rZ24e>7}683;=6pg66;29?l21>3:17b:me;29?l24k3:17b:nd;29?j2f93:17d:188m11b2900e>;>:188m1b42900e9l>:188m7d?2900c9om:188m6422900c?ll:188k1>c2900c?ok:18'6<4=:hi0b?7>:198k7ge290/>4<52`a8j7?62810c?l9:18'6<4=:hi0b?7>:398k7d2290/>4<52`a8j7?62:10c?l;:18'6<4=:hi0b?7>:598k7d4290/>4<52`a8j7?62<10c?l=:18'6<4=:hi0b?7>:798k7d6290/>4<52`a8j7?62>10c?l?:18'6<4=:hi0b?7>:998k7ga290/>4<52`a8j7?62010c?oj:18'6<4=:hi0b?7>:`98k7gf290/>4<52`a8j7?62k10e>h50;&1=7<4m2d95<4?;:k0`?6=,;396>k4n3;2>4=h5180976g:298m6?=83.95?45$3;1>6co4>3:1(?7=:2g8j7?62>10e>;50;&1=7<4m2d95<47;:k00?6=,;396>k4n3;2><=h5180j76g<2;29 7?52:o0b?7>:c98m1g=83.95?45$3;1>6co3?3:1(?7=:2g8j7?62o10e9850;&1=7<4m2d95<4>0:9j00<72-82>7=j;o0:5?7632c?87>5$3;1>6c5<#:081?h5a283956=h5180:865m33f94?`=93lp@>h=:0yK5c60=z,:h;6<<<;h;g>5<5<6=44o3:4>5<5<5<5<5<5<5=50;9l6=3=831d>5950;9l6=?=831d>5l50;9l6=e=831d>5j50;9aa=<72:0:6>uC3g095~N6n91v(>l?:d58md7=831d>4:50;9j=a<722h<6=4<:183!5e83o87E=i0:N0b7<6s-;887:j1:j5;n0:0?6=3ty2h7>52z\:`>;021l0q~<9e;296~;02;3?7S<64:p63`=838pRl?4=68b5>{zjlo1<7=51;1xH6`528qC=k>4}%1a4?c03`k:6=44o3;7>5<3587a4=za1l1<75fa083>>i51=0;66s|9e83>7}Y1m16;76i;|q12`<72;q6;7<64:\1=1=z{;4<4sE9m>7?tH0d3?x"4j90n;6gn1;29?j4><3:17d7k:188f2<72:0;6=u+3c29a6=O;o:0@>h=:0y'562=4:50;9~w{t:?o1<70q~<9f;296~Xf927<6l?4}|`245<72:0:6>uC3g095~N6n91v(>l?:d58md7=831d>4:50;9j=a<722h<6=4<:183!5e83o87E=i0:N0b7<6s-;887:j1:j5;n0:0?6=3ty2h7>52z\:`>;021l0q~<9e;296~;02;3?7S<64:p63`=838pRl?4=68b5>{zj8:86=4<:080I5a:3;pD5;n0:0?6=3`3o6=44b683>6<729q/?o>5e29K7c61<75rs8f94?4|V0n01:47f:p63c=838p1:4=959]6<252z\b5>;02h;0qpl>0883>6<62:qG?k<51zJ2b5=z,:h;6h94i`394?=h:0>1<75f9e83>>d029086=4?{%1a4?c43A9m<6Bn=6sf8g83>>of93:17b<64;29?xu>l3:1>vP6d:?4>=`52z?4>7?33W8286s|27d94?4|Vh;01:4n1:~f46d29086<4<{M1e6?7|@8l;7p*of93:17b<64;29?l?c2900n:4?:283>5}#;k:1i>5G3g28H6`528q/=>:54d38yl>a2900el?50;9l6<2=831v4j50;0xZ03j6s|27g94?4|5>09595Q2868yv41n3:1>vPn1:?4>d76}K;o81=vF>f19~ 6d72l=0el?50;9l6<2=831b5i4?::`4>5<4290;w)=m0;g0?M5a82F8j?4>{%300?2b<2wb4k4?::kb5?6=3f8287>5;|q:`?6=:rT2h638:9d8yv41m3:1>v38:3;7?[4><2wx>;h50;0xZd7<5>0j=6srb02;>5<32;0>w)=m0;33<>o6ml0;66g=8b83>>o50k0;66a>0583>>d68>0;6>4?:1y'7g6==?1C?k>4i00b>5<5<53;294~"4j909;;5G3g28m44f2900e<vP=8b9>551=9;h0q~<7b;296~X50k16==8513`8yv77<3:1>vP>059>550=:>?0q~??5;296~;68>09;852114957g2}#;k:1=<;4i0ge>5<5<5<7E=i0:k26d<722c:>o4?::m130<722wi==h50;194?6|,:h;68;4H2d3?l75i3:17d?=b;29?j40=3:17pl>1083>6<729q/?o>52648L6`73`;9m7>5;h31f?6=3f8<97>5;|q2ac<72;qU=hh4=031>44f3ty94i4?:3y]6=b<58;96<44f3ty9444?:3y]6=?<58:m6<7123ty:544=:>?01:00b?xu68o0;6?u211d9623<58;:6<4473`8357>5;h3fe?6=3`;nh7>5;h3ff?6=3`;nj7>5;h0;`?6=3`83;7>5;h3fg?6=3f;:;7>5;c32b?6=<3:1N4n91b=?o50;9j57d=831b=?m50;9l623=831vn5<7s-9i<7;n;I1e4>o6:h0;66g>2c83>>o6:j0;66a=7483>>{e98h1<7=50;2x 6d72<<0D>h?;h31e?6=3`;9n7>5;n041?6=3th:=i4?:583>5}#;k:19l5G3g28m44f2900e<50z&0f5<5?m1C?k>4i00b>5<5<5<6=44}r0;=?6=vP>ee9>54`=9;k0q~?jb;296~X6mk16=<7513c8yv7bn3:1>vP>eg9>54d=9;k0q~<7d;296~X50m16=vP=869>54c=9;n0q~?jc;296~X6mj16=vP>169>54c=:>?0q~?>8;296~;69o09;85210g957g52z?25<<5?<16=v3>1c8130=:98o1=?m4}r32g?6=:r7:=i4=749>54c=9;o0qpl>0383>6<729q/?o>5549K7c65<6=44}c33f?6=>3:1N4n91b=?o50;9j57d=831b=?m50;9j57b=831b=?k50;9l623=831ve`9>576=9lk01<>m:00b?xu6mk0;6>uQ1d`8944728oi70??b;31f>{t9li1<7=t^0g`?87583;no63>0c826a=z{8oo6=4<{_3f`>;6:90:ii5211`957c53z\2a`=:9921=hk4=021>44f3ty:ik4?:2y]5``<58;>620n01<>?:8f8946420n01<>6:8f8946d20n01b<42908wS<73:?fa?g634l26?7;;|q1<0<72:qU>5;4=d:9e4=:ml09595rs3:4>5<4sW83;63j8;0:0>;6:9094:5rs3::>5<2sW83563>1781=1=:98?1>574=003>7>>34;;n7?=c:p6=d=839pR?6m;<33=?4><27:<54=8c9~w7>d290?wS<7c:?246<51=16==6529a89465288i7p}=8e83>1}Y:1n01<>?:3;7?876=383h63>21817<85:p552=838p1<><:`38946?28:?7p}>0`83>7}:9931m<5211`962352z?24f0e9~w4702909w0?>6;c2?87583;:;6srs8f94?4|V0n01<<=:8d8yv4?93:1>vP=809>574=:1;0q~<73;296~X50:16=?<52918yv4?=3:1>vP=849>574=:1?0q~<77;296~X50>16=?<52958yv4?13:1>vP=889>574=:130q~<7b;296~X50k16=?<529`8yv4?k3:1>vP=8b9>574=:1i0q~<7d;296~X50m16=?<529f8yv7bi3:1>vP>e`9>574=9lk0q~?jb;296~X6mk16=?<51d`8yv7bk3:1>vP>eb9>574=9li0q~?jd;296~X6mm16=?<51df8yv7bm3:1>vP>ed9>574=9lo0q~?jf;296~X6mo16=?<51dd8yxd?k3:1?7?53zN0b7<6sA;m<6sC3g195~"3m<0>7p*>o>l3:17o950;194?6|,:h;6h=4H2d3?l>a2900el?50;9l6<2=831v4j50;0xZ03j6s|27g94?4|5>09595Q2868yv41n3:1>vPn1:?4>d753;397~J4n;0:wE?i0:O7c5=9r.?i84:;|&0f5<58<1bm<4?::m1=1<722c2h7>5;c594?5=83:p(>l?:d18L6`73`2m6=44i`394?=h:0>1<75rs8f94?4|V0n01:47f:p63c=838p1:4=959]6<252z\b5>;02h;0qpl<4883>0<52"3m:0??<5+4e`9e4=#4:4$5f:>6<5+4ec9=`=i>of93:17b<64;29?l50>3:17o950;796?3|D:l96<3:17d7k:188m5<2290;w)=m0;g6?M5a82c297>5;h;4>5<>i51=0;66sm3g394?7=83:p(>l?:00;?M5a82e:>:4?::p=a<72;qU5i527;:e?xu>m3:1>vP6e:?4><352z?0b4<6:>16;778;|q12c<72;q6;7<64:\1=1=z{;=;6=4={_c2?81=i81vqo:=8;297?6=8r.8n=4=769K7c65<6=44}r;g>5<5sW3o70959e9~w44e3ty9594?:3y]6<2<5>09595rs255>5<4sW9<:638:`38914?288j7p};2683>7}:?33n70:=8;041>{zj=3:6=4<:080I5a:3;pDn97;4}%1a4?47=2cj=7>5;n0:0?6=3`3o6=44b683>6<729q/?o>5e29K7c6>i51=0;66s|9e83>7}Y1m16;76i;|q12`<72;q6;7<64:\1=1=z{;{I3e4>{K;o91=v*;e486?x"4j909=:5fa083>>i51=0;66g6d;29?g1=8391<7>t$2`3>`5<@:l;7A=i2;3x 4532=o:7pg7f;29?lg62900c?7;:188yv?c2909wS7k;<597}Yi816;7o>;|a6a5=8391=7=tL2d1>4}O9o:0qA=i3;3x 1c22<1v(>l?:33;?lg62900c?7;:188m53;294~"4j90n?6F7}:?38286P=959~w70a2909wSo>;<59e4=zuk8hn7>53;397~J4n;0:wE?i0:O7c5=9r.?i84:;|&0f5<5901bm<4?::m1=1<722c2h7>5;c594?5=83:p(>l?:d18L6`73E9m>7?t$017>1c63tc3j7>5;hc2>5<5<5sW3o70958g9~w70b2909w0952868Z7?33ty9:k4?:3y]e4=:?3k:7psm2ca94?5=939p@>h=:0yK5c60=z,:h;6?>:;hc2>5<50z&0f5>of93:17b<64;29?xu>l3:1>vP6d:?4>=`52z?4>7?33W8286s|27d94?4|Vh;01:4n1:~f7b529086?4;{M1e6?7|@8l;7pBof93:17b<64;29?l?c2900n:4?:283>5}#;k:1i>5G3g28H6`528q/=>:54d38yl>a2900el?50;9l6<2=831vnl>50;094?6|,:h;6<=:;I1e4>o6:00;66a=7483>>{t1m0;6?uQ9e9>e5<6:01v?8j:18181=0o16m=4=749~w70a2909w0952868Z7?33ty9;=4?:3y]e4=:?3k:7psm44`94?5=:3>p@>h=:0yK5c60=z,:h;6??m;hc2>5<50z&0f5>of93:17b<64;29?xdf83:1>7>50z&0f5<6;<1C?k>4i00:>5<6=44}r;g>5<5sW3o70o?:00:?xu5>l0;6?u27;:e?8g72;=>7p}=6g83>7}:?38286P=959~w7172909wSo>;<59e4=zuk8hm7>55;092~"4j909ol5`2b494?=n;:i1<75f33g94?=n:j>1<75f32f94?=e:j31<7:50;2x 6d72<30D>h?;h31e?6=3`;9n7>5;h31g?6=3f8<97>5;|`1g=<72:0;6=u+3c29620<@:l;7d?=a;29?l75j3:17b<85;29?xu5k?0;6?uQ2b4897e?2;=>7p}<3b83>7}Y;:i01?m6:00`?xu4:l0;6?uQ33g897e>288j7p}=c583>7}Y:j>01?m6:00a?xu4;m0;6?uQ32f897e?288i7p}=c683>7}::j31>:;4=3a;>44f3twi>im50;591?d|,:h;6?jl;n0g0?6=3`98o7>5;h11a?6=3`8h87>5;h10b?6=3`9>;7>5;h1053;294~"4j90>:6F5<7s-9i<7<86:J0b5=n9;k1<75f13`94?=h:>?1<75rb3f4>5<4290;w)=m0;76?M5a82c:>l4?::k26g<722e9;84?::a6a?=8391<7>t$2`3>03<@:l;7d?=a;29?l75j3:17b<85;29?xd5lh0;694?:1y'7g6=:>30D>h?;h31e?6=3`;9n7>5;h31g?6=3f8<97>5;|q1`1<72;qU>i:4=3fb>7123ty8?n4?:3y]76e<5;n<6<44f348o:7?=a:p6f2=838pR?m;;<0gf?75j2wx?>h50;0xZ65a348o57?=a:p701=838pR>;8;<0g=?75j2wx?>650;0xZ65?348om7?=c:p6a3=838p1?jm:356?84c>3;9n6s|27g94?4|5;n=6?9:;<0g3?75j2wx>;h50;0x97b02;=>70{t:m21<7712348om7?=b:~f7b6290=6>47{%1a4?4c92e9on4?::k07f<722c8>h4?::k07c<722c89:4?::k07g<722h9h=4?:283>5}#;k:19;5G3g28m44f2900e<?1<75rb3ae>5<3290;w)=m0;04=>N4n91b=?o50;9j57d=831b=?m50;9l623=831v?ml:181[4dk279ok4=749~w65d2909wS=2`9~w65a2909wS=;8:181[52?279oh4>2`9~w65e2909wS=2c9~yg4d:3:147=5az&0f5<5k;1d>oj50;9j76e=831b??k50;9j76b=831b?>k50;9j76`=831b?8950;9j76d=831i>n?50;194?6|,:h;6884H2d3?l75i3:17d?=b;29?j40=3:17pl=bg83>6<729q/?o>5579K7c65<6=44}c0`4?6=>3:1n>52678yv54k3:1>vP<3b9>6f7=9;h0q~==e;296~X4:l16>n?513c8yv54l3:1>vP<3e9>6f6=9;h0q~=n>513a8yv54n3:1>vP<3g9>6g`=9;h0q~=:7;296~X4=>16>oh513c8yv54j3:1>vP<3c9>6f6=9;o0q~52z?1fc<5?<16>n>513f8yxd3><0;6o4::gy'7g6==831b?>750;9j76g=831b?>l50;9a032=8391<7>t$2`3>00<@:l;7d?=a;29?l75j3:17b<85;29?xd3=l0;6>4?:1y'7g6==<1C?k>4i00b>5<5<=<7>53;294~"4j90>:6F5<7s-9i<7;9;I1e4>o6:h0;66g>2c83>>i5?<0;66sm47194?>=83:p(>l?:35e?M5a82c:>l4?::k26g<722c:>n4?::k26a<722c:>h4?::k26c<722c:?=4?::m130<722wx88m50;0xZ13d34>=?7<85:p76e=838pR>=l;<650?75j2wx??k50;0xZ64b34>=87?=a:p76c=838pR>=j;<66a?75i2wxm54?:3y]e==:<;7>53z\012=:44f3ty8?54?:3y]76><5=<96<74=540>44b3ty8?l4?:3y]76g<5=<86<l4=540>4573ty?9i4?:3y>032=:>?0198<:00b?xu3=l0;6?u244g9623<5=<86<526789104288h7p};6083>7}::;4=540>44c3twi>h<50;697?0|,:h;6?k=;n0be?6=3`8h?7>5;h6b>5<l?:448L6`73`;9m7>5;h31f?6=3f8<97>5;|`1`c<72:0;6=u+3c2910=O;o:0e<50z&0f5<5??1C?k>4i00b>5<5<52z\1ed=::l:1>:;4}r0`7?6=;rT9o>522d3957g<5;nm6<7}::l;1>:;4=3g3>44f3ty9hh4?:3y>6a`=:>?01?k?:00a?x{e:o>1<7:53;4x 6d72;l?7bk=50;194?6|,:h;6884H2d3?l75i3:17d?=b;29?j40=3:17pl=f083>6<729q/?o>5549K7c65<6=44}c0e6?6=;3:1vP=ad9>6c4=:>?0q~k=513c897`6288j7p}<2;296~X4:279j>4>2c9~w15=838pR9=4=3d2>44e3ty9ik4?:3y>6c5=:>?01?h=:00b?xu5n90;6?u22g39623<5;l96<1?78t$2`3>6613f8i=7>5;h0`7?6=3`986=44i5694?=e;9?1<7=50;2x 6d72<<0D>h?;h31e?6=3`;9n7>5;n041?6=3th8<>4?:283>5}#;k:1985G3g28m44f2900e<5<6=44}r0a5?6=:rT9n<52316962353z\1g6=:;9?1=?o4=220>44f3ty8?7>52z\07>;48<0:>o5rs5694?4|V=>01>><:00a?xu4880;6?u23179623<5::?6<l?:22`?j4e:3:17dt$2`3>00<@:l;7d?=a;29?l75j3:17b<85;29?xd4800;6>4?:1y'7g6==<1C?k>4i00b>5<5<53;294~"4j909;;5G3g28m44f2900e<75d=9;k01>>6:00b?xu4<3:1>vP<4:?04g<6:k1v9;50;0xZ13<5::26<7}:;931>:;4=22b>44e3twi?<<50;697?0|,:h;6>?=;n0a7?6=3`8h?7>5;h16>5<l?:448L6`73`;9m7>5;h31f?6=3f8<97>5;|`04c<72:0;6=u+3c2910=O;o:0e<50z&0f5<5??1C?k>4i00b>5<5<52z\1f6=:;8:1>:;4}r0`7?6=;rT9o>52303957g<5::m6<7}:;8;1>:;4=233>44f3ty875`=:>?01>??:00a?x{e;821<7:53;4x 6d72:;37b6<729q/?o>5549K7c65<6=44}c122?6=;3:1vP=b59>740=:>?0q~278=:4>2c9~w11=838pR994=236>44e3ty8=>4?:3y>741=:>?01>?9:00b?xu49=0;6?u23079623<5:;=6<1?78t$2`3>67b3f8i97>5;h0`7?6=3`9j6=44i2d94?=e;8n1<7=50;2x 6d72<<0D>h?;h31e?6=3`;9n7>5;n041?6=3th8=o4?:283>5}#;k:1985G3g28m44f2900e<c;297?6=8r.8n=4=779K7c65<6=44}r0a1?6=:rT9n85230a962353z\1g6=:;8n1=?o4=23a>44f3ty8m7>52z\0e>;49m0:>o5rs2d94?4|V:l01>?m:00a?xu4900;6?u230f9623<5:;h6<l?:207?j4e>3:17dt$2`3>00<@:l;7d?=a;29?l75j3:17b<85;29?xd4:80;6>4?:1y'7g6==<1C?k>4i00b>5<5<7>53;294~"4j909;;5G3g28m44f2900e<775=9;k01><>:00b?xu4?3:1>vP<7:?066<6:k1v9750;0xZ1?<5:8:6<7}:;;;1>:;4=201>44e3twi>ko50;697?0|,:h;6?hn;n0bb?6=3`8h?7>5;h1;>5<l?:448L6`73`;9m7>5;h31f?6=3f8<97>5;|`1b2<72:0;6=u+3c2910=O;o:0e<50z&0f5<5??1C?k>4i00b>5<5<52z\1ec=::o21>:;4}r0`7?6=;rT9o>522g;957g<5;l<6<7}::o31>:;4=3d;>44f3ty9j;4?:3y>6c1=:>?01?h7:00a?x{e:l21<7:53;4x 6d72;o37b=831i>h950;194?6|,:h;6884H2d3?l75i3:17d?=b;29?j40=3:17pl=e483>6<729q/?o>5549K7c65<6=44}c0f2?6=;3:1vP=ac9>6`0=:>?0q~h9513c897c2288j7p}<9;296~X41279i:4>2c9~w1>=838pR964=3g6>44e3ty9i>4?:3y>6`1=:>?01?k9:00b?xu5m=0;6?u22d79623<5;o=6<1?78t$2`3>6673f8i<7>5;h0`7?6=3`9i6=44i2f94?=e:ol1<7=50;2x 6d72<<0D>h?;h31e?6=3`;9n7>5;n041?6=3th9ji4?:283>5}#;k:1985G3g28m44f2900e<5<6=44}r0a4?6=:rT9n=522gg962353z\1g6=::ol1=?o4=3dg>44f3ty8n7>52z\0f>;5no0:>o5rs2f94?4|V:n01?hk:00a?xu5nk0;6?u22gd9623<5;ln6<kj5267897`b288i7psm2dg94?2=;3l?:3gf?j4fl3:17dj50;9a6`b=8391<7>t$2`3>00<@:l;7d?=a;29?l75j3:17b<85;29?xd5mk0;6>4?:1y'7g6==<1C?k>4i00b>5<5<53;294~"4j909;;5G3g28m44f2900e<hm52678yv4d;3:1?vP=c29>6`b=9;k01?km:00b?xu4j3:1>vPj50;0xZ6b<5;oi6<hj5267897cd288j7p}=e`83>7}::lh1>:;4=3g`>44e3twi?>950;794?6|,:h;6?9m;I1e4>o6:h0;66g>2c83>>o6:j0;66g>2e83>>i5?<0;66sm4c294?4=83:p(>l?:016?M5a82c:>44?::m130<722wi8lm50;094?6|,:h;6<=:;I1e4>o6:00;66a=7483>>{e7E=i0:k26<<722e9;84?::a0<6=8381<7>t$2`3>4523A9m<6g>2883>>i5?<0;66sm4`694?4=83:p(>l?:016?M5a82c:>44?::m130<722wi?8l50;194?6|,:h;6884H2d3?l75i3:17d?=b;29?j40=3:17pl<5583>6<729q/?o>5579K7c65<6=44}c17b?6==3:150z&0f5<2l2B8j=5f13c94?=n9;h1<75f13a94?=n9;n1<75`26794?=zj:996=4<:183!5e83?=7E=i0:k26d<722c:>o4?::m130<722wi?>:50;694?6|,:h;6874H2d3?l75i3:17d?=b;29?l75k3:17b<85;29?xd4;?0;6>4?:1y'7g6==?1C?k>4i00b>5<5<jj7>53;294~"4j90>;6F5<7s-9i<7;n;I1e4>o6:h0;66g>2c83>>o6:j0;66a=7483>>{e<1l1<7:50;2x 6d72h?;h31e?6=3`;9n7>5;h31g?6=3f8<97>5;|`7e6<72=0;6=u+3c291<=O;o:0e<5<5<53;294~"4j90>;6F5<7s-9i<7;:;I1e4>o6:h0;66g>2c83>>i5?<0;66sm48794?2=83:p(>l?:4;8L6`73`;9m7>5;h31f?6=3`;9o7>5;n041?6=3th9o84?:583>5}#;k:1955G3g28m44f2900e<?1<75rb26g>5<5290;w)=m0;301>N4n91b=?750;9l623=831vn978:181>5<7s-9i<7?<5:J0b5=n9;31<75`26794?=z{0<1<7mt^848964c20n015m59e9>e=<>l2788446d:?7=4<>l279o>46d:?1`6<>l279oo46d:?1ff<>l279h?46d:?71g<>l2wx8;850;0xZ10134kj6<<6;|q7f`<72;qU8ok4=5a2>7123ty??n4?:2y]06e<5=km6<i<7<85:p0d7=838pR9o>;<6b0?40=2wx>o750;1xZ7d>3498<7?=b:?071<6:h1v9;m:181[22j27?9o4=959~w=g=832pR5o4=20g>4ce342h6l?4=213>44c349887?=b:?073<6:h1684;513a897e2288j7p}<5983>6}Y;<201>;m:00a?853j3;9n6s|48394?4|V=3:70:61;0:0>{t<>o1<7;4l5rs5f0>5<2sW>o?63;ag826g=:44e34>297?=b:p0g7=838pR9l>;<6`4?75i2wx>o650;6xZ7d?3499h7?jc:?7e6<6:k16>n;513`8yv2fj3:1>vP;ac9>0de=:>?0q~==5;296~X4:<168lm513;8yv4ek3:1>vP=bb9>6ge=:0>0q~:7d;296~X30m1684>52678yv52?3:15v3<2e82ac=::mi1?894=3f2>630348h>7=:7:?720<4=>16?8l526789655288i70=<4;31g>;4;?0:>o5rs3f7>5<5s48o?7o>;<0gg?4c<2wx4n4?:2y>>513c891?4288j7p}<4883>6}:;=31>4:4=27a>44f349>87?=a:pe=<72=q6??j51dg89d>=:0>0198::`:891?4288i7p}<4b83>7}:;=31?:84=26g>7123ty?5?4?:3y>0<5=:>?0197::00b?xu31=0;6?u24879623<5=3<6<<6;|q7fc<72;q68n?513;891e72;=>7p}<3983>1}:;;n1>5?4=3f`>65?34>=97=<8:?00c<6:h1v>;?:181852<38<963<31826f=z{:926=4<{<11`?4?;27?:84<389>71`=9;h0q~=m6<;3><08?o5235d957b56z?06a<50016>no532a897bd2:9h70;5k;08?n52477976e54z?06a<50k16>i<5a09>6fg=;:n01?m=:21g?xu4;l0;6>u233f96=e<5;i96>=j;<651?54m2wx?>h50;7x964c2;2o70;5l808?k522b0976`<5=<>6>=i;|q7<`<72;q684>513;891>a2;=>7p};a383>7}::;4=5c5>44f3ty9on4?:3y>6fd=i816>i?52ba8yv4d;3:1jv3=c281=1=::l81>n=4=3d7>7e4349;:7e;0`7>;4:=09o>522gc96f5<5;o36?m<;<134?4d;279ih4=c29>0f6=9;i0196i:00`?xu5kk0;68u233f95`b<5;ii6?7;;<106?75i27?m>4>2b9>6f3=9;i0q~=97::c:p71c=838p1>:6:8g8962a2;=>7p};9783>7}:<0;1m<52485962357z?06a<6mh16>no533g897bd2:8n70;5k;08>h52477977c<5:9<6?9:;|q00d<72;q6?9l52678962c28827p}<2g83>7}:;:=1=?o4=213>7123ty8?<4?:3y>761=9;h01>==:356?xu4;:0;6?u2325957e<5:9?6?9:;|q070<72;q6?>9513f896512;=>7p};a483>7}:1=?74=5c5>7123tyj57>52z?bi<5286891g1288h7p}=d283>7}::m91>4:4=5c5>44e3ty9ni4?:3y>6ge=i816>n<52cf8yv2fm3:1>v3;b1826<=::;4}r0`0?6=;r79ol4=c59>6ae=:j>01?m::356?xu5im0;6?uQ2`f897cb2;ko7)=81;0bg>h4?90;7p}=ac83>7}Y:hh01?k7:3ca?!50938jo6`<7182?xu5j?0;6?uQ2c4896432;h=7)=81;0bg>h4?9097p}=b483>7}Y:k?01>?j:3`6?!50938jo6`<7180?xu5j=0;6?uQ2c68967?2;h?7)=81;0bg>h4?90?7p}=b283>7}Y:k901>?=:3`0?!50938jo6`<7186?xu5j;0;6?uQ2c08966d2;h97)=81;0bg>h4?90=7p}=b083>7}Y:k;01>>9:3`2?!50938jo6`<7184?xu5j90;6?uQ2c2896672;h;7)=81;0bg>h4?9037p}=ag83>7}Y:hl01?hn:3ce?!50938jo6`<718:?xu5il0;6?uQ2`g897`32;kn7)=81;0bg>h4?90j7p}=a`83>7}Y:hk01?k=:3cb?!50938jo6`<718a?xu4n3:1>vP53z\0`>;48908h63=ed80`>"4?808i6`<7182?xu4j3:1?vP"4?808i6`<7180?xu413:1>vP<9:?1a=<412.8;<452z\0<>;5nh0846*<7080a>h4?90>7p}<7;296~X4?278>94<7:&034<4m2d8;=49;|q02?6=:rT8:63<19802>"4?808i6`<7184?xu4=3:1>vP<5:?057<4=2.8;<452z\00>;48j0886*<7080a>h4?9027p}<3;296~X4;278<;4<3:&034<4m2d8;=4n;|q06?6=:rT8>63=f5806>"4?808i6`<718a?xu3i3:1>vP;a:?1a7<3i2.8;<452z\7=>;4:=0?56*<7080a>h4?90o7p};8;297~X30279jl4;8:?1a=<302.8;<452z\73>;4910?;6*<7080a>h4?90m7p};6;296~X3>278=?4;6:&034<4m2d8;=4>0:p00<72;qU885231a900=#;>;1?h5a362954=z{=>1<70(>9>:2g8j6172880q~:<:181[24348m87:<;%145?5b3g9<<7?<;|q0g?6=:rT8o63=e380g>"4?808i6`<71820>{zj=9>6=4>6;00>65|D:l96l?:06b?l?12900e<:9:188m4>e2900e<=9:188m40e2900c<6l:188m15d2900e5o50;9l5=c=831b8:k50;9j51d=831b8i=50;9j0g7=831d=;j50;9l5a2=831b??;50;9l026=831d=5650;9l5gd=831d8o4?::m60?6=3f>?n7>5;c1bf?6=j3;1nvB259j=a<722e94<4?::m1<6<722e9484?::m1<2<722e9444?::k2ad<722c:io4?::k2af<722c:ii4?::k2a`<722h:>?4?:c8;>`}K;o81=vF>f19~ 6d72:ki7d?ja;29?l7bj3:17d?jc;29?l7bl3:17d?je;29?l?a2900c?6>:188k7>42900c?6::188k7>02900c?66:188f`>=8391=7=tL2d1>4}O9o:0q)=m0;g4?lg62900c?7;:188m53;294~"4j90n?6F7}:?38286P=959~w70a2909wSo>;<59e4=zukon6=4<:080I5a:3;pD5;n0:0?6=3`3o6=44b683>6<729q/?o>5e29K7c61<75rs8f94?4|V0n01:47f:p63c=838p1:4=959]6<252z\b5>;02h;0qpljd;290?4==r.8n=4jd:kf5;h0;=?6=3fo26=44bda94?5=83:p(>l?:478L6`73`;9m7>5;h31f?6=3f8<97>5;|`ff?6=;3:144f3ty:ih4?:3y]5`c<5li1=?l4}r0;=?6=:rT94452ec826g=z{l31<7?0q~kn:1818cd2;=>70km:00b?x{en10;654::by'7g6=n11b=ho50;9ja=<722c9444?::k2a`<722c:io4?::k2af<722c:ii4?::mfb?6=3kl<6=4<:183!5e83?=7E=i0:k26d<722c:>o4?::m130<722wij<4?:283>5}#;k:19;5G3g28m44f2900e<5<7s-9i<7;9;I1e4>o6:h0;66g>2c83>>i5?<0;66smf483>0<729q/?o>55e9K7c65<5<6=44}cd5>5<2290;w)=m0;04f>N4n91b=?o50;9j57d=831b=?m50;9j57b=831d>:;50;9~w4cf2909wS?ja:?e3?75j2wxi54?:5y]a==:n>0:>l52f0826d=:n<0:>o5rs3::>5<4sW83563i1;31f>;a;3;9n6s|1dg94?4|V8on70h<:00b?xu6mk0;6?uQ1d`89c3=9;i0q~?jc;296~X6mj16j84>2e9~w4cc2909wS?jd:?e1?75i2wxik4?:3y]ac=:n?09;85rsg294?4|5o=1>:;4=g4957g71234l=6<b0<5?<16j;4>2e9~yg4?:3:1?7>50z&0f5<2>2B8j=5f13c94?=n9;h1<75`26794?=zj;2?6=4<:183!5e83?>7E=i0:k26d<722c:>o4?::m130<722wi>5850;194?6|,:h;6884H2d3?l75i3:17d?=b;29?j40=3:17pl=8983>6<729q/?o>5569K7c65<6=44}rg;>5<0s4o36?7;;`><5o21i552290957g<5;2?6<2c9~w4cf2909wS?ja:?e52z\2aa=:n10:ii5rs0gf>5<4sW;ni63jd;3fa>;a03;ni6s|9g83>6}Y1o16i546d:?fa??c3ty94<4?:3y]6=7<5;296?9:;|q1<6<72;qU>5=4=3:7>7123ty9484?:3y]6=3<5;2=6?9:;|q1<2<72;qU>594=3:;>7123ty9444?:9y]6=?<5lo1>4:4=df96=?<5o21>574=3:1>44e348387?=a:?1<3<6:h16>56513c8yvc>2909w0k7:`389`b=m01vhh50;0x9`c=i816j54jf:~w<`52z\1<4=:9;81>5?4}r0;7?6=:rT94>5213096=552z\1<0=:9;81>5;4}r0;3?6=:rT94:5213096=152z\1<<=:9;81>574}r3fe?6=:rT:il5213095`g52z\2ag=:9;81=hl4}r3fg?6=:rT:in5213095`e52z\2aa=:9;81=hj4}r3fa?6=:rT:ih5213095`c6}K;o81=vF>f19~H6`428q/8h;54:'7g6=:8i0el?50;9l6<2=831b5i4?::`4>5<4290;w)=m0;g0?M5a82F8j?4>{%300?2b92wb4k4?::kb5?6=3f8287>5;|q:`?6=:rT2h638:9d8yv41m3:1>v38:3;7?[4><2wx>;h50;0xZd7<5>0j=6srb04`>5<42808wA=i2;3xL4`73tF8j>4>{%6f1?25;h;g>5<0;6>4?:1y'7g6=m:1C?k>4i9d94?=ni80;66a=9583>>{t1m0;6?uQ9e9>3?>a3ty9:h4?:3y>3?4><2T9595rs34e>5<5sWk:7095a09~yg7f83:197<55zN0b7<6sA;m<6sC3g195~"3m<0?7p*>o>l3:17d7j:188m<1=831i;7>55;294~"4j90n96F5;h:e>5<:183!5e83;946F03j6s|9d83>7}Y1l16;77:;|q12`<72;q6?k?5135892<>?2wx>;h50;0x92<51=1U>4:4}r044?6=:rTj=638:`38yxd5=l0;694=:5yO7c4=9rB:j=5rL2d0>=}#4=#4=z,:h;65<<1<75m7;297?7=;rF8j?4>{I3e4>{#;k:1=k74i`394?=h:0>1<75f9e83>>d029086=4?{%1a4?c43A9m<6g7f;29?lg62900c?7;:188yv?c2909wS7k;<597}Yi816;7o>;|a045=8381<7>t$2`3>4523A9m<6g>2883>>i5?<0;66s|9e83>7}Y1m16;77k;|q1=1<72;qU>4:4=681=1=z{:==6=4={_142>;39:0:>45rs531>5<5s4=1m<52401962354;397~J4n;0:wE?i0:O7c5=0r.?i84;;%6f7?2492.?ho4n1:&7`a<51=1/8i759e9m0a3=92.?hk4<779m0a1=82w/?o>51gf8m5<42808wA=i2;3xL4`73t.8n=4>f89je4<722e9594?::k:`?6=3k=1<7=50;2x 6d72l90D>h?;h:e>5<;k50;0x92<51=1U>4:4}r05b?6=:rTj=638:`38yxu>l3:1>vP6d:?4>52z\1=1=:?38286s|36494?4|V:==7095a09~yg33290>6?4:{M1e6?7|@8l;7pB0(9k<:512?!2cj3k:7):kd;0:0>"3l002h6`;d482?!2ci33n7c:k6;38 1c72:kj7c:k8;38y!5e83;mi6g6d;29?l?b2900el?50;9l6<2=831b?lo50;9a3?6==3819vB51g;8md7=831d>4:50;9j=a<722c2i7>5;h;4>5<0;684?:1y'7g6=m<1C?k>4i8794?=n1>0;66g7f;29?lg62900c?7;:188yg5a93:1=7>50z&0f5<6:11C?k>4o004>5<0296s|27g94?4|5:l:6<<8;<59=2=z{;7}Yi816;7o>;|a07g=8391<7>t$2`3>7113A9m<6g>2`83>>o6:k0;66a=7483>>{t1m0;6?uQ9e9>3??c3ty2i7>52z\:a>;3:h0:>o5rs3;7>5<5sW828638:3;7?xu4ih0;6>uQ3`c892l4>2`9~w14>2909w0959d9>07g=:>?0qpl>8583>0<52{#;k:1=kl4i`394?=h:0>1<75f9e83>>o>m3:17d78:188f2<72<0;6=u+3c29a0=O;o:0e4;50;9j=2<722c3j7>5;hc2>5<=2wx>;k50;0x96`6288<7095969~w70a2909w0952868Z7?33ty9;=4?:3y]e4=:?3k:7psm1e294?3=:3?p@>h=:0yK5c61=z,:h;65<t$2`3>`3<@:l;7d7::188m<1=831b4k4?::kb5?6=3f8287>5;|`0b4<7280;6=u+3c2957><@:l;7b?=7;29?xu>l3:1>vP6d:?4>=`v38:3;7?[4><2wx>:>50;0xZd7<5>0j=6srb0:f>5<42808wA=i2;3xL4`73tF8j>4>{%6f1?25;h;g>5<0;6>4?:1y'7g6=m:1C?k>4i9d94?=ni80;66a=9583>>{t1m0;6?uQ9e9>3?>a3ty9:h4?:3y>3?4><2T9595rs34e>5<5sWk:7095a09~yg7c<3:1?7?53zN0b7<6sA;m<6sC3g195~"3m<0?7p*>o>l3:17o950;194?6|,:h;6h=4H2d3?l>a2900el?50;9l6<2=831v4j50;0xZ03j6s|27g94?4|5>09595Q2868yv41n3:1>vPn1:?4>d76}K;o81=vF>f19~H6`428q/8h;54:'7g6=:9?0el?50;9l6<2=831b5i4?::`4>5<4290;w)=m0;g0?M5a82c3j7>5;hc2>5<5<5sW3o70958g9~w70b2909w0952868Z7?33ty9:k4?:3y]e4=:?3k:7psm1c`94?5=939p@>h=:0yK5c61=z,:h;6?>:;hc2>5<50z&0f5>of93:17b<64;29?xu>l3:1>vP6d:?4>=`52z?4>7?33W8286s|27d94?4|Vh;01:4n1:~f1d=8391=7=tL2d1>4}O9o:0qA=i3;3x 1c22=1v(>l?:326?lg62900c?7;:188m53;294~"4j90n?6F5;n0:0?6=3ty2h7>52z\:`>;021l0q~<9e;296~;02;3?7S<64:p63`=838pRl?4=68b5>{zj:=36=4::386I5a:3;pDn97:4$5g0>1563->on7o>;%6g`?4><2.?h446d:l7`0<63->om77j;o6g2?7<,=nm6>99;o6g3?61<75f36494?=e?3:197<55zN0b7<6sA;m<6s+3c295c?5}#;k:1i85G3g28H6`528q/=>:54d38yl?22900e4950;9j5;n0:0?6=3th8j<4?:083>5}#;k:1=?64H2d3?j75?3:17p}6d;296~X>l27<65h4}r;f>5<5sW3n7095949~w70b2909w0=i1;313>;020=0q~<9f;296~;02;3?7S<64:p626=838pRl?4=68b5>{zj=836=4<:183!5e838<;6F02h6s|9d83>7}Y1l168?6513`8yv4><3:1>vP=959>3?4><2wx?:850;1xZ61134=1m<5243:957g9;7>52z?4>=83?1>7;tL2d1>4}O9o:0qA=i3;cx 1c22=1/8h=54238 1be2h;0(9jk:3;7?!2c133o7c:k5;38 1bf20o0b9j9:09'0a`=;><0b9j8:19~ 6d72;;;7d7k:188m5<22;0>wA=i2;3xL4`73t.8n=4>f89je4<722e9594?::k:`?6=3`3n6=44i8594?=e?3:197>50z&0f5>o>?3:17d6i:188md7=831d>4:50;9~f6`6290:6=4?{%1a4?7502B8j=5`13594?=z{0n1<77p}=6d83>7}:;o;1=?94=68:3>{t:?l1<70q~<80;296~Xf927<6l?4}|`76=<72:0;6=u+3c29621<@:l;7d?=a;29?l75j3:17b<85;29?xu>l3:1>vP6d:?4>3:1?vP<779>3?g634>947?=a:p071=838p1:46e:?76=<5?<1vqo:;b;291?5=>rF8j?4>{I3e4>{K;o91mv*;e487?!2b;3>8=6*;dc8b5>"3lm09595+4e;9=a=ih3l?0:7):j0;1be>h3l10;7p*0}K;o81=vF>f19~ 6d728l27do>:188k7?32900e4j50;9j=`<722c2;7>5;c594?3=83:p(>l?:d78L6`73`3>6=44i8594?=n0o0;66gn1;29?j4><3:17pl4<729q/?o>513:8L6`73f;9;7>5;|q:`?6=:rT2h638:9d8yv?b2909wS7j;<59=0=z{;{t:>:1<75<7s-9i<7?<5:J0b5=n9;31<75`26794?=zj=8j6=4<:183!5e838<;6F02h6s|9d83>7}Y1l168?o513`8yv4><3:1>vP=959>3?4><2wx?lo50;1xZ6gf34>947?=9:?76d<6:h1v9<6:18181=1l168?o52678yv25?3:1>v38:`38914?2;=>7psm1`794?1=;33p(>l?:0c6?j7f93:17d6n:188m42e2900e8:50;9j72>=831b=5l50;9j5d0=831i=l<50;794?6|,:h;68l4H2d3?l75i3:17d?=b;29?l75k3:17d?=d;29?j40=3:17pl>a283>6<729q/?o>5569K7c65<6=44}c3b0?6=;3:1N4n91b=?o50;9j57d=831d>:;50;9~w4g62909wS?n1:?2e1<5?<1v5o50;0xZ=g<58k96<44f3ty>87>52z\60>;6i:0:>l5rs25;>5<5sW9<463>a2826g=z{82i6=4={_3;f>;6i;0:>n5rs0c5>5<5sW;j:63>a3826a=z{8k96=4={<3b6?40=27:m94>2`9~w4g42909w0?n3;041>;6i=0:>o5r}c3:g?6=i3=1==u+3c2955<1<75f1`294?=n91l1<75f4e194?=n;hi1<75f19g94?=n;hn1<75f15794?=e90h1<7=50;2x 6d72h?;h31e?6=3`;9n7>5;n041?6=3th:5>4?:583>5}#;k:1945G3g28m44f2900e<<3:1?7>50z&0f5<5??1C?k>4i00b>5<5<53;294~"4j90>96F5<7s-9i<7;:;I1e4>o6:h0;66g>2c83>>i5?<0;66sm18;94?2=83:p(>l?:4;8L6`73`;9m7>5;h31f?6=3`;9o7>5;n041?6=3th:5l4?:583>5}#;k:1>:74H2d3?l75i3:17d?=b;29?l75k3:17b<85;29?xu6180;6?uQ183894?f2;=>7p}7}Y;hl01<7::00b?xu2<3:1?vP:4:?2=g<6:h16=4=513c8yv7f83:1>vP>a19>5vP;d29>5<5=9;i0q~=nc;296~X4ij16=49513c8yv7?m3:1?vP>8d9>5<1=9;h01<76:00a?xu4im0;6?uQ3`f894?>288h7p}>4483>7}Y9=?01<76:00b?xu61;0;6?u218`9623<583?6<7}:90>1>:;4=0;6>44e3ty9:k4?:3y>5<3=:>?01<7n:00b?xu61?0;6?u21859623<583j6<i6l<0;66g>o2<3:17d?n0;29?l7?n3:17d:k3;29?l5fk3:17d?k4;29?l5fl3:17d?;5;29?g7cn3:1?7>50z&0f5<2>2B8j=5f13c94?=n9;h1<75`26794?=zj8n<6=4;:183!5e83?j7E=i0:k26d<722c:>o4?::k26f<722e9;84?::a5a>=8391<7>t$2`3>7113A9m<6g>2`83>>o6:k0;66a=7483>>{e9m31<7=50;2x 6d72h?;h31e?6=3`;9n7>5;n041?6=3th:ho4?:283>5}#;k:1985G3g28m44f2900e<:;50;9~w4b22909wS?k5:?2``<5?<1v>oi:181[5fn27:h44>2`9~w02=839pR8:4=0fe>44e34;o;7?=b:p5d6=838pRa34;o;7?=c:p0a5=838pR9j<;<3g3?75i2wx?lm50;0xZ6gd34;on7?=a:p5a2=839pR2c9~w6gc2909wS=nd:?2`a<6:j1v<:::181[73=27:hi4>2`9~w4b12909w0?kf;041>;6l10:>l5rs0f4>5<5s4;o;7<85:?2`=<6:k1v?8j:18187c038<963>d8826g=z{;2`9~w4bf2909w0?kb;041>;6ll0:>o5rs0f`>5<5s4;oh7<85:?2``<6:j1vqo?71;29=?b=951938k40b2900e>oi:188m02=831b=5:50;9j5=`=831b8:k50;9j53b=831b?lm50;9j5=4=831i=::50;194?6|,:h;68;4H2d3?l75i3:17d?=b;29?j40=3:17pl>7483>6<729q/?o>5579K7c65<6=44}c343?6=;3:1N4n91b=?o50;9j57d=831d>:;50;9~f41?29086=4?{%1a4?40>2B8j=5f13c94?=n9;h1<75`26794?=zj8=26=4<:183!5e83?=7E=i0:k26d<722c:>o4?::m130<722wi=:o50;194?6|,:h;6?99;I1e4>o6:h0;66g>2c83>>i5?<0;66sm16`94?5=83:p(>l?:478L6`73`;9m7>5;h31f?6=3f8<97>5;|`23a<72:0;6=u+3c2910=O;o:0e<50z&0f5<2?2B8j=5f13c94?=n9;h1<75`26794?=zj82;6=4<:183!5e838<:6F5<7s-9i<7;:;I1e4>o6:h0;66g>2c83>>i5?<0;66sm16094?5=83:p(>l?:448L6`73`;9m7>5;h31f?6=3f8<97>5;|`236<72=0;6=u+3c2962?<@:l;7d?=a;29?l75j3:17d?=c;29?j40=3:17p}>6d83>7}Y9?o01<9<:356?xu4io0;6?uQ3`d8941e288j7p}:4;291~X2<27:;94>2`9>52?=9;k01<9k:00b?870n3;9m6s|19694?5|V82?70?84;31f>;6?m0:>o5rs0:e>5<4sW;3j63>74826g=:9>=1=?o4}r64a?6=;rT?;h52167957g<58=m6<44e34;<>7?=b:p7de=838pR>ol;<344?75i2wx=5<50;0xZ4>534;<>7?=a:p53`=838p1<9;:356?870i3;9m6s|16394?4|58=>6?9:;<3470?88;31f>{t:?o1<771234;<57?=b:p63`=838p1<96:356?870i3;9n6s|26294?4|58=j6?9:;<34f?75j2wx>:?50;0x941e2;=>70?83;31e>{t9>i1<771234;3<7?=a:p52c=838p1<9i:356?87?83;9n6s|26094?4|582;6?9:;<344?75j2wx>:=50;0x94172;=>70?83;31f>{t9>81<771234;5z&0f5<6ko1d=om50;9j7d`=831b994?::k2`5<722c:4k4?::k7f4<722c:no4?::k0ef<722c:4?4?::`2g7<72:0;6=u+3c2910=O;o:0e<50z&0f5<2>2B8j=5f13c94?=n9;h1<75`26794?=zj8i>6=4<:183!5e83?=7E=i0:k26d<722c:>o4?::m130<722wi=n850;194?6|,:h;6?99;I1e4>o6:h0;66g>2c83>>i5?<0;66sm1b594?5=83:p(>l?:448L6`73`;9m7>5;h31f?6=3f8<97>5;|`2g=<72:0;6=u+3c29620<@:l;7d?=a;29?l75j3:17b<85;29?xd6k00;6>4?:1y'7g6==<1C?k>4i00b>5<5<53;294~"4j90>96F5<7s-9i<7;8;I1e4>o6:h0;66g>2c83>>i5?<0;66sm1bg94?5=83:p(>l?:355?M5a82c:>l4?::k26g<722e9;84?::a5gc=8391<7>t$2`3>03<@:l;7d?=a;29?l75j3:17b<85;29?xd6k90;6>4?:1y'7g6==?1C?k>4i00b>5<5<54;294~"4j909;45G3g28m44f2900e<vP>bb9>5f7=:>?0q~=nf;296~X4io16=n7513c8yv33290>wS;;;<3`6?75i27:o:4>2`9>5fd=9;k01uQ1e2894e5288i70?lb;31f>{t91l1<7=t^0:e?87d;3;9n63>c4826d=z{=h:6=4<{_6a5>;6k:0:>l521bf957d53z\2fg=:9j?1=?l4=0a3>44e3ty8mn4?:3y]7de<58hn6<44f3ty:ni4?:3y>5f4=:>?017}:9j<1>:;4=0a4>44e3ty9:k4?:3y>5f1=:>?01c`83>7}:9jh1>:;4=0af>44f3ty:on4?:3y>5fb=:>?01c183>7}:9j:1>:;4=0a2>44d3twi=5j50;094?6|,:h;6<=:;I1e4>o6:00;66a=7483>>{e<>91<7<50;2x 6d7289>7E=i0:k26<<722e9;84?::a72g=83>1<7>t$2`3>0?<@:l;7d?=a;29?l75j3:17d?=c;29?j40=3:17pl>8`83>1<729q/?o>5589K7c65<5<?h7>53;294~"4j90>96F5<7s-9i<7;k;I1e4>o6:h0;66g>2c83>>o6:j0;66g>2e83>>i5?<0;66sm1`594?2=83:p(>l?:35:?M5a82c:>l4?::k26g<722c:>n4?::m130<722wi=4>50;694?6|,:h;6874H2d3?l75i3:17d?=b;29?l75k3:17b<85;29?xd60:0;6>4?:1y'7g6=:><0D>h?;h31e?6=3`;9n7>5;n041?6=3th8;n4?:283>5}#;k:1>:84H2d3?l75i3:17d?=b;29?j40=3:17pl=6183>7<729q/?o>51278L6`73`;957>5;n041?6=3th:4;4?:383>5}#;k:1=>;4H2d3?l7513:17b<85;29?xd6l;0;6?4?:1y'7g6=9:?0D>h?;h31=?6=3f8<97>5;|q:2?6=9;qU5;523``9=a=:9=?15i5217a9=a=:9h:15i5224g9=a=:1j02h63:4;;g?87?<33o70?k0;;g?87?m33o70?k4;;g?871l33o70?mb;;g?82e20n01>97:8f894>?20n019:m:8f8yv73>3:1>vP>479>01b=9;h0q~?7b;290~X60k16=l;519`894>f288j70=8c;31e>{t9:<1<76c83>7}Y9?h01<8l:`38yv7?k3:1>vP>8b9>5=b=:>?0q~:f2905=>=;><019:m:2cb?87f=32j70?60;31g>{t91o1<7=t^0:f?87?m382863>9b82<`=z{==n6=4<{_64a>;6080?;h52194957?56z\20g=:;hh1=hm4=0c6>42e349513`8yv2c;3:19vP;d29>5d6=i816=4m54e1894c72=n870:82;31`>{ti=63>d3826<=z{8;6>m095952193953b53z\2`1=:9m>1>4:4=0g3>4b33ty8>84?:3y]773<5==96<4=550>7123ty:454?:3y]5=><58236?7;;|q2fg<72:qU=ol4=0`a>7?334;hj7?mb:p0g<72;qU8o524c81=1=z{<>1<7ot^46896ge28oj70;;:3;7?87f=3??70?6c;77?87b83??70?71;77?87dn3??70?7d;31=>;4?h0:>l5rs56a>5<5sW>?n63;4c81=1=z{:kn6=4={<1bf?4?=27:m:4>2b9~w4b22909w0?k4;c2?87b83;o96s|3`d94?1|5:ki6?68;<3:g?5fn27:i=45=7=;hl014g6348=<7?=9:p72>=83om:0gg?8500382863>a4803==:91k1=?m4=56g>44f34;2<7?=a:p72?=838p1>97:8g8961f2;=>7p}>4483>1}:;hh1=hk4=066>7?334;2o7?;5:?2a5<6<<1v<7>:18187?m3k:70?6c;3:5>{t<;<1<77>>34>i6l?4}r;`>5<5s43h6?7;;<77>j7>52z?11`<4??16>;>52678yv7?=3:1>v3>858b5>;60?09;85rs0c5>5<5s4;j97?n6:?2e2<5?<1v9b82e5=:9l:1=l>4}r06a?6=11<4ih16=5:59d9>5a6=1l1v<6;:18187?<382863>8082<1=z{880827123ty:4?4?:2y>5=7=91801i64k4=56g>7123ty::n4?:3y>53e=:0>01<6n:00a?xu6000;6?u219:9=`=:91k1>:;4}r3g5?6=:r7:h=4n1:?2`7<5?<1vcg82`5=z{:=i6=4={<14e?75j278;n4=749~w6gd2903w0=nb;0;5>;>k39<:63>9b80ef=:9l:1?lm4=0:2>6gd34;hj7=nc:?2e2<6:h16=5=513`8yv5fl3:18v36gc34;j;7?=b:~f153290::7=k:40xH6`528qC=k>4}M1e7?4|f=o=6:94$5g6>3=z,:h;6<:6;[15b?7|:10vc<:9:188m1ed2900c9:>:188m1012900c<=9:188mf0=831b8l950;9l53>=831b5>4?::k2<`<722c2<7>5;n64a?6=3`;3;7>5;h:3>5<5<5<5<4}M1e7?7|,=o>6>5r$2`3>4423`3o6=44o3:2>5<5<5<5<5<5<551`:8m4cf2900e5=50;9l6=3=831d>5950;9l6=?=831d>5l50;9l6=e=831ii54?:282>6}K;o81=vF>f19~ 6d72l=0el?50;9l6<2=831b5i4?::`4>5<4290;w)=m0;g0?M5a82F8j?4>{%300?2b92wb4k4?::kb5?6=3f8287>5;|q:`?6=:rT2h638:9d8yv41m3:1>v38:3;7?[4><2wx>;h50;0xZd7<5>0j=6srbdg94?5=939p@>h=:0yK5c65<50z&0f5>{t1m0;6?uQ9e9>3?>a3ty9:h4?:3y>3?4><2T9595rs34e>5<5sWk:7095a09~yg`>29086<4<{M1e6?7|@8l;7p*of93:17b<64;29?l?c2900n:4?:283>5}#;k:1i>5G3g28H6`528q/=>:54d38yl>a2900el?50;9l6<2=831v4j50;0xZ03j6s|27g94?4|5>09595Q2868yv41n3:1>vPn1:?4>d76}K;o81=vF>f19~ 6d72l=0el?50;9l6<2=831b5i4?::`4>5<4290;w)=m0;g0?M5a82F8j?4>{%300?2b92wb4k4?::kb5?6=3f8287>5;|q:`?6=:rT2h638:9d8yv41m3:1>v38:3;7?[4><2wx>;h50;0xZd7<5>0j=6srb020>5<42808wA=i2;3xL4`73t.8n=4j7:kb5?6=3f8287>5;h;g>5<0;6>4?:1y'7g6=m:1C?k>4L2d1>4}#9:>18h?4}h:e>5<;k50;0x92<51=1U>4:4}r05b?6=:rTj=638:`38yxd6800;6>4>:2yO7c4=9rB:j=5r$2`3>`15<7s-9i<7k<;I1e4>J4n;0:w)?<4;6f5>{n0o0;66gn1;29?j4><3:17p}6d;296~X>l27<65h4}r05a?6=:r7<6?7;;_0:0>{t:?l1<7l:180>4<4sE9m>7?tH0d3?x"4j90n;6gn1;29?j4><3:17d7k:188f2<72:0;6=u+3c29a6=O;o:0@>h=:0y'562=0qd6i:188md7=831d>4:50;9~w{t:?o1<70q~<9f;296~Xf927<6l?4}|`eo5000;66g>ec83>>o6mm0;66g>ed83>>ibn3:17oh;:187>5<7s-9i<7;6;I1e4>o6:h0;66g>2c83>>o6:j0;66a=7483>>{en80;694?:1y'7g6==01C?k>4i00b>5<5<6=44}cd0>5<4290;w)=m0;042>N4n91b=?o50;9j57d=831d>:;50;9~w7>>2908wS<79:?e0?75k27m=7?=c:p5`d=839pR44f34l:6<52z\2a`=:n80:>o5rsdd94?4|Vll01k=52678yv`72909w0h;:356?8`4288j7p}i1;296~;a938<963i3;31f>{zjol1<7853;:x 6d72ol0e?66:188m4cc2900e5}#;k:19l5G3g28m44f2900e<2`83>>o6:k0;66a=7483>>{enm0;694?:1y'7g6=:>30D>h?;h31e?6=3`;9n7>5;h31g?6=3f8<97>5;|q1<<<72:qU>574=gg957e<5oi1=?o4}r3f`?6=:rT:ii52fd826g=z{8on6=4={_3fa>;am3;9m6s|1d`94?4|V8oi70hl:00a?xu50>0;6?uQ29589cb=9;i0q~hn:181[`f34lo6?9:;|qef?6=:r7mi7<85:?e`?75i2wxjn4?:3y>bf<5?<16ji4>2c9~yg76=3:1:7:59z&0f5<69<1b>5?50;9j5`e=831b=ho50;9j6=e=831b=hl50;9l55b=831i=<:50;194?6|,:h;6884H2d3?l75i3:17d?=b;29?j40=3:17pl>0g83>6<729q/?o>5579K7c65<6=44}c325?6=;3:1N4n91b=?o50;9j57d=831d>:;50;9~f474290>6=4?{%1a4?40j2B8j=5f13c94?=n9;h1<75f13a94?=n9;n1<75`26794?=z{;2:6=4;{_0;5>;69=0:>o5211d957d<58;:6<44f3ty:il4?:3y]5`g<58:m6<5m4=030>44d3ty:io4?:3y]5`d<58;:6<7123ty:542=:>?01l?:4a8L6`73`;9m7>5;h31f?6=3`;9o7>5;h31`?6=3f8<97>5;|q2ad<72:qU=ho4=036>4cf34;;n7?=c:p5`d=83?pR4ce34lm62`9~w4cd2908wS?jc:?250<6mj16==l513f8yv7bl3:1?vP>ee9>b=<6mm16jk4>ee9~w4cb2908wS?je:?e;bm33o70h6:8f8946720n01<><:8f8946>20n01<>l:8f8yv4?93:18vP=809>55e=:0>0170??0;c2?877;38286s|29594?5|V;2<70??0;0:0>;an383;6s|29;94?2|V;2270h6:3;7?8`?2;2270hi:3::?xu50k0;6>uQ29`89`>=i816ih4=959~w7>d2908wS<7c:?f<27:=84=8b9~w``=838p1hk5a09>b=b<55d=:>?0q~??d;296~;68j0j=63>14824a=zuz3o6=4={_;g?875:33m7p}=8083>7}Y:1;01<<=:3:2?xu50:0;6?uQ291894452;287p}=8483>7}Y:1?01<<=:3:6?xu50>0;6?uQ295894452;2<7p}=8883>7}Y:1301<<=:3::?xu50k0;6?uQ29`894452;2i7p}=8b83>7}Y:1i01<<=:3:`?xu6mh0;6?uQ1dc8944528oj7p}>ec83>7}Y9lh01<<=:0ga?xu6mj0;6?uQ1da8944528oh7p}>ee83>7}Y9ln01<<=:0gg?xu6ml0;6?uQ1dg8944528on7psm9583>6<62:qG?k<51zJ2b5=zD:l86{#;k:1>=;4i`394?=h:0>1<75f9e83>>d029086=4?{%1a4?c43A9m<6g7f;29?lg62900c?7;:188yv?c2909wS7k;<597}Yi816;7o>;|a54}O9o:0qA=i3;3x 1c22:1v(>l?:326?lg62900c?7;:188m53;294~"4j90n?6F5;n0:0?6=3ty2h7>52z\:`>;021l0q~<9e;296~;02;3?7S<64:p63`=838pRl?4=68b5>{zj8o:6=4<:080I5a:3;pDn97=4}%1a4?47=2cj=7>5;n0:0?6=3`3o6=44b683>6<729q/?o>5e29K7c6>i51=0;66s|9e83>7}Y1m16;76i;|q12`<72;q6;7<64:\1=1=z{;{I3e4>{K;o91=v*;e480?x"4j909=o5fa083>>i51=0;66g6d;29?g1=8391<7>t$2`3>`5<@:l;7d6i:188md7=831d>4:50;9~fd6=8381<7>t$2`3>4523A9m<6g>2883>>i5?<0;66s|9e83>7}Y1m16m=4>289~w70b2909w0958g9>e5<5?<1v?8i:18181=:0>0R?7;;|q135<72;qUm<527;c2?x{ell0;6>4=:5yO7c4=9rB:j=5rL2d0>4}#5<7s-9i<7k<;I1e4>o?n3:17do>:188k7?32900qoo?:181>5<7s-9i<7?<5:J0b5=n9;31<75`26794?=z{0n1<752678yv41n3:1>v38:3;7?[4><2wx>:>50;0xZd7<5>0j=6srbb;94?1=:3hp@>h=:0yK5c66=#1c03->oh7<64:&7`<<>l2d?h84?;%6gb?50>2d?h:4>;|&0f5<6n?1Q4h4>{3`9y_51n38p>i4<1;j721=831b5i4?::k033<722e95l4?:%0:6?4>12d95<4?;:m1==<72-82>7<69:l1=4<632e95:4?:%0:6?4>12d95<4=;:m1=0<72-82>7<69:l1=4<432h<6=4m:48`I5a:3;pDn?7:<1:&7`g7?=uS9=j7=1<75f9e83>>o4??0;66a=9`83>!4>:38256`=9083?>i5110;6)<62;0:=>h5180:76a=9683>!4>:38256`=9081?>i51<0;6)<62;0:=>h5180876gn7;29 7?52h<0b?7>:198md3=83.95?4n6:l1=4<632cj87>5$3;1>d0d0290>6947{M1e6?7|@8l;7pB8=6*;dc8b5>"3lm09595+4e;9=a=i>i51=0;66g>o4??0;66l8:180>4<4sE9m>7?tH0d3?x"4j90:j45fa083>>i51=0;66g6d;29?g1=8391<7>t$2`3>`5<@:l;7d6i:188md7=831d>4:50;9~w{t:?o1<70q~<9f;296~Xf927<6l?4}|`756<72:0;6=u+3c2913=O;o:0e<50z&0f5<5??1C?k>4i00b>5<5<7E=i0:k26<<722e9;84?::p=a<72;qU5i529;31=>{ti80;6?uQa09>07b=9;h0q~<64;296~X51=16;7<64:p7dg=838pR>on;<61`?75i2wx?:850;0xZ61134>:?7?=a:pi4=749~w1752909w095a09>045=:>?0qpln:187>6<1sE9m>7?tH0d3?xJ4n:0"3lk0j=6*;de81=1=#"3lo08;;5a4e595>{#;k:1>==4i8f94?=ni80;66a=9583>>o4??0;66l8:180>4<4sE9m>7?tH0d3?x"4j90:j45fa083>>i51=0;66g6d;29?g1=8391<7>t$2`3>`5<@:l;7d6i:188md7=831d>4:50;9~w{t:?o1<70q~<9f;296~Xf927<6l?4}|`756<72:0;6=u+3c2913=O;o:0e<t$2`3>4523A9m<6g>2883>>i5?<0;66s|9e83>7}Y1m1657?=9:pe4<72;qUm<52401957d52z\1=1=:?38286s|36494?4|V:==70:>3;31e>{t03:1>v38:8f89<<5?<1v9?=:18181=i8168<=52678yxd6=3:187=56zN0b7<6sA;m<6sC3g193~"3m:0??<5+4e`9e4=#4:4$5f:>6=5+4ed97207643`3o6=44i`394?=h:0>1<75f36494?=e?3:1?7?53zN0b7<6sA;m<6s+3c295c?5<7s-9i<7k<;I1e4>o?n3:17do>:188k7?32900q~7k:181[?c34=14k5rs34f>5<5s4=1>4:4^3;7?xu5>o0;6?uQa09>3?g63twi8<=50;194?6|,:h;6884H2d3?l75i3:17d?=b;29?j40=3:17pl6:181>5<7s-9i<7?<5:J0b5=n9;31<75`26794?=z{0n1<74:4=681=1=z{:==6=4={_142>;39:0:>l5rs983>7}:?33o70752678yv26:3:1>v38:`3891742;=>7psm2583>1<42?qG?k<51zJ2b5=zD:l86:u+4d19067<,=ni6l?4$5fg>7?33->o577k;o6g1?6<,=nm6>99;o6g3?75<6<62:qG?k<51zJ2b5=z,:h;65<50z&0f5>of93:17b<64;29?xu>l3:1>vP6d:?4>=`52z?4>7?33W8286s|27d94?4|Vh;01:4n1:~f17429086=4?{%1a4?313A9m<6g>2`83>>o6:k0;66a=7483>>{e13:1>7>50z&0f5<6;<1C?k>4i00:>5<6=44}r;g>5<5sW3o707513;8yvg62909wSo>;<627?75j2wx>4:50;0xZ7?334=1>4:4}r142?6=:rT8;;52401957g;>2;=>7p};1383>7}:?3k:70:>3;041>{zj;3h6=4<:183!5e838<:6F98:180[50?27<6>on;<0:g?75j2wx5i4?:4y]=a=:?33o70o59e9>50<>l279877k;|q033<72:qU?:84=68033=::0i1=?o4}r0:f?6=99;<36>611348?6>99;<0:g?40=2wx>4o50;0xZ7?f348?6?7;;%145?4>12d8;=4?;|q1==<72;qU>464=0796<2<,:=:6?76;o144?752z\1=2=:i38286*<7081=<=i;>:1>6s|28794?4|V;3>70952868 6162;327c=80;18yvg02909wSo8;<07>d7<,:=:6l84n253>5=z{h?1<7:1?6srb342>54:{%6f7?25j2.?hn4nd:&7a<oi7=:e:&7a=<03t.8n=4>f59Y<`<5s;i1>l4rZ24e>7}5m38<6pa<6683>!4>:39=:6`=9083?>i4><0;6)<62;152>h5180:76a<6583>!4>:39=:6`=9081?>i4=o0;6)<62;152>h5180876gm6;29 7?52k?0b?7>:198mg2=83.95?4m5:l1=4<632ci?7>5$3;1>g3d5><0;6>4>:2yO7c4=9rB:j=5r$2`3>77c3`ki6=44i`a94?=h;l?:2d`?lge2900elm50;9l70c=831i?k750;194?6|,:h;6884H2d3?l75i3:17d?=b;29?j40=3:17pl6<729q/?o>5579K7c65<6=44}c1ef?6=;3:12`9~wde=839pRlm4=2d:>44f349mm7?=b:p70c=838pR>;j;<1ef?40=2wx?k650;0x96`>2;=>70=ib;31e>{t;o31<7712349mn7?=b:~wdd=838pRll4=2d`>dd7}Y;hl:27f?x{e:?<1<7=51;1xH6`528qC=k>4}%1a4?46l2cjn7>5;hc`>5<5<42:0>w)=m0;1eg>ofj3:17dol:188k63b2900n>h6:180>5<7s-9i<7;9;I1e4>o6:h0;66g>2c83>>i5?<0;66sm3gc94?5=83:p(>l?:448L6`73`;9m7>5;h31f?6=3f8<97>5;|`0bg<72:0;6=u+3c29620<@:l;7d?=a;29?l75j3:17b<85;29?xufj3:1?vPnb:?0b<<6:k16?ko513c8yvgd2908wSol;<1e=?75i278jl4>2c9~w63b2909wS=:e:?0bg<5?<1v>h7:18185a138<9632c9~yvge2909wSom;<1eg?ge3tyjo7>52z\bg>;4nj0jo6s|34g94?4|V:?n70=ic;16a>{zj;<<6=4<:080I5a:3;pDi7>5;c1eg?6=;3919v*?1<75rb2db>5<4290;w)=m0;75?M5a82c:>l4?::k26g<722e9;84?::a7cd=8391<7>t$2`3>7113A9m<6g>2`83>>o6:k0;66a=7483>>{tik0;6>uQac9>7c?=9;h01>hn:00b?xufk3:1?vPnc:?0b<<6:h16?ko513`8yv52m3:1>vP<5d9>7cd=:>?0q~=i8;296~;4n009;8523g`957g52z?0bd<5?<16?kl513`8yxufj3:1>vPnb:?0bf5<5sW9>i63:?7>53;294~"4j90>96F5<7s-9i<7;:;I1e4>o6:h0;66g>2c83>>i5?<0;66sm37g94?4=83:p(>l?:016?M5a82c:>44?::m130<722wx8<<50;1x97012hh019?<:356?826=3;9n6s|40694?4|5;<<6ll4=536>7123ty8::4?:3y]731<5;<<6>;j;%145?51>2d8;=4?;|q020<72;qU?;;4=345>63b3-9<=7=96:l035<63ty8:94?:3y]732<5;<>6>;j;%145?51>2d8;=4=;|q01c<72;qU?8h4=24f>7123-9<=7=96:l035<43tyi:7>52z\a2>;5>>0jo6*<708a1>h4?90;7p}m4;297~Xe<279:;4nc:?750<6:h1/?:?5b49m726=92wxn>4?:2y]f6=::??1mn52401957g<,:=:6o;4n253>7=z{ho1<7:t^`g897022hh019?<:00a?851m3;956*<708a1>h4?9087ps|36594?4|V:=<70953658yv?c2909wS7k;<59=a=z{:==6=4={_142>;02:==7p}=9`83>6}Y:0k01:4=9`9>637=j?1/?:?528;8j617291v?77:180[4>027<6?77;<055?d33-9<=7<69:l035<63ty95:4?:2y]6<1<5>095:522739f6=#;>;1>474n253>7=z{;3>6=4<{_0:1>;02;3>70<91;cf?!50938256`<7180?xu38=0;6?u27;c4?841939=;6*<708746=i;>:1<6s|41094?4|5>0j963=608020=#;>;18==4n253>4=z{=::6=4={<59e1=::?;1?;:4$252>1643g9<<7<4}r1eb?6=:r7<6l<4=342>63a3-9<=7:?3:l035<43twi8n<50;797?0|D:l96l?:327?l?c2900e4k50;9je4<722e9594?::k033<722h<6=4::386I5a:3;pD5;h;f>5<a2900el?50;9l6<2=831vn>h>:182>5<7s-9i<7?=8:J0b5=h9;=1<75rs8f94?4|V0n01:47f:p=`<72;qU5h527;;6?xu5>l0;6?u23g39571<5>02;6s|27d94?4|5>09595Q2868yv4083:1>vPn1:?4>d74?:383>5}#;k:1=>;4H2d3?l7513:17b<85;29?xd3:10;6>4?:1y'7g6=:>=0D>h?;h31e?6=3`;9n7>5;n041?6=3ty2h7>52z\:`>;020n0q~7j:181[?b34>947?=a:p6<2=838pR?7;;<596<253z\033=:<891=?74=50;>44e3ty?=?4?:3y>3?g634>:?7<85:p071=838p1:46e:?76=<5?<1vqo?98;290?4==rF8j?4>{I3e4>{K;o91=v*;e480?x"4j909<;5fa083>>i51=0;66g6d;29?l1a2900n:4?:583>5}#;k:1i95G3g28m<1=831b4k4?::kb5?6=3f8287>5;|`b4?6=:3:17123ty52z\4b>;020=0q~<9f;296~;02;3?7S<64:p626=838pRl?4=68b5>{zj8>=6=4;:386I5a:3;pDn97=4}%1a4?47>2cj=7>5;n0:0?6=3`3o6=44i6d94?=e?3:187>50z&0f5>o?n3:17do>:188k7?32900qoo?:181>5<7s-9i<7?<5:J0b5=n9;31<75`26794?=z{0n1<752678yv1a2909wS9i;<59=2=z{;7}Yi816;7o>;|a5d?=8391=7=tL2d1>4}O9o:0qA=i3;3x 1c22:1v(>l?:326?lg62900c?7;:188m53;294~"4j90n?6F5;n0:0?6=3ty2h7>52z\:`>;021l0q~<9e;296~;02;3?7S<64:p63`=838pRl?4=68b5>{zj89=6=4<:080I5a:3;pDn97=4}%1a4?47=2cj=7>5;n0:0?6=3`3o6=44b683>6<729q/?o>5e29K7c6>i51=0;66s|9e83>7}Y1m16;76i;|q12`<72;q6;7<64:\1=1=z{;4<4sE9m>7?tH0d3?xJ4n:0:w):j5;18y!5e838;96gn1;29?j4><3:17d7k:188f2<72:0;6=u+3c29a6=O;o:0e5h50;9je4<722e9594?::p=a<72;qU5i527;:e?xu5>l0;6?u27;0:0>X51=1v?8i:181[g634=1m<5r}c65`?6=;3818vBh?;h:e>5<7E=i0:k26<<722e9;84?::p=a<72;qU5i52a1826<=z{;5<5s4=1>4:4^3;7?xu5?90;6?uQa09>3?g63twini4?:282>6}K;o81=vF>f19~H6`428q/8h;53:'7g6=:9=0el?50;9l6<2=831b5i4?::`4>5<4290;w)=m0;g0?M5a82F8j?4>{%300?2b92wb4k4?::kb5?6=3f8287>5;|q:`?6=:rT2h638:9d8yv41m3:1>v38:3;7?[4><2wx>;h50;0xZd7<5>0j=6srb562>5<42;0?wA=i2;3xL4`73tF8j>4>{%6f1?5b:kb5?6=3f8287>5;h;g>5<0;6>4?:1y'7g6=m:1C?k>4i9d94?=ni80;66a=9583>>{ei90;6?4?:1y'7g6=9:?0D>h?;h31=?6=3f8<97>5;|q:`?6=:rT2h63n0;31=>{t:?o1<7;f838<96s|27d94?4|5>09595Q2868yv4083:1>vPn1:?4>d71}K;o81=vF>f19~H6`428q/8h;53:'7g6=:8h0el?50;9l6<2=831b5i4?::`4>5<4290;w)=m0;g0?M5a82c3j7>5;hc2>5<5<5290;w)=m0;301>N4n91b=?750;9l623=831v4j50;0xZ52z?4>7?33W8286s|26294?4|Vh;01:4n1:~f1d629086?4;{M1e6?7|@8l;7pBof93:17b<64;29?l?c2900n:4?:283>5}#;k:1i>5G3g28m=`=831bm<4?::m1=1<722wim=4?:383>5}#;k:1=>;4H2d3?l7513:17b<85;29?xu>l3:1>vP6d:?b4?7512wx>;k50;0x9252z\b5>;02h;0qpl>b083>3<421q/?o>51c38k4gd2900e2`83>>o6:k0;66a=7483>>{e9ho1<7=50;2x 6d72<<0D>h?;h31e?6=3`;9n7>5;n041?6=3th:mk4?:583>5}#;k:1>:74H2d3?l75i3:17d?=b;29?l75k3:17b<85;29?xu6ij0;6?uQ1`a894ga2;=>7p}>b583>7}Y9k>01b`83>7}Y9kk01a883>7}Y9h301l?:061?j74j3:17d?m4;29?l74?3:17d?m5;29?l7e>3:17d?m7;29?l7e03:17d?<9;29?l7e:3:17d?<6;29?g7393:1?7>50z&0f5<2=2B8j=5f13c94?=n9;h1<75`26794?=zj89o6=4<:183!5e83?=7E=i0:k26d<722c:>o4?::m130<722wi=>h50;194?6|,:h;6884H2d3?l75i3:17d?=b;29?j40=3:17pl>4183>2<729q/?o>526g8L6`73`;9m7>5;h31f?6=3`;9o7>5;h31`?6=3`;9i7>5;h31b?6=3f8<97>5;|q27g<72;qU=>l4=063>7123ty:n94?:3y]5g2<58>:6<94=062>44e3ty:n84?:3y]5g3<58>;6<44d3ty:n:4?:3y]5g1<58>;6<44e3ty:?44?:3y]56?<589o6<44f3ty:?;4?:3y]560<589m6<3e83>7}:9:n1>:;4=063>44b3ty:?h4?:3y>56`=:>?01<:?:00e?x{e=:0;644<:cy'7g6==:1d8h4?::k2f1<722c:?:4?::k2f0<722c:n;4?::k2f2<722c:n54?::k2f7<722c?n7>5;c71>5<4290;w)=m0;76?M5a82c:>l4?::k26g<722e9;84?::a15<72:0;6=u+3c2913=O;o:0e<?0q~?m4;296~X6j=169?4>2`9~w4502909wS?<7:?66?75j2wx=o;50;0xZ4d234?:6<52z\2f2=:=80:>i5rs0`;>5<5sW;i463:1;31a>{t9k81<7<7?=b:p0c<72;q69?4=749>14<6:h1v8>50;0x906=:>?018?513d8yxd3?00;6?4?:1y'7g6=9:?0D>h?;h31=?6=3f8<97>5;|`gg?6=;3:1N4n91b=?o50;9j57d=831d>:;50;9~f4?a29086=4?{%1a4?40>2B8j=5f13c94?=n9;h1<75`26794?=zjm:1<7=50;2x 6d72<<0D>h?;h31e?6=3`;9n7>5;n041?6=3tho>7>53;294~"4j90>:6F5<7s-9i<7;7;I1e4>o6:h0;66g>2c83>>o6:j0;66a=7483>>{e9?k1<7:50;2x 6d72;=j7E=i0:k26d<722c:>o4?::k26f<722e9;84?::a03`=8391<7>t$2`3>7113A9m<6g>2`83>>o6:k0;66a=7483>>{ek:0;6>4?:1y'7g6=:><0D>h?;h31e?6=3`;9n7>5;n041?6=3thon7>55;294~"4j90>i6F?1<75rb5a:>5<2290;w)=m0;7g?M5a82c:>l4?::k26g<722c:>n4?::k26a<722e9;84?::a020=8391<7>t$2`3>00<@:l;7d?=a;29?l75j3:17b<85;29?xd3?k0;6>4?:1y'7g6=:><0D>h?;h31e?6=3`;9n7>5;n041?6=3th?;54?:283>5}#;k:1985G3g28m44f2900e<5<7s-9i<7<86:J0b5=n9;k1<75f13`94?=h:>?1<75rb0ca>5<3290;w)=m0;7:?M5a82c:>l4?::k26g<722c:>n4?::m130<722wi=o=50;:94?6|,:h;6?9i;I1e4>o6:h0;66g>2c83>>o6:j0;66g>2e83>>o6:l0;66g>2g83>>o6;90;66a=7483>>{e9:21<7=50;2x 6d72h?;h31e?6=3`;9n7>5;n041?6=3th:894?:283>5}#;k:1>:84H2d3?l75i3:17d?=b;29?j40=3:17pl>3`83>6<729q/?o>5579K7c65<6=44}c`e>5<3290;w)=m0;7:?M5a82c:>l4?::k26g<722c:>n4?::m130<722wio84?:483>5}#;k:1>:l4H2d3?l75i3:17d?=b;29?l75k3:17d?=d;29?j40=3:17pll1;297?6=8r.8n=4:5:J0b5=n9;k1<75f13`94?=h:>?1<75rb560>5<3290;w)=m0;7:?M5a82c:>l4?::k26g<722c:>n4?::m130<722wi89950;494?6|,:h;6?9k;I1e4>o6:h0;66g>2c83>>o6:j0;66g>2e83>>o6:l0;66a=7483>>{e<1>1<7:50;2x 6d72<30D>h?;h31e?6=3`;9n7>5;h31g?6=3f8<97>5;|`7<3<72:0;6=u+3c2913=O;o:0e<50z&0f5<5?m1C?k>4i00b>5<5<5<6=44}c6;N4n91b=?o50;9j57d=831d>:;50;9~f1d029086=4?{%1a4?313A9m<6g>2`83>>o6:k0;66a=7483>>{eo4?::k26f<722c:>i4?::k26`<722e9;84?::a0g?=8391<7>t$2`3>03<@:l;7d?=a;29?l75j3:17b<85;29?xd4k80;6?4?:1y'7g6=9:?0D>h?;h31=?6=3f8<97>5;|`7gg<72;0;6=u+3c29563<@:l;7d?=9;29?j40=3:17pl>4983>7<729q/?o>51278L6`73`;957>5;n041?6=3th?884?:383>5}#;k:1=>;4H2d3?l7513:17b<85;29?xd30h0;6?4?:1y'7g6=9:?0D>h?;h31=?6=3f8<97>5;|`7fg<72;0;6=u+3c29563<@:l;7d?=9;29?j40=3:17p}>4783>1}Y9=<01382863>45826g=z{=ih6=4={_6`g>;4k80:>45rs562>5<3sW>?=63>6984b>;6;6>h0:>o5rs015>5<3sW;8:63>3781=1=:9=81=>84=5a4>44e3tyh:7>53z\`2>;cm3k:70j=:00b?xu3i>0;6?uQ4`58940f288j7p}>6983>1}Y9?20145826d=z{091<76t^8189<2=i816?oh59e9>``<>l27h577k;<6`6??c34;=477k;<372??c3ty:4h4?:3y]5=c<583o6l?4}r;3>503b=1m16ni46d:?704<>l27?;h46d:?7f4<>l2wx8:k50;1xZ11b34>2`9~w=6=83?pR5>4=0c;>4cd34><47?=a:?2eg<6:k16=>6513`8yv2e93:1?vP;b09>0g7=:0>019l8:00b?xu6l:0;6?uQ1e1891d>288j7p}>d583>7}Y9m>01:`38yv23i3:1>vP;4`9>51>=9;30q~:9d;296~X3>m168;j52868yv20<3:1>vP;759>02?=:>?0q~:m:180[2e34>i6?7;;<70>1dh>7>53z\7g7=:4:4=ea957g44e34ni6?9:;|q:0?6=?r7:m54>ec9>=1<51=16=ll513c8945f288j70li:00b?823;3;9m63;85826d=z{83o6=4<{<3:`?4><27:5k4>2`9>0=2=9;h0q~m=:1818dc2h;01n=52678yve32909w0m::356?8e6288i7p}>6883>7}:9?21m<5217c962352z?73g<5?<168:6513`8yv5en3:1>v34=749>011=9;k0q~:mc;296~;3jm09;8524c;957d7?334>70:md;31f>{t71234>in7?=9:p``<72;q6hh4=959>`7<6:k1v<:<:181873<38<963>3`826g=z{jl1<7:;4}rf2>5<4s4i26>98;44f34n96?9:;|qg3?6=:r7o<7?=b:?g70:;5;31=>{t61134>h;7<85:p511=838p1<:9:`38942?2;=>7p};8283>6}:<1>1>:;4=5:`>44f34>ih7?=a:p0=3=838p1969:356?82?k3;9n6s|49594?4|5=236?9:;<6;e?7512wx8;k50;0x910c2h;0198i:356?xu6m80;6>u21d396<2<583m6<44e3tyo57>52z?gg?40=27::l4>2b9~w4?b290=w0?n8;3fe>;61o09;852464957g<58ki6<4>2c9~wgc=838p1n=513c89g`=:>?0q~m?:1818e4288i70m>:356?xu6ij0;6?u21`;9e4=:9k;1=lm4}r641?6=:r7?;;4=749>02d=9;h0q~:87;296~;3?00:>45246:96237>54z?2f4<6j;16=9<51c08905=9k801:;4}r30f?6=:r7:?;4n1:?207<6;k1v9k50;0x91d=i8169>4;e:p`6<72:q6h94=749>`=<6:h168nl513;8yvb22909w0j9:356?8b?288i7p}>b583>=}:9h21>5?4=0`2>4d334;?>7?m4:?67?7e<27:n>4>2`9>fc<6:j1689=513a891>3288h7p}>b483>=}:9h21>5=4=061>4d234?86b783>=}:9h21>5;4=061>4d134?86b683><}:9h21>594=061>4d034?864>2e9>g0<6:j16899513f891>d288o70:md;31`>{t9k21<77t=0c;>7>>34;?>7?m8:?67?7e027?:k4>2c9>5g5=9;o01n;513f89120288n70:7c;31a>;3jm0:>h5rs0`:>5<5s4;j47<7b:?2f6<6:o1vb082fd=:9k91=>>4}r670?6=:r7?8<4n1:?700<5?<1v9ln:18182e93k:70:mb;041>{t?288i7p};8883>7}:<>o1m<5249c962352z?2f4<6ih16=ll52678yv7f13:1?v3>a881=1=:9k;1=l74=555>44e3ty:?:4?:2y>514=9:=018=51258945?2;=>7p}>3883>7}:9=81=>74=01b>7123ty?o54?:3y>0f1=9;k019m6:356?xudm3:19v3l9;0:e>;cj3;9h63k4;31`>;c>3;9h63;c8826g=#;>;1oi5a36294>{tkj0;68u2c881===:lk0:>n52d5826f=:l?0:>o524b;957b<,:=:6nj4n253>4=z{jh1<7;t=b;96<1<5mh1=?l4=e6957d<5m<1=?o4=5a:>44f3-9<=7mk;o144?47?234ni6<44f34n=6<{I3e4>{K;o91>v`;e78;7>"3m<0=7p*\4>o0=w<<5d;3`>7`=::08<7s`34594?=n1?0;66g<7e83>>i4=90;66g7a;29?j2283:17dm9:188m6?22900e99l:188k1gf2900c5>50;9j0a5=831d>4j50;9j6g>=831i=h<50;:95?>|D:l9662900c?6<:188k7>22900c?68:188k7>>2900e<7?tH0d3?x"4j90:i?5f1dc94?=n9lh1<75f9g83>>i5080;66a=8283>>i50<0;66a=8683>>i5000;66lj8;297?7=;rF8j?4>{I3e4>{#;k:1i:5fa083>>i51=0;66g6d;29?g1=8391<7>t$2`3>`5<@:l;7A=i2;3x 4532=o:7pg7f;29?lg62900c?7;:188yv?c2909wS7k;<597}Yi816;7o>;|aa`<72:0:6>uC3g095~N6n91v(>l?:d58md7=831d>4:50;9j=a<722h<6=4<:183!5e83o87E=i0:N0b7<6s-;887:j1:j5;n0:0?6=3ty2h7>52z\:`>;021l0q~<9e;296~;02;3?7S<64:p63`=838pRl?4=68b5>{zjln1<7;52;4x 6d72ln0eh650;9j5`g=831b=hl50;9ja`<722en57>5;cg`>5<3290;w)=m0;7;?M5a82c:>l4?::k26g<722c:>n4?::m130<722wiio4?:283>5}#;k:1>:84H2d3?l75i3:17d?=b;29?j40=3:17p}j8;296~Xb027nn7?=a:p5`g=838pR44f3ty:io4?:3y]5`d<5li1=?l4}rgf>5<5sWon70kl:00`?xub13:1>vPj9:?ff?40=2wxil4?:3y>af<5?<16io4>2c9~yg`?290?6>49{%1a4?`?3`;nm7>5;hgf>5<>da<3:1?7>50z&0f5<2>2B8j=5f13c94?=n9;h1<75`26794?=zjo;1<7=50;2x 6d72<<0D>h?;h31e?6=3`;9n7>5;n041?6=3thm?7>53;294~"4j909;;5G3g28m44f2900e<2`9>b4<6:k1vhk50;0xZ`c<5o>1=?l4}rg;>5<5sWo370h>:00b?xubn3:1>vPjf:?e7?40=2wxj=4?:3y>b1<5?<16j>4>2`9~wc7=838p1k?526789c5=9;h0qpl=8383>6<729q/?o>5579K7c65<6=44}c0;0?6=;3:13:1?7>50z&0f5<2?2B8j=5f13c94?=n9;h1<75`26794?=zj;236=4<:183!5e83?=7E=i0:k26d<722c:>o4?::m130<722wi>5o50;194?6|,:h;68;4H2d3?l75i3:17d?=b;29?j40=3:17p}j8;29<~;b0382863jd;g;?8`?2l201?6=:00a?84?<3;9m63=87826g=::121=?o4=3:b>44e3tyni7>58z?fa?4><27nh7kj;`c<5;296<2`9>6=>=9;h01?6n:00b?xu6mh0;6>uQ1dc89`b=9lk01k651dc8yv7bj3:1>vP>ec9>aa<6mk1v4h50;1xZ<`<5l215i52ed8:`>{t:1;1<7{t:1?1<738<96s|29594?4|V;2<70<78;041>{t:131<77}:m10j=63jd;g:?xubn3:1>v3je;c2?8`?2ll0qp}6d;296~X>l27:>?46f:p6=7=838pR?6>;<316?4?92wx>5=50;0xZ7>434;9>7<73:p6=3=838pR?6:;<316?4?=2wx>5950;0xZ7>034;9>7<77:p6=?=838pR?66;<316?4?12wx=ho50;0xZ4cf34;9>7?ja:p5`d=838pR\?m38p=k4>d;Y73`=:r8:65<5<#:081>484n3;2>4=1<7*=938b7>h5180;76gn2;29 7?52h90b?7>:098f2<72:0:6>uC3g095~N6n91v(>l?:326?lg62900c?7;:188m53;294~"4j90n?6F5;n0:0?6=3ty2h7>52z\:`>;021l0q~<9e;296~;02;3?7S<64:p63`=838pRl?4=68b5>{zjh0;6>4>:2yO7c4=9rB:j=5r$2`3>7623`k:6=44o3;7>5<<3:17p}6d;296~X>l27<65h4}r05a?6=:r7<6?7;;_0:0>{t:?l1<702h63n:8f8yv4>?3:1>vP=969>e?4><2.8;<4=979m726=82wx>4;50;0xZ7?234=1>4:4$252>7?13g9<<7?4}rc7>5<5sWk?70o5a09'727=i:1e?:>50:pe7<72;qUm?527;c2?!5093k87c=80;38yxd3?m0;6>4>:2yO7c4=9rB:j=5rL2d0>4}#5<7s-9i<7k<;I1e4>o?n3:17do>:188k7?32900q~7k:181[?c34=14k5rs34f>5<5s4=1>4:4^3;7?xu5>o0;6?uQa09>3?g63twi?o?50;596?d|D:l96h3l<0:7):ka;;f?k2c>3;0(9ji:255?k2c?3;0q)=m0;3e3>\?m3;p>o4rZ24e>7}5l39:6pg6d;29?l?b2900e>99:188k7?f290/>4<528;8j7?62910c?77:18'6<4=:030b?7>:098k7?0290/>4<528;8j7?62;10c?7::18'6<4=:030b?7>:298f2<72k0?6ouC3g095~N6n91v@>h<:8y'0`5=<:;0(9jm:`38 1bc2;3?7):k9;;g?k2c=3;0(9jn:8g8j1b1281/8ih53648j1b0281v(>l?:32:?_>b2;q9n7<6:|X02c<5s;n1>;4ri8f94?=n1l0;66g<7783>>i51h0;6)<62;0:=>h5180;76a=9983>!4>:38256`=9082?>i51>0;6)<62;0:=>h5180976a=9483>!4>:38256`=9080?>of?3:1(?7=:`48j7?62910el;50;&1=72d95<4>;:kb0?6=,;396l84n3;2>7=h5180876l8:186>6<0sE9m>7?tH0d3?xJ4n:02w):j3;605>"3lk0j=6*;de81=1=#"3lh02i6`;d782?!2cn39<:6`;d682?x"4j909>o>m3:17do>:188k7?32900e>99:188f2<72<0968uC3g095~N6n91v(>l?:0d:?lg62900c?7;:188mh?;M1e6?7|,89?69k>;|k:1?6=3`3<6=44i9d94?=ni80;66a=9583>>{e;o;1<7?50;2x 6d728837E=i0:m262<722wx5i4?:3y]=a=:?32m7p}6e;296~X>m27<64;4}r05a?6=:r78j<4>269>3??03ty9:k4?:3y>3?4><2T9595rs353>5<5sWk:7095a09~yg26;3:1?7>50z&0f5<2>2B8j=5f13c94?=n9;h1<75`26794?=zj=836=4<:183!5e838<:6F02h6s|9d83>7}Y1l168?6513`8yvg62909wSo>;<627?75j2wx>4:50;0xZ7?334=1>4:4}r142?6=;rT8;;52401957g<5=836<;<627?40=2wx8?950;0x92<>m27?>54=749~ygg=83?1?79tL2d1>4}O9o:0qA=i3;;x 1c42=9:7):kb;c2?!2cl38286*;d88:`>h3l<0:7):ka;;f?k2c>3;0(9ji:255?k2c?3;0q)=m0;03f>o>l3:17d7j:188md7=831d>4:50;9j720=831i;7>55;091~J4n;0:wE?i0:'7g6=9o30el?50;9l6<2=831b5i4?::k:a?6=3`3<6=44b683>0<729q/?o>5e49K7c66=44i8594?=n0o0;66gn1;29?j4><3:17pl4<729q/?o>513:8L6`73f;9;7>5;|q:`?6=:rT2h638:9d8yv?b2909wS7j;<59=0=z{;{t:>:1<75<7s-9i<7;9;I1e4>o6:h0;66g>2c83>>i5?<0;66sm43:94?5=83:p(>l?:355?M5a82c:>l4?::k26g<722e9;84?::p=a<72;qU5i527;;g?xu>m3:1>vP6e:?76=<6:k1vl?50;0xZd7<5=;86<4:4=681=1=z{:==6=4<{_142>;39:0:>l5243:957g:>7>52z?4>d7<5=;86?9:;|q762<72;q6;77j;<61"3l002h6`;d482?!2ci33n7c:k6;38 1ba2:==7c:k7;38y!5e838;o6g6d;29?l?b2900el?50;9l6<2=831b?:850;9a3?6==3819vB51g;8md7=831d>4:50;9j=a<722c2i7>5;h;4>5<0;684?:1y'7g6=m<1C?k>4L2d1>4}#9:>18h?4}h;6>5<>of93:17b<64;29?xd4n80;6<4?:1y'7g6=9;20D>h?;n313?6=3ty2h7>52z\:`>;021l0q~7j:181[?b34=1585rs34f>5<5s49m=7?=7:?4><152z?4>7?33W8286s|26294?4|Vh;01:4n1:~f17429086=4?{%1a4?313A9m<6g>2`83>>o6:k0;66a=7483>>{e<;21<7=50;2x 6d72;==7E=i0:k26d<722c:>o4?::m130<722wx5i4?:3y]=a=:?33o7p}6e;296~X>m27?>54>2c9~wd7=838pRl?4=530>44e3ty9594?:3y]6<2<5>09595rs255>5<4sW9<:63;12826d=:<;21=?o4}r626?6=:r7<6l?4=530>7123ty?>:4?:3y>3??b34>947<85:~f72=83?1?79tL2d1>4}O9o:0qA=i3;;x 1c42=9:7):kb;c2?!2cl38286*;d88:`>h3l<0:7):ka;;f?k2c>3;0(9ji:255?k2c?3;0q)=m0;03`>o>l3:17d7j:188md7=831d>4:50;9j720=831i;7>55;091~J4n;0:wE?i0:'7g6=9o30el?50;9l6<2=831b5i4?::k:a?6=3`3<6=44b683>0<729q/?o>5e49K7c66=44i8594?=n0o0;66gn1;29?j4><3:17pl4<729q/?o>513:8L6`73f;9;7>5;|q:`?6=:rT2h638:9d8yv?b2909wS7j;<59=0=z{;{t:>:1<75<7s-9i<7;9;I1e4>o6:h0;66g>2c83>>i5?<0;66sm43:94?5=83:p(>l?:355?M5a82c:>l4?::k26g<722e9;84?::p=a<72;qU5i527;;g?xu>m3:1>vP6e:?76=<6:k1vl?50;0xZd7<5=;86<4:4=681=1=z{:==6=4<{_142>;39:0:>l5243:957g:>7>52z?4>d7<5=;86?9:;|q762<72;q6;77j;<6102h63n:8f8943=1m16>946d:p=`<7208;;52a;142>;6=39<:63=4;142>{t:0k1<7h4?90;7p}=9983>7}Y:0201<;52868 6162;327c=80;38yv4>?3:1>vP=969>e?4><2.8;<4=989m726=:2wx>4;50;0xZ7?234=1>4:4$252>7?>3g9<<7=4}rc4>5<5sWk<70<;:`38 6162h<0b>9?:19~wd3=838pRl;4=079e4=#;>;1m;5a36295>{ti=0;6?uQa59>e?g63-9<=7o9;o144?4:18;>37?tH0d3?xJ4n:0>w):j3;61f>"3lj0jh6*;e88b?!2cm39>i6*;e984?x"4j90:j95U8d817e=:h0vV>8i:3y1a?402te8::4?:%0:6?51>2d95<4?;:m020<72-82>7=96:l1=4<632e8:94?:%0:6?51>2d95<4=;:m01c<72-82>7=96:l1=4<432ci:7>5$3;1>g3oe;3:1(?7=:c78j7?62;10elk50;&1=7uC3g095~N6n91v(>l?:33g?lge2900elm50;9l70c=831i?km50;197?3|,:h;6>hl;hca>5<h?;h31e?6=3`;9n7>5;n041?6=3th8jl4?:283>5}#;k:19;5G3g28m44f2900e<5<6=44}rca>5<4sWki70=i9;31f>;4nh0:>l5rs`a94?5|Vhi01>h6:00b?85ai3;9n6s|34g94?4|V:?n70=ib;041>{t;o21<7712349mn7?=a:p7c?=838p1>hn:356?85aj3;9n6srs``94?4|Vhh01>hl:``8yvgd2909wSol;<1eg?gd3ty89h4?:3y]70c<5:lh6>;j;|a630=8391=7=tL2d1>4}O9o:0q)=m0;02`>ofj3:17dol:188k63b2900n>hl:180>6<2s-9i<7=ic:kbf?6=3`kh6=44o27f>5<o4?::m130<722wi?ko50;194?6|,:h;6884H2d3?l75i3:17d?=b;29?j40=3:17pl6<729q/?o>52648L6`73`;9m7>5;h31f?6=3f8<97>5;|qbf?6=;rTjn635<4sWkh70=i9;31e>;4nh0:>o5rs27f>5<5sW9>i632`9~w6`>2909w0=ia;041>;4nk0:>o5r}rca>5<5sWki70=ic;ca?xufk3:1>vPnc:?0bf5;n16a?6=3k9m57>53;294~"4j90>:6Fhn:180>5<7s-9i<7;9;I1e4>o6:h0;66g>2c83>>i5?<0;66sm3g`94?5=83:p(>l?:355?M5a82c:>l4?::k26g<722e9;84?::peg<72:qUmo523g;957d<5:lj6<52z?0b<<5?<16?kl513c8yv5a13:1>v3{tij0;6?uQab9>7ce=ij1v>;j:181[52m278jn4<5d9~yg26;3:1?7>50z&0f5<2=2B8j=5f13c94?=n9;h1<75`26794?=zj=;>6=4<:183!5e83?>7E=i0:k26d<722c:>o4?::m130<722wi?;k50;094?6|,:h;6<=:;I1e4>o6:00;66a=7483>>{t<881<7=t=345>dd<5=;86?9:;<621?75j2wx8<:50;0x97002hh019?::356?xu4>>0;6?uQ375897002:?n7)=81;152>h4?90;7p}<6483>7}Y;??01?89:27f?!50939=:6`<7182?xu4>=0;6?uQ376897022:?n7)=81;152>h4?9097p}<5g83>7}Y;8j:356?!50939=:6`<7180?xue>3:1>vPm6:?12253z\a0>;5>?0jo63;14826d=#;>;1n85a36295>{tj:0;6>uQb29>633=ij168<=513c8 6162k?0b>9?:39~wdc=83>pRlk4=346>dd<5=;86<m27<64k4}r142?6=:rT8;;527;142>{t:0k1<7=t^3;b?81=:0k01?8>:c48 6162;327c=80;28yv4>03:1?vP=999>3?4>0279:<4m4:&034<5101e?:>51:p6<1=839pR?78;<596<1<5;<:6o=4$252>7?>3g9<<7<4}r0:1?6=;rT958527;0:1>;5>80ji6*<7081=<=i;>:1?6s|41694?4|5>0j;63=608022=#;>;18==4n253>5=z{=:96=4={<59e0=::?;1?;;4$252>1643g9<<7?4}r635?6=:r7<6l:4=342>6033-9<=7:?3:l035<53ty8jk4?:3y>3?g5348==7=:f:&034<38:1e?:>53:~f630290>6?4:{M1e6?7|@8l;7pBof93:17b<64;29?l?c2900e4k50;9j=2<722h<6=4::183!5e83o>7E=i0:k:1?6=3`3<6=44i9d94?=ni80;66a=9583>>{e;o;1<7?50;2x 6d728837E=i0:m262<722wx5i4?:3y]=a=:?32m7p}6e;296~X>m27<64;4}r05a?6=:r78j<4>269>3??03ty9:k4?:3y>3?4><2T9595rs353>5<5sWk:7095a09~yg5283:197<55zN0b7<6sA;m<6sC3g195~"3m<0;7p*>o>l3:17d7j:188m<1=831i;7>55;294~"4j90n96F5;h:e>5<:183!5e83;946F03j6s|9d83>7}Y1l16;77:;|q12`<72;q6?k?5135892<>?2wx>;h50;0x92<51=1U>4:4}r044?6=:rTj=638:`38yxd4j>0;684=:4yO7c4=9rB:j=5rL2d0>4}#5}#;k:1i85G3g28m<3=831b5:4?::k;b?6=3`k:6=44o3;7>5<51;294~"4j90:>55G3g28k4402900q~7k:181[?c34=14k5rs8g94?4|V0o01:465:p63c=838p1>h>:004?81=1>1v?8i:18181=:0>0R?7;;|q135<72;qUm<527;c2?x{e::i1<7;53;5xH6`528qC=k>4}M1e7?g|,=o>6=5+4d19067<,=ni6l?4$5fg>7?33->o577k;o6g1?7<,=nj64k4n5f5>4=#4=z,:h;6?>j;h;g>5<>i51=0;66g<7783>>d0290>6?4:{M1e6?7|@8l;7p*>o>l3:17d7j:188m<1=831i;7>55;294~"4j90n96F5;h:e>5<:183!5e83;946F03j6s|9d83>7}Y1l16;77:;|q12`<72;q6?k?5135892<>?2wx>;h50;0x92<51=1U>4:4}r044?6=:rTj=638:`38yxd39:0;6>4?:1y'7g6==?1C?k>4i00b>5<5<947>53;294~"4j909;;5G3g28m44f2900e<vPn1:?756<6:k1v?7;:181[4><27<6?7;;|q033<72:qU?:84=530>44f34>947?=a:p044=838p1:4n1:?756<5?<1v9<8:18181=1l168?652678yxd5;k0;684<:6yO7c4=9rB:j=5rL2d0>d}#4=#"3lo08;;5a4e595>{#;k:1>=k4i8f94?=n1l0;66gn1;29?j4><3:17d=86;29?g1=83?1>7;tL2d1>4}O9o:0q)=m0;3e=>of93:17b<64;29?l?c2900e4k50;9j=2<722h<6=4::183!5e83o>7E=i0:k:1?6=3`3<6=44i9d94?=ni80;66a=9583>>{e;o;1<7?50;2x 6d728837E=i0:m262<722wx5i4?:3y]=a=:?32m7p}6e;296~X>m27<64;4}r05a?6=:r78j<4>269>3??03ty9:k4?:3y>3?4><2T9595rs353>5<5sWk:7095a09~yg26;3:1?7>50z&0f5<2>2B8j=5f13c94?=n9;h1<75`26794?=zj=836=4<:183!5e838<:6F02h6s|9d83>7}Y1l168?6513`8yvg62909wSo>;<627?75j2wx>4:50;0xZ7?334=1>4:4}r142?6=;rT8;;52401957g<5=836<;<627?40=2wx8?950;0x92<>m27?>54=749~yg42l3:197=57zN0b7<6sA;m<6sC3g19e~"3m<0;7):j3;605>"3lk0j=6*;de81=1=#"3lh02i6`;d782?!2cn39<:6`;d682?x"4j909>o>m3:17do>:188k7?32900e>99:188f2<72<0968uC3g095~N6n91v(>l?:0d:?lg62900c?7;:188mh?;h;6>5<>of93:17b<64;29?xd4n80;6<4?:1y'7g6=9;20D>h?;n313?6=3ty2h7>52z\:`>;021l0q~7j:181[?b34=1585rs34f>5<5s49m=7?=7:?4><152z?4>7?33W8286s|26294?4|Vh;01:4n1:~f17429086=4?{%1a4?313A9m<6g>2`83>>o6:k0;66a=7483>>{e<;21<7=50;2x 6d72;==7E=i0:k26d<722c:>o4?::m130<722wx5i4?:3y]=a=:?33o7p}6e;296~X>m27?>54>2c9~wd7=838pRl?4=530>44e3ty9594?:3y]6<2<5>09595rs255>5<4sW9<:63;12826d=:<;21=?o4}r626?6=:r7<6l?4=530>7123ty?>:4?:3y>3??b34>947<85:~f73d290>6>48{M1e6?7|@8l;7pB"3l002h6`;d482?!2ci33n7c:k6;38 1ba2:==7c:k7;38y!5e838;i6g6d;29?l?b2900el?50;9l6<2=831b?:850;9a3?6==3819vB51g;8md7=831d>4:50;9j=a<722c2i7>5;h;4>5<0;684?:1y'7g6=m<1C?k>4i8794?=n1>0;66g7f;29?lg62900c?7;:188yg5a93:1=7>50z&0f5<6:11C?k>4o004>5<0296s|27g94?4|5:l:6<<8;<59=2=z{;7}Yi816;7o>;|a045=8391<7>t$2`3>00<@:l;7d?=a;29?l75j3:17b<85;29?xd3:10;6>4?:1y'7g6=:><0D>h?;h31e?6=3`;9n7>5;n041?6=3ty2h7>52z\:`>;020n0q~7j:181[?b34>947?=b:pe4<72;qUm<52401957d52z\1=1=:?38286s|36494?5|V:==70:>3;31e>;3:10:>l5rs531>5<5s4=1m<5240196239;7>52z?4>4}O9o:0qA=i3;cx 1c2291/8h=54238 1be2h;0(9jk:3;7?!2c133o7c:k5;38 1bf20o0b9j9:09'0a`=;><0b9j8:09~ 6d72;:n7d7k:188m5<22;0>wA=i2;3xL4`73t.8n=4>f89je4<722e9594?::k:`?6=3`3n6=44i8594?=e?3:197>50z&0f5>o>?3:17d6i:188md7=831d>4:50;9~f6`6290:6=4?{%1a4?7502B8j=5`13594?=z{0n1<77p}=6d83>7}:;o;1=?94=68:3>{t:?l1<70q~<80;296~Xf927<6l?4}|`756<72:0;6=u+3c2913=O;o:0e<50z&0f5<5??1C?k>4i00b>5<5<;02;3?7p}<7783>6}Y;><019?<:00b?82503;9m6s|40094?4|5>0j=63;128130=z{=8<6=4={<59=`=:<;21>:;4}|`11d<72<086:uC3g095~N6n91v@>h<:`y'0`3=82.?i>4;309'0ad=i81/8ij52868 1b>20n0b9j::09'0ag=1l1e8i851:&7`c<4??1e8i951:'7g6=:9o0e4j50;9j=`<722cj=7>5;n0:0?6=3`9<:7>5;c594?3=:3?p@>h=:0yK5c65;h;g>5<>d0290>6=4?{%1a4?c23A9m<6g65;29?l?02900e5h50;9je4<722e9594?::a7c7=83;1<7>t$2`3>44?3A9m<6a>2683>>{t1m0;6?uQ9e9>3?>a3ty2i7>52z\:a>;020?0q~<9e;296~;4n80:>:527;;4?xu5>o0;6?u27;0:0>X51=1v?9?:181[g634=1m<5r}c627?6=;3:1N4n91b=?o50;9j57d=831d>:;50;9~f14?29086=4?{%1a4?40>2B8j=5f13c94?=n9;h1<75`26794?=z{0n1<7l2wx5h4?:3y]=`=:<;21=?l4}rc2>5<5sWk:70:>3;31f>{t:0>1<70q~=86;297~X4??168<=513c8914?288j7p};1383>7}:?3k:70:>3;041>{t<;=1<7;3:109;85r}c06=?6==391;vBn?7:<1:&7`gm2d?h;4>;%6gb?50>2d?h:4>;|&0f5<58l1b5i4?::k:a?6=3`k:6=44o3;7>5<0<525<t$2`3>`3<@:l;7d7::188m<1=831b4k4?::kb5?6=3f8287>5;|`0b4<7280;6=u+3c2957><@:l;7b?=7;29?xu>l3:1>vP6d:?4>=`v38:3;7?[4><2wx>:>50;0xZd7<5>0j=6srb530>5<4290;w)=m0;75?M5a82c:>l4?::k26g<722e9;84?::a07>=8391<7>t$2`3>7113A9m<6g>2`83>>o6:k0;66a=7483>>{t1m0;6?uQ9e9>3??c3ty2i7>52z\:a>;3:10:>o5rs`394?4|Vh;019?<:00a?xu51=0;6?uQ286892<51=1v>99:180[50>27?=>4>2`9>07>=9;k0q~:>2;296~;02h;019?<:356?xu3:>0;6?u27;;f?825038<96srb37;>5<22:04n{%6f1?6<,=o869=>;%6gf?g63->oh7<64:&7`<<>l2d?h84>;%6ge??b3g>o:7?4$5fe>6113g>o;7?4}%1a4?47m2c2h7>5;h;f>5<<1<75m7;291?4==rF8j?4>{I3e4>{#;k:1=k74i`394?=h:0>1<75f9e83>>o>m3:17d78:188f2<72<0;6=u+3c29a0=O;o:0e4;50;9j=2<722c3j7>5;hc2>5<=2wx>;k50;0x96`6288<7095969~w70a2909w0952868Z7?33ty9;=4?:3y]e4=:?3k:7psm40194?5=83:p(>l?:448L6`73`;9m7>5;h31f?6=3f8<97>5;|`76=<72:0;6=u+3c29620<@:l;7d?=a;29?l75j3:17b<85;29?xu>l3:1>vP6d:?4>7}Yi8168<=513`8yv4><3:1>vP=959>3?4><2wx?:850;1xZ61134>:?7?=a:?76=<6:h1v9?=:18181=i8168<=52678yv25?3:1>v38:8g8914?2;=>7psm24594?3=;3=p@>h=:0yK5c65=#?4$5fa>d7<,=no6?7;;%6g=??c3g>o97?4$5fb>76b3`3o6=44i8g94?=ni80;66a=9583>>o4??0;66l8:186>7<2sE9m>7?tH0d3?x"4j90:j45fa083>>i51=0;66g6d;29?l?b2900e4950;9a3?6==3:1N4n91b584?::k:3?6=3`2m6=44i`394?=h:0>1<75rb2d2>5<6290;w)=m0;31<>N4n91d=?950;9~w{t1l0;6?uQ9d9>3??23ty9:h4?:3y>7c7=9;=01:467:p63`=838p1:4=959]6<252z\b5>;02h;0qpl;1283>6<729q/?o>5579K7c65<6=44}c614>2c9~w7?32909wS<64:?4>7?33ty8;;4?:2y]720<5=;86<4=749~w1402909w0959d9>07>=:>?0qpl=5783>0<42>qG?k<51zJ2b5=zD:l86lu+4d794>"3m:0??<5+4e`9e4=#4:4$5f:>6<5+4ec9=`=i>of93:17b<64;29?l50>3:17o950;796?3|D:l96<3:17d7k:188m5<2290;w)=m0;g6?M5a82c297>5;h;4>5<>i51=0;66sm3g394?7=83:p(>l?:00;?M5a82e:>:4?::p=a<72;qU5i527;:e?xu>m3:1>vP6e:?4><352z?0b4<6:>16;778;|q12c<72;q6;7<64:\1=1=z{;=;6=4={_c2?81=i81vqo:>3;297?6=8r.8n=4:6:J0b5=n9;k1<75f13`94?=h:>?1<75rb50;>5<4290;w)=m0;042>N4n91b=?o50;9j57d=831d>:;50;9~w{t1l0;6?uQ9d9>07>=9;h0q~o>:181[g634>:?7?=b:p6<2=838pR?7;;<596<253z\033=:<891=?o4=50;>44f3ty?=?4?:3y>3?g634>:?7<85:p071=838p1:46e:?76=<5?<1vqo<{I3e4>{K;o91=v*;e483?x"4j90:jo5fa083>>i51=0;66g6d;29?l?b2900e4950;9a3?6==3:1N4n91b584?::k:3?6=3`2m6=44i`394?=h:0>1<75rb2d2>5<6290;w)=m0;31<>N4n91d=?950;9~w{t1l0;6?uQ9d9>3??23ty9:h4?:3y>7c7=9;=01:467:p63`=838p1:4=959]6<252z\b5>;02h;0qpl=3083>0<52{#;k:1=kl4i`394?=h:0>1<75f9e83>>o>m3:17d78:188f2<72<0;6=u+3c29a0=O;o:0e4;50;9j=2<722c3j7>5;hc2>5<=2wx>;k50;0x96`6288<7095969~w70a2909w0952868Z7?33ty9;=4?:3y]e4=:?3k:7psm23`94?5=939p@>h=:0yK5c65=z,:h;6?>:;hc2>5<50z&0f5>of93:17b<64;29?xu>l3:1>vP6d:?4>=`52z?4>7?33W8286s|27d94?4|Vh;01:4n1:~f6c?290=6?4n{M1e6?7|@8l;7pBn;6*;de81=1=#"3lo08;;5a4e594>{#;k:1=k64Z9g95~4e2tP8:k4={3f974=h:0=1<7*=9381=<=i:0;1>65`28794?"51;09545a28397>=e?3:1m7:5azN0b7<6sA;m<6sC3g193~"3m:0??<5+4e`9e4=#4:4$5f:>6<5+4ed972076a3S2n6?u=b;0:>x\4>o09w?j5278~m4650;&1=7<5101e>4?51:9l6<1=83.95?4=989m6<7=:21d>4;50;&1=7<5101e>4?53:9je2<72-82>7o9;o0:5?6<3`k>6=4+2809e3=i:0;1=65fa583>!4>:3k=7c<61;08?lg5290/>4<5a79m6<7=;21i;7>54;091~J4n;0:wE?i0:O7c5=?r.?i>4;309'0ad=i81/8ij52868 1b>20n0b9j::09'0a`=;><0b9j8:19~ 6d72;;:7d7k:188md7=831d>4:50;9j720=831i;7>53;397~J4n;0:wE?i0:'7g6=9o30el?50;9l6<2=831b5i4?::`4>5<4290;w)=m0;g0?M5a82F8j?4>{%300?2b92wb4k4?::kb5?6=3f8287>5;|q:`?6=:rT2h638:9d8yv41m3:1>v38:3;7?[4><2wx>;h50;0xZd7<5>0j=6srb530>5<4290;w)=m0;76?M5a82c:>l4?::k26g<722e9;84?::p=a<72;qU5i527;;g?xuf93:1>vPn1:?756<6:k1v?7;:181[4><27<6?7;;|q033<72;qU?:84=530>44f3ty?=?4?:3y>3?g634>:?7<85:~fd<72=0968uC3g095~N6n91v@>h<:6y'0`5=<:;0(9jm:`38 1bc2;3?7):k9;;g?k2c=3;0(9ji:255?k2c?3:0q)=m0;026>o>l3:17do>:188k7?32900e>99:188f2<72:0:6>uC3g095~N6n91v(>l?:0d:?lg62900c?7;:188m53;294~"4j90n?6F7}:?38286P=959~w70a2909wSo>;<59e4=zuk>:?7>53;294~"4j90>96F02h6s|a083>7}Yi8168<=513`8yv4><3:1>vP=959>3?4><2wx?:850;0xZ61134>:?7?=a:p044=838p1:4n1:?756<5?<1vqo?::187>7<2sE9m>7?tH0d3?xJ4n:0"3lk0j=6*;de81=1=#"3lo08;;5a4e594>{#;k:1><=4i8f94?=ni80;66a=9583>>o4??0;66l8:180>4<4sE9m>7?tH0d3?x"4j90:j45fa083>>i51=0;66g6d;29?g1=8391<7>t$2`3>`5<@:l;7A=i2;3x 4532=o:7pg7f;29?lg62900c?7;:188yv?c2909wS7k;<597}Yi816;7o>;|a045=8391<7>t$2`3>03<@:l;7d?=a;29?l75j3:17b<85;29?xu>l3:1>vP6d:?4>3:1>vP<779>045=9;k0q~:>2;296~;02h;019?<:356?x{e:=0;694=:4yO7c4=9rB:j=5rL2d0>2}#?4$5fa>d7<,=no6?7;;%6g=??c3g>o97?4$5fe>6113g>o;7>4}%1a4?46<2c2h7>5;hc2>5<5<0;6>4>:2yO7c4=9rB:j=5r$2`3>4`>3`k:6=44o3;7>5<3587a4=za1l1<75fa083>>i51=0;66s|9e83>7}Y1m16;76i;|q12`<72;q6;7<64:\1=1=z{;3;297?6=8r.8n=4:5:J0b5=n9;k1<75f13`94?=h:>?1<75rs8f94?4|V0n01:46d:pe4<72;qUm<52401957d52z\1=1=:?38286s|36494?4|V:==70:>3;31e>{t<881<7;39:09;85r}r;g>5<2sW3o70959e9>e??c34;>64j4=369=a=z{:==6=4:{_142>;02:==70o53648943=;><01?:53648yv4>i3:1>vP=9`9>61<51=1/?:?528;8j617291v?77:181[4>027:97<64:&034<5101e?:>51:p6<1=838pR?78;52z\1=0=:?38286*<7081=<=i;>:1?6s|a683>7}Yi>16>94n1:&0342d8;=4?;|qb1?6=:rTj963>5;c2?!5093k=7c=80;38yvg32909wSo;;;1m;5a36296>{ti;0;6?uQa39>3?g63-9<=7o9;o144?5d}K;o81=vF>f19~H6`425<#:081?;84n3;2>4=5<#:081?;84n3;2>6=h5180;76gm4;29 7?52k?0b?7>:098mg5=83.95?4m5:l1=4<532cji7>5$3;1>g354b346>5<42808wA=i2;3xL4`73t.8n=4=1e9jeg<722cjo7>5;n16a?6=3k9mo7>53;191~"4j908jn5fac83>>ofk3:17b=:e;29?g5a13:1?7>50z&0f5<2>2B8j=5f13c94?=n9;h1<75`26794?=zj:lj6=4<:183!5e83?=7E=i0:k26d<722c:>o4?::m130<722wi?kl50;194?6|,:h;6?99;I1e4>o6:h0;66g>2c83>>i5?<0;66s|ac83>6}Yik16?k7513`896`f288j7p}nc;297~Xfk278j44>2`9>7cg=9;h0q~=:e;296~X4=l16?kl52678yv5a03:1>v37cd=9;h0qp}nb;296~Xfj278jn4nb:pef<72;qUmn523ga9ef=z{:?n6=4={_16a>;4nj089h5r}c052?6=;3;1?vB520f8mdd=831bmn4?::m01`<722h8jn4?:280>0}#;k:1?km4i``94?=nij0;66a<5d83>>d4n00;6>4?:1y'7g6==?1C?k>4i00b>5<5<53;294~"4j90>:6Fhm:180>5<7s-9i<7<86:J0b5=n9;k1<75f13`94?=h:>?1<75rs``94?5|Vhh01>h6:00a?85ai3;9m6s|ab83>6}Yij16?k7513c896`f288i7p}<5d83>7}Y;hm:356?xu4n10;6?u23g;9623<5:li6<7}Yik16?km5ac9~wde=838pRlm4=2d`>dei7>52z\01`=:;oi1?8k4}|`122<72:0:6>uC3g095~N6n91v(>l?:33g?lge2900elm50;9l70c=831i?km50;197?3|,:h;6>hl;hca>5<h?;h31e?6=3`;9n7>5;n041?6=3th8jl4?:283>5}#;k:19;5G3g28m44f2900e<5<6=44}rca>5<4sWki70=i9;31f>;4nh0:>l5rs`a94?5|Vhi01>h6:00b?85ai3;9n6s|34g94?4|V:?n70=ib;041>{t;o21<7712349mn7?=a:p7c?=838p1>hn:356?85aj3;9n6srs``94?4|Vhh01>hl:``8yvgd2909wSol;<1eg?gd3ty89h4?:3y]70c<5:lh6>;j;|a045=8391<7>t$2`3>03<@:l;7d?=a;29?l75j3:17b<85;29?xd39<0;6>4?:1y'7g6==<1C?k>4i00b>5<5<52;294~"4j90:?85G3g28m44>2900c?9::188yv26:3:1?v3=678bf>;39:09;852407957d:87>52z?1228::181[51=279:;4<5d9'727=;?<0b>9?:09~w6032909wS=94:?120<4=l1/?:?53748j6172;1v>;i:181[52n278:h4=749'727=;?<0b>9?:29~wg0=838pRo84=344>de<,:=:6o;4n253>5=z{k>1<7=t^c6897012hi019?::00b?!5093h>7c=80;38yvd42908wSl<;<051?gd34>:?7?=a:&034;39:0:>o5237g957?<,:=:6o;4n253>6=zuz3o6=4={_;g?81=1m1v>99:181[50>27<6>99;|q1=d<72:qU>4o4=681=d=::?;1n;5+363965<4sW824638:3;;?84193h?7)=81;0:=>h4?90:7p}=9683>6}Y:0=01:4=969>637=j:1/?:?528;8j6172;1v?7::180[4>=27<6?7:;<055?gb3-9<=7<69:l035<43ty?<94?:3y>3?g0348==7=97:&034<38:1e?:>50:p054=838p1:4n5:?124<4><1/?:?54118j617281v9>>:18181=i=16>;?53768 6162=:87c=80;08yv5an3:1>v38:`0897062:?m7)=81;637>h4?9087psm28f94?5=939p@>h=:0yK5c65=z,:h;6?>:;hc2>5<50z&0f5>of93:17b<64;29?xu>l3:1>vP6d:?4>=`52z?4>7?33W8286s|27d94?4|Vh;01:4n1:~f=6=8391=7=tL2d1>4}O9o:0qA=i3;3x 1c2291v(>l?:326?lg62900c?7;:188m53;294~"4j90n?6F5;n0:0?6=3ty2h7>52z\:`>;021l0q~<9e;296~;02;3?7S<64:p63`=838pRl?4=68b5>{zj=kj6=4<:080I5a:3;pDn97>4}%1a4?47=2cj=7>5;n0:0?6=3`3o6=44b683>6<729q/?o>5e29K7c6>i51=0;66s|9e83>7}Y1m16;76i;|q12`<72;q6;7<64:\1=1=z{;{I3e4>{K;o91=v*;e483?x"4j909<85fa083>>i51=0;66g6d;29?g1=8391<7>t$2`3>`5<@:l;7d6i:188md7=831d>4:50;9~w{t:?o1<70q~<9f;296~Xf927<6l?4}|`127<72821=;4=2zN0b7<6sA;m<6sC3g193~h3m?0?86*;e2876g=#"3ll089h5+4d:93>"3m<0;7p*=h;?:1<7*=938024=i:0;1=65`37f94?"51;08:<5a28396>=h;?i1<7*=938024=i:0;1?65`37`94?"51;08:<5a28390>=h;?k1<7*=938024=i:0;1965`37;94?"51;08:<5a28392>=h;?21<7*=938024=i:0;1;65`37594?"51;08:<5a2839<>=h;??1<7*=938024=i:0;1565`37694?"51;08:<5a2839e>=h;!4>:3h;7c<61;28?lga290/>4<5b19m6<7=921bnn4?:%0:6?d73g82=7<4;h`a>5<#:081n=5a28397>=njh0;6)<62;`3?k4>93>07dl6:18'6<4=j91e>4?55:9jf=<72-82>7l?;o0:5?0<3`h<6=4+2809f5=i:0;1;65fb783>!4>:3h;7c<61;:8?ld3290/>4<5b19m6<7=121bn>4?:%0:6?d73g82=7o4;hcf>5<#:081n=5a2839f>=e:??1<7=51;1xH6`528qC=k>4}%1a4?46l2cjn7>5;hc`>5<5<42:0>w)=m0;1eg>ofj3:17dol:188k63b2900n>h6:180>5<7s-9i<7;9;I1e4>o6:h0;66g>2c83>>i5?<0;66sm3gc94?5=83:p(>l?:448L6`73`;9m7>5;h31f?6=3f8<97>5;|`0bg<72:0;6=u+3c29620<@:l;7d?=a;29?l75j3:17b<85;29?xufj3:1?vPnb:?0b<<6:k16?ko513c8yvgd2908wSol;<1e=?75i278jl4>2c9~w63b2909wS=:e:?0bg<5?<1v>h7:18185a138<9632c9~yvge2909wSom;<1eg?ge3tyjo7>52z\bg>;4nj0jo6s|34g94?4|V:?n70=ic;16a>{zj;<=6=4<:080I5a:3;pDi7>5;c1eg?6=;3919v*?1<75rb2db>5<4290;w)=m0;75?M5a82c:>l4?::k26g<722e9;84?::a7cd=8391<7>t$2`3>7113A9m<6g>2`83>>o6:k0;66a=7483>>{tik0;6>uQac9>7c?=9;h01>hn:00b?xufk3:1?vPnc:?0b<<6:h16?ko513`8yv52m3:1>vP<5d9>7cd=:>?0q~=i8;296~;4n009;8523g`957g52z?0bd<5?<16?kl513`8yxufj3:1>vPnb:?0bf5<5sW9>i6353;397~J4n;0:wE?i0:'7g6=:8n0ell50;9jef<722e89h4?::`0bf<72:0868u+3c297ce>i4=l0;66l6<729q/?o>5579K7c65<6=44}c1ee?6=;3:1N4n91b=?o50;9j57d=831d>:;50;9~f6`e29086=4?{%1a4?40>2B8j=5f13c94?=n9;h1<75`26794?=z{hh1<7=t^``896`>288i70=ia;31e>{tij0;6>uQab9>7c?=9;k01>hn:00a?xu4=l0;6?uQ34g896`e2;=>7p}7}:;o31>:;4=2da>44f3ty8j44?:3y>7cg=:>?01>hm:00a?x{tik0;6?uQac9>7ce=ik1vlm50;0xZde<5:lh6lm4}r16a?6=:rT89h523ga970c6}K;o81=vF>f19~ 6d72;;o7dom:188mde=831d?8k50;9a7ce=8391?7;t$2`3>6`d3`ki6=44i`a94?=h;l?:448L6`73`;9m7>5;h31f?6=3f8<97>5;|`0bd<72:0;6=u+3c2913=O;o:0e<50z&0f5<5??1C?k>4i00b>5<5<288j70=ia;31f>{t;70=ib;31f>{z{hh1<763b3twi>;750;195?5|D:l96;j:188f6`d29086>4:{%1a4?5ak2cjn7>5;hc`>5<5<4290;w)=m0;75?M5a82c:>l4?::k26g<722e9;84?::a7cg=8391<7>t$2`3>00<@:l;7d?=a;29?l75j3:17b<85;29?xd4nk0;6>4?:1y'7g6=:><0D>h?;h31e?6=3`;9n7>5;n041?6=3tyjn7>53z\bf>;4n00:>o523gc957g;4nk09;85rs2d;>5<5s49m57<85:?0bg<6:h1v>h6:18185ai38<963;j;<1eg?52m2wvn?8n:180>4<4sE9m>7?tH0d3?x"4j909=i5fac83>>ofk3:17b=:e;29?g5ak3:1?7=55z&0f5<4nj1bmo4?::kbg?6=3f9>i7>5;c1e=?6=;3:1N4n91b=?o50;9j57d=831d>:;50;9~f6`f29086=4?{%1a4?313A9m<6g>2`83>>o6:k0;66a=7483>>{e;oh1<7=50;2x 6d72;==7E=i0:k26d<722c:>o4?::m130<722wxmo4?:2y]eg=:;o31=?l4=2db>44f3tyjo7>53z\bg>;4n00:>l523gc957di7>52z\01`=:;oh1>:;4}r1e7cd=9;k0q~=i9;296~;4nh09;8523g`957d52z\bf>;4nj0jn6s|ab83>7}Yij16?km5ab9~w63b2909wS=:e:?0bf<4=l1vqo<9b;297?7=;rF8j?4>{I3e4>{#;k:1>>d4nj0;6>4<:4y'7g6=;oi0ell50;9jef<722e89h4?::`0b<<72:0;6=u+3c2913=O;o:0e<50z&0f5<2>2B8j=5f13c94?=n9;h1<75`26794?=zj:li6=4<:183!5e838<:6F44e3ty89h4?:3y]70c<5:li6?9:;|q0b=<72;q6?k75267896`e288j7p}7}:;ok1>:;4=2da>44e3twxmo4?:3y]eg=:;oi1mo5rs`a94?4|Vhi01>hl:`a8yv52m3:1>vP<5d9>7ce=;6<62:qG?k<51zJ2b5=z,:h;6??k;hca>5<t$2`3>00<@:l;7d?=a;29?l75j3:17b<85;29?xd4nh0;6>4?:1y'7g6==?1C?k>4i00b>5<5<53;294~"4j909;;5G3g28m44f2900e<=838p1>h6:356?85aj3;9m6s|3g;94?4|5:lj6?9:;<1ef?75j2wvll50;0xZdd<5:lh6ll4}rc`>5<5sWkh70=ic;c`?xu4=l0;6?uQ34g896`d2:?n7psm27f94?5=939p@>h=:0yK5c6d:kbf?6=3`kh6=44o27f>5<;j:188f6`>29086=4?{%1a4?313A9m<6g>2`83>>o6:k0;66a=7483>>{e;ok1<7=50;2x 6d72<<0D>h?;h31e?6=3`;9n7>5;n041?6=3th8jo4?:283>5}#;k:1>:84H2d3?l75i3:17d?=b;29?j40=3:17p}nb;297~Xfj278j44>2c9>7cg=9;k0q~ol:180[gd349m57?=a:?0bd<6:k1v>;j:181[52m278jo4=749~w6`?2909w0=i9;041>;4nk0:>l5rs2d:>5<5s49mm7<85:?0bg<6:k1vq~om:181[ge349mo7om;|qbg?6=:rTjo63{t;i6srb340>5<42808wA=i2;3xL4`73t.8n=4=1e9jeg<722cjo7>5;n16a?6=3k9mo7>53;191~"4j908jn5fac83>>ofk3:17b=:e;29?g5a13:1?7>50z&0f5<2>2B8j=5f13c94?=n9;h1<75`26794?=zj:lj6=4<:183!5e83?=7E=i0:k26d<722c:>o4?::m130<722wi?kl50;194?6|,:h;6?99;I1e4>o6:h0;66g>2c83>>i5?<0;66s|ac83>6}Yik16?k7513`896`f288j7p}nc;297~Xfk278j44>2`9>7cg=9;h0q~=:e;296~X4=l16?kl52678yv5a03:1>v37cd=9;h0qp}nb;296~Xfj278jn4nb:pef<72;qUmn523ga9ef=z{:?n6=4={_16a>;4nj089h5r}c050?6=;3;1?vB520f8mdd=831bmn4?::m01`<722h8jn4?:280>0}#;k:1?km4i``94?=nij0;66a<5d83>>d4n00;6>4?:1y'7g6==?1C?k>4i00b>5<5<53;294~"4j90>:6Fhm:180>5<7s-9i<7<86:J0b5=n9;k1<75f13`94?=h:>?1<75rs``94?5|Vhh01>h6:00a?85ai3;9m6s|ab83>6}Yij16?k7513c896`f288i7p}<5d83>7}Y;hm:356?xu4n10;6?u23g;9623<5:li6<7}Yik16?km5ac9~wde=838pRlm4=2d`>dei7>52z\01`=:;oi1?8k4}|`756<72:0;6=u+3c2910=O;o:0e<50z&0f5<2=2B8j=5f13c94?=n9;h1<75`26794?=zj=;<6=4<:183!5e83?>7E=i0:k26d<722c:>o4?::m130<722wi8<750;194?6|,:h;68;4H2d3?l75i3:17d?=b;29?j40=3:17pl;1c83>6<729q/?o>5549K7c65<6=44}c62`?6=;3:1N4n91b=?o50;9j57d=831d>:;50;9~f17a29086=4?{%1a4?323A9m<6g>2`83>>o6:k0;66a=7483>>{e<;;1<7=50;2x 6d72h?;h31e?6=3`;9n7>5;n041?6=3th?>>4?:283>5}#;k:1985G3g28m44f2900e<?1<75rb24f>5<5290;w)=m0;301>N4n91b=?750;9l623=831v9?=:180841>3ki70:>3;041>;39<0:>o5rs537>5<4s48=;7om;<621?40=27?=:4>2c9~w1712908w0<98;ca?826?38<963;18826g=z{=;36=4<{<05=?ge34>:57<85:?75g<6:k1v9?n:180841i3ki70:>b;041>;39m0:>o5rs53`>5<4s48=n7om;<62`?40=27?=k4>2c9~w17b2908w0<9c;ca?826n38<963;20826g=z{=8;6=4<{<05`?ge34>9=7<85:?766<6:k1v9<=:180841;3ki70:=3;041>;3:<0:>o5rs507>5<5s48=87om;<611?40=2wx?;<50;0xZ605348=87=:e:&034<4>81e?:>50:p736=838pR>8?;<057?52m2.8;<4<609m726=92wx?;j50;0xZ60c348=h7=:e:&034<4>81e?:>52:p73e=838pR>8l;<05g?52m2.8;<4<609m726=;2wx?;l50;0xZ60e348=n7=:e:&034<4>81e?:>54:p73g=838pR>8n;<05e?52m2.8;<4<609m726==2wx?;750;0xZ60>348=57=:e:&034<4>81e?:>56:p73>=838pR>87;<0581e?:>58:p733=838pR>8:;<052?52m2.8;<4<609m726=12wx?;:50;0xZ603348=97=:e:&034<4>81e?:>5a:p70`=838pR>;i;<15a?40=2.8;<4<609m726=j2wxn<4?:3y]f4=::?>1mn5+3639f5=i;>:1<6s|ag83>6}Yio16>;=5ab9>073=9;k0(>9>:c28j617281vom50;1xZge<5;44f3-9<=7l?;o144?4"4?80i<6`<7180?xuei3:1?vPma:?12g2`9'727=j91e?:>54:pf<<72:qUn45227c9ef=:<8n1=?o4$252>g653z\a2>;5>>0jo63;16826d=#;>;1n=5a3629<>{tj=0;6>uQb59>630=ij168<;513c8 6162k:0b>9?:89~wg5=839pRo=4=346>de<5=;86<5<3sWkn70<95;ca?826;3;9n63<6d826<=#;>;1n=5a3629f>{zj;<:6=4;:387I5a:3;pDn:7:<;%6f7?25j2.?hn4nd:&7a<oi7=:e:&7a=<03->n97>4}%1a4?7a;2P3i7v<=:0`9yj51<3:1(?7=:240?k4>93:07b=:f;29 7?52:<87c<61;38?ld4290/>4<5b39m6<7=821bmh4?:%0:6?d53g82=7?4;c051?6=;3;1?vB520f8mdd=831bmn4?::m01`<722h8jn4?:280>0}#;k:1?km4i``94?=nij0;66a<5d83>>d4n00;6>4?:1y'7g6==?1C?k>4i00b>5<5<53;294~"4j90>:6Fhm:180>5<7s-9i<7<86:J0b5=n9;k1<75f13`94?=h:>?1<75rs``94?5|Vhh01>h6:00a?85ai3;9m6s|ab83>6}Yij16?k7513c896`f288i7p}<5d83>7}Y;hm:356?xu4n10;6?u23g;9623<5:li6<7}Yik16?km5ac9~wde=838pRlm4=2d`>dei7>52z\01`=:;oi1?8k4}|`02`<72;0;6=u+3c29563<@:l;7d?=9;29?j40=3:17p}<6583>7}Y;?>01?8::27f?!50939=?6`<7183?xu4=o0;6?uQ34d8960b2;=>7)=81;157>h4?90:7p}m3;296~Xe;279:84nc:&034;4>l0:>45+3639f7=i;>:1=6srb36g>5<12:03w)=m0;07`>i5<10;66g>e483>>o3800;66g=4083>>o5<:0;66g;0083>>d54?:1y'7g6==?1C?k>4i00b>5<5<55;294~"4j90>h6F5<7s-9i<7<86:J0b5=n9;k1<75f13`94?=h:>?1<75rs36;>5<5sW8?463=4c8130=z{8o>6=4<{_3f1>;5l5225c957e;57>52z\74<=::=i1=?l4}r075?6=:rT98<5225c957g52z\106=::=k1=?l4}r635?6=:rT?<<5225c957b52z?10f<5?<16>9l513c8yv43i3:1>v3=4`8130=::=h1=?l4}|`17<<72?0>6lu+3c2966?5<6=44i362>5<86=44i2de>5<o4?::m130<722wi>>:50;694?6|,:h;68o4H2d3?l75i3:17d?=b;29?l75k3:17b<85;29?xd5;<0;6>4?:1y'7g6=:><0D>h?;h31e?6=3`;9n7>5;n041?6=3th9?;4?:283>5}#;k:1985G3g28m44f2900e<5<6=44}r006?6=:rT9??52225962353z\2a0=:::21=?o4=315>44f3ty?<84?:3y]053<5;936<9?4=317>44f348897?=a:p615=838pR?:<;<000?75j2wx?kh50;0xZ6`a348887?=c:p665=838p1?=7:356?844?3;9m6s|22694?4|5;9?6?9:;<001?75j2wx>;k50;0x97522;=>70<<6;31f>{t:?l1<77123488;7?=b:~f757290o6>4i{%1a4?4482e9>n4?::k15`<722c9>?4?::k166<722c9>94?::k160<722c9>;4?::k162<722c9>54?::k16<<722c9>l4?::k15c<722c9><4?::`16a<7200;6=u+3c2926=O;o:0e<50z&0f5<2k2B8j=5f13c94?=n9;h1<75f13a94?=n9;n1<75`26794?=zj;8m6=4<:183!5e83?>7E=i0:k26d<722c:>o4?::m130<722wx>?m50;0xZ74d3489j7<85:p64c=838pR??j;<01a?75i2wx>?<50;0xZ7453489h7?=a:p675=838pR?<<;<01`?75j2wx>?:50;0xZ7433489h7?=d:p673=838pR?<:;<01`?75k2wx>?850;0xZ7413489h7?=e:p671=838pR?<8;<01`?7482wx>?650;0xZ74?3489h7?=f:p67?=838pR?<6;<01`?7492wx>?o50;0xZ74f3489i7?=c:p64`=838pR??i;<01a?75j2wx>??50;0xZ7463489i7?=d:p67b=838p1?0;66g70;29?g>229086=4?{%1a4?313A9m<6g>2`83>>o6:k0;66a=7483>>{e0:0;6>4?:1y'7g6==?1C?k>4i00b>5<5<1>:;4}r3f;?=3;9m6s|8683>7}Y0>164>4>2`9~w=6=838pR5>4=91957d712342?6<6<0s-9i<7::8:m716<722c:i:4?::k714<722c?944?::k715<722h?9:4?:283>5}#;k:19;5G3g28m44f2900e<?1<75rb575>5<4290;w)=m0;042>N4n91b=?o50;9j57d=831d>:;50;9~w1342909wS::3:?713<5?<1v2c9~w1362909wS::1:?712<6:h1v9;6:181[22127?984>2`9~w1372909wS::0:?710<6:k1v9;;:181822?38<963;57826d=z{=?>6=4={<661?40=27?9;4>2c9~yg43?3:1h7=5fz&0f5<5<>1d>9=50;9j64c=831b>?<50;9j675=831b>?:50;9j673=831b>?850;9j671=831b>?650;9j67?=831b>?o50;9j64`=831b>??50;9a612=8331<7>t$2`3>7133A9m<6g>2`83>>o6:k0;66g>2b83>>o6:m0;66g>2d83>>o6:o0;66g>3183>>o6;80;66a=7483>>{e:=?1<7;50;2x 6d72h?;h31e?6=3`;9n7>5;h31g?6=3`;9h7>5;n041?6=3th98;4?:283>5}#;k:1985G3g28m44f2900e<9852678yv46m3:1>vP=1d9>612=9;h0q~<=2;296~X5:;16>9:513c8yv45;3:1>vP=229>612=9;i0q~<=4;296~X5:=16>9:513g8yv45=3:1>vP=249>612=9;n0q~<=6;296~X5:?16>9:513d8yv45?3:1>vP=269>612=9:;0q~<=8;296~X5:116>9:51228yv4513:1>vP=289>613=9;k0q~<=a;296~X5:h16>9;513a8yv46n3:1>vP=1g9>613=9;h0q~<=1;296~X5:816>9;513f8yv43<3:1>v3=458130=::=<1=?o4}r071?6=:r79884=749>610=9;h0qpl>e583>1<729q/?o>5599K7c65<5<o4?::m130<722wi?ol50;194?6|,:h;68;4H2d3?l75i3:17d?=b;29?j40=3:17pl<5e83>6<729q/?o>52648L6`73`;9m7>5;h31f?6=3f8<97>5;|`0f<<72<0;6=u+3c291a=O;o:0e<50z&0f5<5??1C?k>4i00b>5<5<m7>55;294~"4j90>i6F5<7s-9i<7;8;I1e4>o6:h0;66g>2c83>>i5?<0;66sm2`794?3=83:p(>l?:4`8L6`73`;9m7>5;h31f?6=3`;9o7>5;h31`?6=3f8<97>5;|`016<72<0;6=u+3c291a=O;o:0e<50z&0f5<5?11C?k>4i00b>5<5<?7>53;294~"4j909;55G3g28m44f2900e<?1<75rb363>5<4290;w)=m0;76?M5a82c:>l4?::k26g<722e9;84?::a603=8391<7>t$2`3>7113A9m<6g>2`83>>o6:k0;66a=7483>>{e:=81<7=50;2x 6d72h?;h31e?6=3`;9n7>5;n041?6=3th95k4?:283>5}#;k:19:5G3g28m44f2900e<5<5<6=44}c0b5?6=;3:1N4n91b=?o50;9j57d=831d>:;50;9~f=>=83>1<7>t$2`3>71>3A9m<6g>2`83>>o6:k0;66g>2b83>>i5?<0;66sm44094?5=83:p(>l?:448L6`73`;9m7>5;h31f?6=3f8<97>5;|`71d<72:0;6=u+3c29620<@:l;7d?=a;29?l75j3:17b<85;29?xd4=?0;6?4?:1y'7g6=9:?0D>h?;h31=?6=3f8<97>5;|`10c<72;0;6=u+3c29563<@:l;7d?=9;29?j40=3:17p}<5683>7}Y;<=01>;8:3;7?xu>>3:1=luQ979>5`4=1m16hh46d:?73a<>l278n<46d:?012<>l2789=46d:?0f2<>l279?n46d:?17g<>l2799i46d:?11f<>l2799o46d:?11d<>l2799446d:?11=<>l2799:46d:?113<>l279?l46d:?174<>l279>o46d:?0a=<>l2795i46d:?;4??c34>jm77k;<664??c3ty8;i4?:2y]72b<5;?86<50;0xZ637349><7<64:p2`9~w137290>wS::0:?715<51=164;4;519>00>=<<:019;=:00b?xud>3:1>vPl6:?ga?g53ty8584?:3y]7<3<5;3m6<d7jm7>52z\7ed=:4:4}r:3>5<4sW2;706?:3;7?8>121:0q~:k3;296~X3l:16>l7513c8yv4>l3:1?vP=9e9>601?o6:00a?xu5j10;6?uQ2c:897?a288j7p}=4983>7}:::k1m<5225f961>7>52z?1742909w0?j2;0;7>;5<90:>o5rs27:>5<5s49>h7?=a:?01d<5?<1v>;::18185283k:70=:6;041>{t9l?1<7ht=0g1>7>63488o7=86:?17g<4??16>8j53648973d2:==70<:b;142>;5=h08;;5224;9720<5;?36>99;<063?50>2799;4<779>0dg=i816>9j51d78975>28o>70<{t9l<1<7=t=0g1>7>234236<;?03;9n63;5`826g=z{8o36=4<{<3f6?4?1273:7?j8:?;{t:0o1<7712348j57?=d:p66b=838p1?=j:356?842=3;9m6s|2`294?4|5;k<6<6<9j52538975>2;>:70<;2;041>{t9l91<74cf34;n87<85:pg2<721q6?o?59d9>701=1l16?8>59d9>7g1=1l16=h:513c89f>=:>?01>lm:00a?84293;9m6s|3cc94?e|5:h:6>99;<00g??b3488n77j;<06`??b348>o77j;<06f??b348>m77j;<06=??b348>477j;<063??b348>:77j;<1af?40=2wx4<4?:3y><5;|q;3?6=:r73:768;<:;>7123ty9>n4?:3y>67d=i816>>>523a8yv5e?3:18v31=?l4=2`a>44f348>=7?=b:p02b=839p12c9>616=9;k0q~<:2;296~;5=:09;85222g957e87>52z?110<5?<16>9h513;8yv52:3:1>v3<528130=:;<<1=?74}r1av3;598714=:<<81>:;4}r077?6=66?=:=901?:8:360?844m3;9m6s|23`94?4|5;8i6?7;;<3f0?75k2wx>l850;0x97?c2h;01?o8:356?xu5i10;6?u22`;9623<5;k:6<>o59d9>667=1l16>9h52678yv4f:3:1>v3=a6826d=::h91>:;4}r16g?6=:r789:4n1:?01a<5?<1v?o;:18184f=38<963=a8826f=z{=:36=4={<00g?g6348=>7=92:&034<38>1e?:>50:p050=838p1?=m:`3897052:<;7)=81;633>h4?90:7p};1083>7}::8;o144?4:<7>52z?11f9?:29~w16a2909w0<:b;c2?841:39=n6*<708742=i;>:186s|41g94?4|5;?j6l?4=341>60f3-9<=7:?7:l035<23ty?60?=i816>;<537;8 6162=:<7c=80;48yv27k3:1>v3=598b5>;5>;08:55+36390515<5s48>;7o>;<056?51?2.8;<4;069m726=02wx8=o50;0x97312h;01?8=:246?!5093>;;6`<718:?xu3800;6?u22709732<5;>o69>6;%145?27?2d8;=4n;|q740<72;q6>;<534d8975>2=:>7)=81;633>h4?90i7p}=2083>1}:::i1>4:4=341>g7<5;9;6?<>;<073?4592.8;<4=219m726=82wx>;1>?>4n253>4=z{;8j6=4;{<06`?4><279:?4mc:?175<5:h16>99523c8 6162;8;7c=80;08yv4513:18v3=5b81=1=::?81no52222967?<5;><6?<6;%145?4582d8;=4<;|q16=<72=q6>8l5286897052kk01?=?:30;?843?38946*<708165=i;>:186s|23594?2|5;?j6?7;;<056?d>3488<7<=7:?102<5:>1/?:?52328j6172<1v?<9:1878421382863=638a<>;5;909>;522559670<,:=:6?54z?11=<51=16>;<5b69>666=:;?01?:8:306?!509389<6`<7184?xu5:=0;69u224596<2<5;<96o84=313>743348?;7<=4:&034<5:91e?:>58:p675=83>p1?;9:3;7?841:3h?70<<0;017>;5<>09>>5+36396765<1s488m7<64:?127995230897g4288i7)=81;014>h4?90j7p}=1d83>3}:::;1>4:4=341>dc<5;<:6lk4=313>77b348?;7<>e:?1e6<6:h1/?:?52328j6172k1vh?50;0x9ac=:0=01n6513`8 6162l:0b>9?:19~wa`=839p1ik5a59>``<51<16o54>2`9'727=m91e?:>51:p7g0=83>p1>l>:3;b?85e13;9h63<5`826a=:;<91=?j4$252>6d23g9<<7>4}r1a0?6=7g?=9;h01>;n:00`?852;3;9n6*<7080f0=i;>:1=6s|3c194?2|5:h:6?78;<1a=?75i2789l4>2c9>705=9;i0(>9>:2`6?k508380q~=m2;290~;4j80958523c;957e<5:?j6<"4?80?<=5a36294>{t;ol1<763a348857=if:&034<3891e?:>51:p7`b=838p1>k7:3;b?84f=3;9h6*<7080af=i;>:1<6s|3d`94?4|5:o36?77;<0b1?75k2.8;<4"4?808in5a36296>{t;l31<77?2348j97?=a:&034<4mj1e?:>53:~f154290o6i4>7zN0b7<6sA;m<6sC3g196~h3m?02=6*;e485?x"4j90:><5`4ba94?=n91h1<75`47494?=n91i1<75f42a94?=h0h0;66gj2;29?l4>l3:17dof93:17b<64;29?l?c2900n:4?:283>5}#;k:1i>5G3g28H6`528q/=>:54d38yl>a2900el?50;9l6<2=831vnl>50;094?6|,:h;6<=:;I1e4>o6:00;66a=7483>>{t1m0;6?uQ9e9>e5<6:01v?8j:18181=0o16m=4=749~w70a2909w0952868Z7?33ty9;=4?:3y]e4=:?3k:7psm47494?5=939p@>h=:0yK5c67=z,:h;6?>:;hc2>5<50z&0f5>of93:17b<64;29?xu>l3:1>vP6d:?4>=`52z?4>7?33W8286s|27d94?4|Vh;01:4n1:~f1ed290?6<4;{M1e6?7|@8l;7pBof93:17b<64;29?l?c2900e5750;9a3?6=<3:1N4n91b4k4?::kb5?6=3`82?7>5;n0:0?6=3ty2h7>52z\:`>;021l0q~66:181[>>34=1>4=4}r05a?6=:r7<6?7;;_0:0>{t:?l1<7o6:h0;66g>2c83>>i5?<0;66sm35:94?3=83:p(>l?:35a?M5a82c:>l4?::k26g<722c:>n4?::k26a<722e9;84?::a715=83?1<7>t$2`3>0e<@:l;7d?=a;29?l75j3:17d?=c;29?l75l3:17b<85;29?xd4<<0;6;4?:1y'7g6=>91C?k>4i00b>5<5<5<6=44}c173?6=;3:1N4n91b=?o50;9j57d=831d>:;50;9~f10f290?6=4?{%1a4?3>3A9m<6g>2`83>>o6:k0;66g>2b83>>i5?<0;66sm4e294?2=83:p(>l?:4:8L6`73`;9m7>5;h31f?6=3`;9o7>5;n041?6=3th=:7>52;294~"4j90:?85G3g28m44>2900c?9::188yg21k3:1>7>50z&0f5<6;<1C?k>4i00:>5<6=44}c6g6?6=:3:18c9>715=9;k01>:::00g?xu3>?0;6?uQ474891012;3?7p}>8b83>7}Y91i01>:::00f?xu3;j0;69uQ42a891ed213015l513c8930=9;30q~6n:187[>f342i6?9:;<65e?75j27?h=4>2c9~w`4=83>pRh<4=769=a=:;4<:0:>o52357957g<5:><6<o64=260>44d349?97?=b:p773=838pR><:;<173?75j2wx=><50;1xZ45534>=m7?=a:?7`5<6:j1v?ll:180[4ek2788>4>2e9>713=9;i0q~?78;296~X60116?96513f8yv2c93:1>v3;cb8b5>;3l;09;85rs7694?4|5?>1>4:4=9`957d=n7>52z?723;3l;0:>45rs262>5<4s49?47<85:?72d<6:j168i>513c8yv53:3:1>v3<49826d=:;=91>:;4}r170?6=:r78854>2c9>713=:>?0q~=;6;296~;4<10:>n5235596236=4={<47>d7<5?<1>:;4}r65=?6=:r7?:l4=749>03e=9;30qpl;a683>6<62:qG?k<51zJ2b5=zD:l86{#;k:1=k;4ief94?=n;ki1<75`34g94?=e?3:1?7>50z&0f5>{tlm0;6?uQde9>3?e13ty9:h4?:3y>3?40=2T89h5rs34e>5<5sW9io638:00:?x{e<:l1<7=51;1xH6`528qC=k>4}M1e7?7|,=o>6;5r$2`3>4`23`no6=44i2``>5<6<729q/?o>58e9K7c6?1<75rsef94?4|Vmn01:4l6:p63c=838p1:4=749]70c52z\0ff=:?3;956srb5:2>5<42808wA=i2;3xL4`73tF8j>4>{%6f1?05;n16a?6=3k=1<7=50;2x 6d721n0D>h?;h31=?6=3`i=6=44o356>5<?0R>;j;|q12c<72;qU?om4=6826<=zuk>i87>53;397~J4n;0:wE?i0:O7c5=9r.?i849;|&0f5<6n<1bhi4?::k0ff<722e89h4?::`4>5<4290;w)=m0;:g?M5a82c:>44?::k`2?6=3f8<97>5;|qg`?6=:rToh638:b48yv41m3:1>v38:356?[52m2wx>;h50;0xZ6dd34=1=?74}|`7g1<72:0:6>uC3g095~N6n91v@>h<:0y'0`3=>2w/?o>51g78mab=831b?om50;9l70c=831i;7>53;294~"4j903h6F7}:?38<96P<5d9~w70a2909wS=mc:?4>44>3twi8>950;094?6|,:h;6<=:;I1e4>o6:00;66a=7483>>{e<:h1<7<50;2x 6d7289>7E=i0:k26<<722e9;84?::a01?=8381<7>t$2`3>4523A9m<6g>2883>>i5?<0;66sm42;94?5=83:p(>l?:355?M5a82c:>l4?::k26g<722e9;84?::a0d?=8381<7>t$2`3>4523A9m<6g>2883>>i5?<0;66s|4`594?2|V=k<70:<0;6b3>;3;=0?m:524`5970c=;7>53z\722=:1013ty??i4?:5y]06b<5=o969=l;<601?24k27??>4;3b9~w1ec2909wS:ld:?776<3kj1v9l=:180[2e:27?i?4;b09>063=n>7:n1:p01c=838pR9:j;<600?23i2wx84h50;0xZ1?a34>n>7:7d:p00d=838pR9;m;<6f6?22j2wx88>50;0xZ13734>9i7::0:pg3<72:qUo;524269g3=:<;o1o;5rsd094?0|Vl8019=?:84891c520<019=::848914b20<019=<:d08yv2103:1>vP;699>062=h534g8yv2303:1>vP;499>01?=:>?0q~:8c;296~X3?j168?k546a8yv2f83:1>vP;a19>0`4=:54ba8yv2e;3:1>vP;b29>0g2=;52z\:4>;3;=02<6s|42494?4|V=9=70:<7;041>{t<:k1<7;3;<0?;h5rs5ca>5<5sW>jn63;e387eg=z{=;3;=0?:i5rs5:3>5<5sW>3<63;80801`=z{=i86=4={_6`7>;3k=089h5rs553>5<5sW><<63;348735=z{==?6=4={_640>;3;=0?;95rs5f7>5<2sW>o863;3187`6=:1b434>9i7:k3:p01d=838pR9:m;<601?23j2wx?8950;0x91c52:?370:=e;163>{t;;<1<764134>8?7==5:p510=838p19=::065?824<3;?:6s|19`94?5|5=9;6<6m;<601?7?j27??>4>8c9~w4512909w0:<5;302>;3;=0:?;5rs5c;>5<5s4>j;7jk;<6b=?40=2wx=5m50;0x9152282h70:<3;3;g>{t:k31<7:t=513>7d>34>n>7=52c:8yv50l3:1>v3;31803a=:<;o1?:j4}r6`>5<5s4>887:m;<60=?75j2wx8i4?:3y>063=7513c8yv2?:3:1>v3;35873`=:<1;1?om4}r164?6=:r7?i?4<509>07c=;<:0q~6n:186824832j70:j2;:b?824=32j70:=e;:b?824;32j7p}<9483>7}:<::1?4;4=50f>6?23ty::54?:3y>063=9?h019=;:04;?xu60l0;6?u242795=c<5=9?6<6j;|q7ed<72;q68?k54`c891g>28827p}70;293~;3;=03<63;2d8;4>;3;o0oh63;808g`>;3j=0oh63;c58g`>;3<00:>45rs06a>5<5s4>8<7?;b:?770<6i=63;b580ff=z{=936=4={<603?75127??44=749~w7?c2909w0:=e;0:`>;3;:095i5rs04g>5<5s4>897?9d:?771<60>1v?l7:181824838i463;e381f==z{8n?6=4={<601?7c<27??94>d59~w1272909w0:<4;675>;3;o08nn5rs206>5<4s4>8<7==5:?7a7<4:<168>;53378yv74:3:1>v3;318277=:<:91=><4}r6`1?6=:r7??94;c39>0f2=;ki0q~52z?770<601168>=519:8yv7ej3:1>v3;3482fg=:<:>1=i=4}r77>5<5s4>897;;;<60f?7512wx>;k50;0x96`6288<70:n7;1ag>{t:hn1<7:1<6s|2``94?4|V;ki70:j2;0bf>"4?809mn5a36295>{t:k<1<7:1>6s|2c794?4|V;h>70:j2;0a1>"4?809mn5a36297>{t:k>1<7:186s|2c194?4|V;h870:j2;0a7>"4?809mn5a36291>{t:k81<76*<7081ef=i;>:1:6s|2c394?4|V;h:70:j2;0a5>"4?809mn5a36293>{t:k:1<7:146s|2`d94?4|V;km70:j2;0bb>"4?809mn5a3629=>{t:ho1<7:1m6s|2`c94?4|V;kj70:j2;0be>"4?809mn5a3629f>{t??0;6?uQ779>066=<;1/?:?5749m726=82wx;94?:3y]31=:<::18=5+363930=i;>:1=6s|7283>6}Y?:168>>53g9>0`4=;o1/?:?5749m726=:2wx;?4?:2y]37=:<::1?i524d097a=#;>;1;85a36297>{t?90;6>uQ719>066=;k168h<53c9'727=?<1e?:>54:p2c<72:qU:k5242297d=::196s|6d83>6}Y>l168>>5389>0`4=;01/?:?5749m726=>2wx:i4?:2y]2a=:<::1?5524d097==#;>;1;85a36293>{t>j0;6>uQ6b9>066=;>168h<5369'727=?<1e?:>58:p2g<72:qU:o52422973=::156s|6`83>6}Y>h168>>5349>0`4=;<1/?:?5749m726=i2wx:44?:2y]2<=:<::1?9524d0971=#;>;1;85a3629f>{t>10;6>uQ699>066=;:168h<5329'727=?<1e?:>5c:p22<72:qU::52422977=::1h6s|7d83>6}Y?l168>>54`9>0`4=

;1;85a3629b>{t?j0;6?uQ7b9>0`4=<11/?:?5749m726=991v:l50;0xZ2d<5=o96994$252>235<5sW=j70:j2;65?!5093=>7c=80;31?xu013:1>vP89:?7a7<3=2.8;<485:l035<6;2wx;54?:3y]3==::1=95rs6594?4|V>=019k=:518 6162>?0b>9?:078yv162909wS9>;<6f6?5d3-9<=79:;o144?713twvqpNOCz3b25<28kk>849r@A@x4xFGXrwKL \ No newline at end of file +$5ga==)&&IEX#x8;/,,GV(q02$%#K]M.w;8*+)NGKG%z45!..KLFV(q02$%#_OB.w`8*+)Pi{zXI#x>;1;84Zhh|9:;<<640^llp5678Vcf|R>Pnnv3456692;97<>=;03b?44=L5;:6=0j;009@drfI5;:6=0>0:31>LHW]]0O07B[ilgq847=87;:7<<5OTVSQQ:1<22>752F__\XZ5Rdqvhq:693:5m6?=:P>25?69j2;96_O31083:c=6:3Xnxb{<0394;763881Sljk0123847=87k0=;4N<319<;7738<1EC^ZT;C?66:@>15?799919=7GAPTV9E946284n7?=5A^DPF944284:86<<:HLSQQ7K}<083:`=52@D[YY4K<083:44<:3CE\XZ5D`vbE97=87l0>7AZTQWW>T:6294:86<5OTVSQQ2>58c3;0Yi~{ct=394;`<:3Ujhi>?01>2>5863:i0?7LNCUp?7?790291H1<50?g87?OIX\^1H1<50?d87?OIX\^1_Z2<:05=Y581<3?44997>A:4294h794KaucB86<7601?6H]33;2=a>2=AGZ^X7J33;2=57=32@D[YY4KaucB86<76o1?6B[[PTV9U95=87;?794@UURVP?Tb{|f0>4?>997>T:4294o794]erwop95=87i087Zfmdp?7?69n2>1Sljk012386<7681>46;5D=694;e<=3NjxlO34;2=a>3=AGZ^X7J34;2=57=22@D[YY4KaucB81<76o1>6B[[PTV9U92=87;?784@UURVP?Tb{|f094?>996>T:3294o784]erwop92=87h097Yniu>7>58a3<0Tmij?012?0?6992<:7:?4809:1>G;994>7L2>1?78E9756<1J0<=15:C?51823H6:93;4A=35:0=F48=596O319<6?D:617>0M1?15:C?65803H69=7?15:C?64823H69>394A=00>=823H69?3:4A=0=0>G;;7>0M1:14:C?1;29<2K753:4AMG31>GKM9G>7LBJ0Ra8EIC7Wds<=>?d:COA5Yj}q:;<=?j;@NF4Zkrp9:;<??;@NF4Zkrp9:;;5NNE0A53=FFM8I>95NNE15?DHC;K;=7L@K3C07?DHC33HYyjn5NSsd\jjr789;:i6O\rg]mkq6788Uba}QNSsd\jjr789;:56OPFR@?558>3HUM_O2>1?;8EZ@TJ5;9245N_GQA845912KTJ^L315<:?DYA[K6:9374A^DPF971601JSK]M<05==>GXNZH7=506;@]EWG:61720MRH\B=3==>GXNZH7>=06;@]EWG:59730MRH\B=01:g=FWOYI0?=51?;8EZ@TJ588255N_GQA878?3HUM_O2<>99B[CUE4=437LQISC>6:==FWOYI0;07;@]EWG:0611JSK]M<9<;?DYA[K62295Ncmp5?Dir|FOn7LaztNG\ip~789:m7LaztNG\ip~789::==5NotvLAZkrp9:;<0:ClqqIBWds<=>?20d8EjssGLUfyu>?01126>Gh}}ENS`{w0123[lkwl2KdyyAJ_np3456582KdyyAJ_np3456XadzTMb{{OD]lv56788>0M~k{4:@BGQc`9AEFRu4:0:245MABVq[jss<2H^JH64BTQ\MK@H92I?7N?9M79@65KBF?1H>8CJN29@6MJ3:AOO6=DD[90OCZ6;BMNILRSMM=0O_KNTDF1?FU13Jfnm?<;Bnh1>EkcLd:46MckDl\MKPXadzTOaeJn^KMR414:AooZOI^Vcf|RMck^KMR4724;125;0B;:3:586J32?48@95=87>0H1=16:F?0?69<2N783:4D=7=0>B;>7>0H1914:F?<;2BSIJAySca{012267=C\HI@~R``t1235ZojxVN_MNE}_omw45669>1Omyo30?58@drf484>7Io{a@:8@drfI5:556Jnt`C?558e3MkmL2>1;2==>Bf|hK7=<0n;EcweD:629437Io{a@>2:==Ci}kJ0?0n;EcweD:429437Io{a@>0:d=Ci}kJ094?>99GeqgF4=437Io{a@>6:==Ci}kJ0;07;EcweD:0611OmyoN<9<;?AgsiH622;5KotvLA`=Cg|~DIRoad123474?1e9GkprHMVey<=>>219GkprHMVey<=>>_hos[Air|FOTc>?0032?@34EGcohZojxVOMmab>2:GP1>CT494>7H]31?78AV:56>1N_1=50?78AV:46h1N_Road12344g?01]jiuYB[Vkeh=>?00c8AVYffm:;<=5JS^antZcv89::Sdc_DQ\ghvXmx:;<=6:GWEFMXkdzTi|>?01]jiuYB\HI@Snc_ds34566991NXLMD_hos[@RFKB;37H`nbmgWv7=Bz<1N~1>17:Gq84<76<1N~1?14:D@HN4@Al8'Bb55IFe3.Mk7>3OLo= Ga10:8BCb6%@d9n6HId0/JjZojx=1MJi<8;GDg6(Oi02LMh?#Fn0;8BCb5%@d:=55IFe0.Mk4>3OLo> Ga20:8BCb5%@d856HId3/Jj67>3OLo> Ga40:8BCb5%@d>n6HId3/JjZojx=1MJi=8;GDg7(Oi02LMh>#Fn0;8BCb4%@d:=45IFe1.Mk4602LMh>#Fn2`8BCb4%@dTe`~;;GDg02=ANm>&Ec?;;GDg0(OiW`g{SKHk4,Km51=ANm?<7KHk5,Km<>@Al<'Bb<74FGf6)Lh6911MJi;"Io0a?C@c=$CeSdc4:DE`314:DE`3+NfVcf|RHId7/Jj42@Al>'Bb??7;GDg3(Oi;01MJi9"Io12<>@Al>'Bb9l4FGf4)LhXadz?7KHk869EBa>*Ag20JKj7-Hl2=>@Al1'Bb@Al1'Bb>74FGf;)Lh4901MJi6"Io62<>@Al1'Bb8l4FGf;)LhXadz87K]M3:DPIf=A[DU;!}o}eov`?CUJW8'{mkatb9EWHY5%ykyiczl;GQN[6+wi{oex<5H3:EM@4=N:2C;>6G>2:K16>O4:2C?>6G:2:K56>O0<2CJO^k4I@AP[lkwW@KH_<:4IOKW7>OI^<1BCLM[d:KLEFRXign;<=>=0:KLEFRXign;<=>Pilr\MJGD\Vkeh=>?00f8MJGD\Vkeh=>?1328MJGD\Vkeh=>?1^kntZOHIJ^Tmcj?0132`>OHIJ^Taxv?012f?LIFK]Ufyu>?013e?LIFK]Ufyu>?0132a>OHIJ^Taxv?0121b>OHIJ^Taxv?01215c=NGHI_S`{w012374cOHJZ>0EBM\5:KLGV723@EH_?;4INAP7f=NGJYTmcj?0122a>OHKZUjbi>?01]jiuYNGJYTmcj?0122b>OHKZUha}Qjq123472OHKZUha}Qjq123672OHKZUha}Qjq123072:j6G@CR]`iuYby9:;9?:4INAP[fkwWl{;<=;Pilr\MJETWjg{Sh?0172b>OHKZUha}Qjq123272OHKZUha}Qjq123<72XadzTEBM\_bos[`w7892:j6G@CR]`iuYby9:;5?:4INAP[fkwWl{;<=7Pilr\MJETWjg{Sh?01;2b>OHKZUha}Qjq1224724INFLJ@Yhz9:;>8:KL@JHBag=0EBJ@NDp7?LIK8j1BCA>Pmtz3456c3@EGPmtz34566;l1BCA>Pmtz34566Pmtz34565m2CD@=Qbuy234546m2CD@=Qbuy234556m2CD@=Qbuy234526m2CD@=Qbuy234536m2CD@=Qbuy234506l2CD@=Qbuy23451b3@EGPos23457d3@EG_omw4566W`g{SDAC1^llp56798?0EBBKS89JKKVUmhnr=<5FOORQadb~Whdo<=>?299JKKVUmhnrSl`k0123[lkwW@EE\_kndx]bja6789;=7DA_WBQ:?LIW_[ojht?7;HMSSWcflpUba}QFOQUQadb~9>1BC_J]EPd8MJTCZL[Tmcj?01210>OHZMXN]Road1234ZojxVCD^I\JQ^cm`56788l0EB\KRDS\ip~789::<6G@REPFUZkrp9:;<;HMQ@WCVWds<=>?1032?LIUL[OZS`{w012364763@EYH_K^_lw{4567;8;;7DA]DSGR[hs89:;8;1028MJTCZL[Taxv?012654=NG[NYI\Qbuy234536981BC_J]EP]nq}6789<:==5FOSFQATYj}q:;<=9>3:KLVATBYVg~t=>?0^knt==NG[NYI\|9;HMQATt6:2CD^H_}_hos[LIUMXx:96G@RV3g?LIU_8Ujbi>?0103?LIU_8Ujbi>?01]jiuYNG[]:Sl`k01235f=NG[]:Sb|?0122a>OHZ^;Tc>?01]jiuYNG[]:Sb|?01227>OH[=1BC^>l;HMP4Zgil9:;<=1BCX>l;HMV4Zkrp9:;OH]8Uecy>?00]jiuYNG\;Tbbz?01321>OH]MY<7DAXRSGRb>OH_[XN]Rczx123446109JKRTUMXUfyu>?011255=NG^XYI\Qbuy23452692CD[_\JQ^ov|5678=;:<6G@WSPFUZkrp9:;<8?>;HMTVWCVWds<=>?5032?LIPZ[OZS`{w012324773@E\^_K^_lw{4567?890EBY]RDS\ip~789:Te`~7;HMTVWCVz;1B_95FSUAf?LUSKVcf|RG\TB3g?LUXkdzTi|>?01f8MVYdeyUn}=>?1e9JWZejxVoz<=>=d:KP[fkwWl{;<==<;Hl37>Oi9:1Bb?=4Io10?Lh3;2Ce9h5DEDR\LLCUI[ICU:5COFK@EIbJWEVKOHR]ZB^1g?IVJWHNOS^[M_5`8HUKXJ\Y_^D?k;MRN[FIRF]OYSi5CPL]@KPHSM[U8h6B_M^ALQKRBZV>o7A^B_BMVJQCUW<20@]CPDGD\=>JWEVNMJR?n;MRN[A@AW8:j7A^B_EDE[47f3EZFSIHI_00b?IVJWMLMS<=n;MRN[A@AW8>j7A^B_EDE[43f3EZFSIHI_04b?IVJWMLMS<9n;MRN[A@AW82j7A^B_EDE[4?>3EZFSIHI_3c8HUKXLOLT>=o4LQO\@C@X:8k0@]CPDGD\67g9o4LQO\@C@X:5o4LQO\@C@X:030@]CPDGD\7d=KXDUOJKQ<0`9OTHYCNOU8=l5CPL]GBCY4:h1G\@QKFG]07d=KXDUOJKQ<4`9OTHYCNOU89l5CPL]GBCY4>h1G\@QKFG]03d=KXDUOJKQ<8`9OTHYCNOU8545CPL]GBCY3i2F[ARJIF^63e>JWEVNMJR:>9:NSIZBANV?27A^B_EDE[3?0?a8HQojm{6:=7>1a:NWmhcu48;556B[ilgq848>3E^bah|32?;8HQojm{68245CThofv92912F_e`k}<4<:?IRnelx7:374LUknaw:0601GXdcjr=:==>JSadoy0407;MVji`tSK890@YgbesV@[fkwWl{;<=>>4:NWmhcu\JUha}Qjq12344733E^bah|[C^antZcv89:;><:4LUknawRDWjg{Sh?012052=K\`gn~YMPclr\at6789Uba};4Lncg5a=Kghn:Sca{012265=Kghn:Sca{0122[lkwWEejh9m2GscQ]erwop9?9:2D;>6@>2:L16>H4:2D?>6@:1:M0?JT5=2EY>O?:;NP1F757B\5@R968Kpr7m2E~x=Qfmq]Lqq66<2E~xIr|;Uba}Q@uu020>Ir|:o0Cxz<_hos[Jss;8>0Cxz;4:Mvp02>3^N7?UGU\h1[ECQMURKG\g=WAGUIY^@NMD18TWC63X>0]1>15:S?55803X6:=7>15:S?54813X6:6=0;;P>2:3=V4;0;295^<3<5?T:4294?7\2<>79R81<76=1Z090;;P>6:1=V4?4?7\28>59R8=833X622?5^S49RW969?2[X0<4?>49RW979i2[XSl`k01235d=V[Vkeh=>?0^kntZWTWhdo<=>?1`9RWZgil9:;=?00c8UZ7Xg{:;<=Qfmq]R[4Yhz9:;<<64QnQQadb~9:1Zc^\jae{\ghvXmx:;<=4QnQQadb~Wge<=>>279RkVTbimsTbbz?013\mhvXYfYYiljv_omw45669o1Zc^\jae{\kw67898?7\a\Rdcg}Ziu89:;Sdc_PmPV`gcqVey<=>?1g9RkVTbimsTc>?0007?TiTZlkouRa}0122[lkwWXeX^hoky^mq45669<1YM1>16:PB846902XJ0>49QE949=2XJ0>0:;SC?0;3285]A=4=1>TF4>4>7_O38?78VD:>6:1YM@;4R@O@W2=UIDIX?03325>TFE[ojhtQbuy234556<2XJA_kndx]nq}6789Uba};4R@QFHa=UIZOGSl`k012365=UIZOGSl`k0123[lkwW[KXIAQnne23457c3[KXIAQbuy2345c7_O\EOf8VDUBFVg~t=>?0d9QEVCIWds<=>?1g9QEVCIWds<=>?10d8VDUBFVg~t=>?033f?WGTMGUfyu>?01125>TF[LDTaxv?012\mhv23[KX~k;4R@Qqva=UIZxyS`{w0123a>TF[{xTaxv?0122b>TF[{xTaxv?01225c=UIZxyS`{w012364cPmtz345669l1YMR>Pmtz345659m1YMR>Pmtz34564991YMR>Pmtz3456Xadzo7_OP11]nq}6789o0^LQ>0^ov|56788l0^LQ>0^ov|56788;m7_OP11]nq}67898:i6\N_02\ip~789:8=<5]A^33[hs89:;Sdcd:PB[47Xe|r;<=>j;SC\54Yj}q:;<=?i;SC\54Yj}q:;<=?>f:PB[47Xe|r;<=>=1d9QEZ76Wds<=>?3038VDY69Vg~t=>?0^kntf=UIV;Taxv?012g?WGX9Vg~t=>?00g8VDY6Wds<=>?10g8VDY6Wds<=>?20f8VDY6Wds<=>?3028VDY6Wds<=>?_hosg>TFW;Ufyu>?01f8VDY5Wds<=>?1d9QEZ4Xe|r;<=>>1d9QEZ4Xe|r;<=>=1e9QEZ4Xe|r;<=><119QEZ4Xe|r;<=>Pilr`?WGX;Vg~t=>?0e9QEZ5Xe|r;<=>>e:PB[6Yj}q:;<=?>e:PB[6Yj}q:;<=<>d:PB[6Yj}q:;<==>0:PB[6Yj}q:;<=Qfmqa8VDY3Wds<=>?d:PB[1Yj}q:;<=?j;SC\0Zkrp9:;<??;SC\0Zkrp9:;k;SC\1Zkrp9:;<4R@]6[hs89:;Sdcc:PB[3Yj}q:;<=j4R@]5[hs89:;=h5]A^4\ip~789::=h5]A^4\ip~789:9=i5]A^4\ip~789:8==5]A^4\ip~789:Te`~l;SC\3Zkrp9:;S=95]OLAP0]YneyUYC@M\4Y324>THEJYTe`~PRNO@W44{oSge55=U[9~d^hhPaof34565>2XX>119QW5rhZllTmcj?01312>TT8}eYikQnne2344YneyUY_=z`Rdd\ekb789;:==5]S1vlV``Xign;<=<=6:PP4qiUmoUjbi>?03]jiuYU[9~d^hhPaof34546991Y_=z`Rdd\ekb78999:6\\0umQacYffm:;<>Qfmq]QW5rhZllTmcj?011253=U[9~d^hhPilr\VV6sg[om=i5]S^antZcv89:;h6\\_bos[`w789;o7_]Pclr\at678;n0^^Qlmq]fu567;m1Y_Rmbp^gr4563l2XXSnc_ds3453c3[YTo`~Pep2343b?219Qadb~Whdo<=>?_hos[WcflpUjbi>?013g?WcflpUjbi>?0003?WcflpUjbi>?00]jiuYUmhnrSl`k0122546?0368V``CggoTmcj?012\mhvXZllOcckPaof34566n2XnjIaae^llp5679;>0^hhKoog\jjr789;Te`~PRddGkkcXff~;<=?>e:PfbAiimVey<=>?239QacBhflUd~=>?0^kntZTbnMeeiRa}012353=UmoXn}55]egPfuLhb3[om^hPaof34565:2Xnj_k~_`lg4567W`g{S_kiRds\ekb789::=?5]egPfuZojxVXnj_k~1d9QacTbyVddx=>?0308V``UmxUecy>?01]jiuYUmoXn}R``t12344055]egWqcZejxVoz<=>?_hos[Wca]{mTo`~Pep234576:2XnjX|h_hos[Wca]{m:h6\jfTpd[jt789:9<6\jfTpd[jt789:Te`~PRddVvbYhz9:;<<84Rdqvhq?`9Qavsk|5;;2n5]erwop976294j7_k|umv?548e3[oxyaz31;2==>Tb{|f0<06;Sgpqir;:7h0^h}zlu>0>58>3[oxyaz33?`8V`urd}6?6=06;Sgpqir;<730^h}zlu>6:<=Umzgx1819:Pfwpjs4>427_k|umv?<;?348V`urd}U;Sca{0123[lkwW[oxyazP0^llp56788h0_DIMUR]JJCIf3ZCLSO[\IEZa?VO@WK_XBLCJ5:QT84823Z]7>394SV>0>4823Z]7?3:4Sbnq0>Ud{}>0_h`{c:QfjqYffm:;<=?j;RgmpZgil9:;l5\nePBIFUPZVkeh=>?0^kntZUil[KFO^Y]_`lg45679880_cj]ALAPSWYffm:;<<Uil[KFO^Y]_bos[`w789:Te`~PSofQEHET_[Uha}Qjq12344723Zdo^LCLSVP\ghvXmx:;<<=?;RlgVDKD[^XTo`~Pep2344YneyUXbi\NMBQTVZejxVoz<=>>1078WkbUIDIX[_Qlmq]fu567:::0_cj]ALAPSWYdeyUn}=>?2^kntZUil[KFO^Y]_bos[`w7898:=85\nePBIFUPZVif|Rk~012075=TfmXJAN]XR^antZcv89:8Sdc_RlgVDKD[^XTo`~Pep234676=2Yeh_OBCRUQ[fkwWl{;<=:<0:Qm`WGJKZ]YSnc_ds3452XadzT_cj]ALAPSWYdeyUn}=>?4036?VhcZHGH_Z\Pclr\at678<9;7^`kR@O@WRTXkdzTi|>?04]jiuYTfmXJAN]XR^antZcv89:>=?01]jiuYTfmXJAN]XR^mq456798;0_cj]ALAPSWYhz9:;=?64SofQEHET_[Ud~=>?1^kntZUil[KFO^Y]_np34576981Xbi\NMBQTVZiu89:9>55\nePBIFUPZVey<=>=_hos[VhcZHGH_Z\Pos23477?3Zdo^BCLS59Pw`r53]Yo7Y]Pclr\at6789n0X^Qlmq]fu5679m1__Rmbp^gr4565l2^XSnc_ds345533]S[I85[ilgq<>Rnelx7<364Thofv97902^bah|32?c8Plkbz591<364Thofv959?2^bah|[C008Plkbz]ITo`~Pep23454f3]cfiZL_bos[`w789:Te`~PThofvQEXkdzTi|>?013g?Qojm{Ujbi>?0103?Qojm{Ujbi>?01]jiuYSadoySl`k012352=Sz`Njxl=4VBA:?SOB_V^R\H:4VQCqg>PWI{Uecy>?003f?SVFzVddx=>?1^kntZPWI{Uecy>?003b?SocZHGH_Z\k;WkgVDKD[^X=8f?7;WkgVDKD[^X=8fQlmq]fu5678830Zdj]ALAPSW03cVif|Rk~012354?<^`nYM@M\WS47oZejxVoz<=>?20;8RlbUIDIX[_8;k^antZcv89:;?=5YiePBIFUPZ?>`Sdc_WkgVDKD[^X=8f?>5:Tj`WGJKZ]YSnc_ds3456482\bh_OBCRUQ[fkwWl{;<=>Pilr\RlbUIDIX[_Qlmq]fu56788;>7[gkR@O@WRTXkdzTi|>?0013?SocZHGH_Z\Pclr\at6788Uba}QYiePBIFUPZVif|Rk~0122543<^`nYM@M\WS]`iuYby9:;>>>4VhfQEHET_[Uha}Qjq1236ZojxV\bh_OBCRUQ[fkwWl{;<=<>149UmaTFEJY\^Rmbp^gr4564;91]ei\NMBQTVZejxVoz<=><_hos[SocZHGH_Z\Pclr\at678:;:96XfdSCNGVQUWjg{Sh?01604>Pnl[KFO^Y]_bos[`w789>Te`~PVhfQEHET_[Uha}Qjq12304723_co^LCLSVP\ghvXmx:;<8=?;WkgVDKD[^XTo`~Pep2340YneyU]ei\NMBQTVZejxVoz<=>:1078RlbUIDIX[_Qlmq]fu567>::0Zdj]ALAPSWYdeyUn}=>?6^kntZPnl[KFO^Y]_bos[`w789<:=85YiePBIFUPZVif|Rk~012475=QamXJAN]XR^antZcv89:XadzTZdj]ALAPSWYdeyUn}=>?8036?SocZHGH_Z\Pclr\at67809;7[gkR@O@WRTXkdzTi|>?08]jiuYQamXJAN]XR^antZcv89:2=<;4VhfQEHET_[Uha}Qjq122466<^`nYM@M\WS]`iuYby9::319UmaTFEJY\^Rmbp^gr4576W`g{S[gkR@O@WRTXkdzTi|>?1032e>Pnl[KFO^Y]_hos[SocZHGH_Z\>109UmaTFEJY\^Ra}012357=QamXJAN]XR^mq45679880Zdj]ALAPSWYhz9:;?01121>Pnl[KFO^Y]_np3456Xadz97Z];;Vcjp2=Pi`~7<394W`kw84803^kbx1<17:Ubmq:4601\mdz34;2=3>Qfa}6?2;5XasrPA4b2Rd0=0>6:Zl8585>2Rd0=0<9:Zl858Xadz87U}{9:ZppBKhllfi7Rokd1234969k2Ujhi>?01>24;c?<0394;e?<03=`>Yflm:;<=2>:1?01>7:g=Ximn;<=>35?`8[dbc89:;0;0m;^cg`56785=5n6Qnde2345:?6k1Tmij?012?=;>?179\ekb789:Te`~P_`lg4567911Tmcj?01322>Yffm:;<?2^kntZYffm:;?30:8[dhc89:?=;5Paof3452XadzTSl`k01275==Xign;<=;>6:]bja678?0735?Zgil9:;:Rgbp^]bja678?;37Road1233408_hos[Zgil9:;;<64_`lg456?9?1Tmcj?01:\mhvXWhdo<=>7199\ekb7893::6Qnne234Yj}q:;<=94_np34566<2Ud~=>?0^kntZYhz9:;<<94_np34576<2Ud~=>?1^kntZYhz9:;=<;4aeffv`=ffm:;<=Q`r123474Pos2345YneyUjbi>?01]lv5678890ocz8;bmvjqcu:2ix?6jif89gmwcd|`ee?6h|b59jkgk33`ei45aAEmvpIC7911eMIaztMG3[lkwWgKOcxzCE1324>hFLf@H>Pos2345413gKOcxzCE1]lv5678Vcf|R`NDnwwH@6Xg{:;<=?6;oCGkprKM8;37cOKotvOA4YneyUeMIaztMG256=iIZ=0bL]PFR@4?kGTW@EI=?5aAR]JKGYiIZUBCOazt`9mEVYNGKe~x;5aARmvp`=iIZe~xRoad123474?169mEjssGL;?7cO`uuMF[lkwWgKdyyAJ149mF@TU12dII_\PFR@25>hEM[XTJ^LPaof3456502dII_\PFR@\ekb789:Te`~PnCGQVZ@TJVkeh=>?00;8jGCUZVCDN:5aBF]JKG1?259mFWYNGKUjbi>?01]jiuYiJ[UBCOQnne23457a3gHYSDAM_lw{4567991eN_QFOC]nq}6789;:=6`MR^KLFZkrp9:;<1:lAVZOHJVg~t=>?03324>hEZVCDNRczx12346743gHYSDAM_lw{4567W`g{i6`MR^KLFZiu89:;>?5aBS]JKGYhz9:;?159mGDUd3gIJ_Rczx1234a=iKHYTaxv?0122a>hDIZUfyu>?0132`>hDIZUfyu>?010f?kEF[Vg~t=>?033g?kEF[Vg~t=>?02g8jFGTWds<=>?30g8jFGTWds<=>?40f8jFGTWds<=>?5028jFGTWds<=>?_hos2>hC\HI@m6`KT@AH[CUEi2dOXLMD_HMAa>hC\HI@Sl`k012367=iL]KHGRoad1234ZojxVdOXLMD_`lg45679l1eHYOLK^llp5679;80bIZNCJ]mkq6788Uba}QaDUC@OZhh|9:;=<84nEkmH@b2dOecAJe:lGmkIBWhdo<=>?239m@lhHMVkeh=>?0^kntZhCagENSl`k012354495aDnwwK@Yffm:;<?00320>hCg|~DIRgbp^lGkprHM8o0bIaztNG\kw6789897cJ`uuMF[jt789:Te`~PnEmvpJCXg{:;<=?:;oKSH70:4nMFP<>hKLZUM_O64nMFP[LIE9=1e@I]PIN@\jIBTW@EIcxzm;oNGWZOHJfo6`CDR]bja6789;n7cBKS^cm`5678Vcf|R`CDR]bja6789;h7cBKS^cm`56798o0bAJ\_`lg4566W`g{ScBKS^cm`56798i0bAJ\_`lg45659l1e@I]Paof3454XadzTbAJ\_`lg45659j1e@I]Pnnv34576m2dGH^Qaou2344YneyUe@I]Pnnv34576j2dGH^Q`r12344ehK_Li0bAYJ_`lg45679l1e@ZKPaof3456XadzTbAYJ_`lg45679l1e@ZKPilr\jIQB9:1eCHl4nNG\ekb789::o6`@E^cm`5678Vcf|R`@E^cm`56788h0bBKPaof34576k2dDIRoad1235ZojxVdDIRoad12354dhHMVddx=>?1^kntZhHMVddx=>?10:8jJpbzekr==5aOwgqhdXign;<=>=6:lLr`tkipUjbi>?01]jiuYiGoy`lwPaof34566991eC{k}l`{\ekb789;9:6`@vdpoe|Yffm:;<2dDzh|cax]mkq6788Uba}QaOwgqhdXff~;<=?>7:lQEHJPM>1e^LCZWD68jWGTk2dYM^Qnne23457b3gXJ_Road1234ZojxVdYM^Qnne23457b3gXJ_Rgbp^lQEV7e3gXJ_Ra}01235f=iZHYTc>?01]jiuYiZHYTc>?0137?kTB[j1e^H]Pnnv34576m2dYI^Qaou2344YneyUe^H]Pnnv34576?2dYI^azt79mVJKD[880b_ABCR]jiuYiZFGH_<84nSMNS@c6`]OLUF[lkwWgXDAZK>4:lV@V>?259mRHGXkdzTi|>?01]jiuYi^DKTo`~Pep23457d3g\FMR``t12354cvhz}Uh`fo4pnpw[fjlmg30|b|{_ecwe==wg{~Tc}o9;qmqpZwe3yeyxR|jstnw=>vhz}Uxoa|6;qmqpZutm};0~>5}al:8vqjX|pzn=6}i;rmvvfcXn`fnblcjd:qlqwebWeceicl=;tr:?sgk}lUhec74v`nvaZiwj11so4;?0ynf?}e>=:7<6sZh26>hi:3;0>453j;lo6=92d8j449;%1e3?5a92wXn54db13?a5a83:1=7?tSc;97c`=:091=>:m2gf95ae5l2|M?8=50;395?6|[k31?kh52819562e:on1=im=d:&0f`<5901/>4:54dc8f6`7290?n7?=:7`xH6`32;qC><64$3;7>1c>3tF8j84>{%6f0?05<>o3>i3=h0;66a;4g83>>oa:3:17d??e;29?l21?3:17b:n3:17d:ld;29?j2e:3:17b:60;29?ld52900elh50;9l063=831d8>750;9j02c=831b89k50;9l0dg=831d8;m50;9l02`=831d8n<50;9l03`=831d8:=50;9j0a5=831d89o50;9l6f2=83.95;4=c29m6<3=821d>n<50;&1=3<5k:1e>4;51:9l6fb=83.95;4=c29m6<3=:21d>nm50;&1=3<5k:1e>4;53:9l6fd=83.95;4=c29m6<3=<21d>no50;&1=3<5k:1e>4;55:9l6f?=83.95;4=c29m6<3=>21d>n650;&1=3<5k:1e>4;57:9l6f1=83.95;4=c29m6<3=021d>n850;&1=3<5k:1e>4;59:9l6f3=83.95;4=c29m6<3=i21d>n?50;&1=3<5k:1e>4;5b:9j=4<72-82:77?;o0:1?6<3`2m6=4+2849=5=i:0?1=65f8d83>!4>>33;7c<65;08?l>c290/>485919m6<3=;21b4o4?:%0:2??73g8297:4;h:b>5<#:0<15=5a28791>=n000;6)<66;;3?k4>=3<07d67:18'6<0=191e>4;57:9j<2<72-82:77?;o0:1?><3`2=6=4+2849=5=i:0?1565f8483>!4>>33;7c<65;c8?l>3290/>485919m6<3=j21b4>4?:%0:2??73g8297m4;h:1>5<#:0<15=5a2879`>=n100;6)<66;;3?k4>=3o07d77:18'6<0=191e>4;5f:9j=2<72-82:77?;o0:1?7732c2:7>5$3;5><665<#:0<15=5a287957=1<7*=978:4>h51<0:?65f9283>!4>>33;7c<65;37?>o>:3:1(?79:828j7?228?07d6l:18'6<0=191e>4;51798m6>>290/>48539:8j7?22910e>68:18'6<0=;120b?7::098m6>1290/>48539:8j7?22;10n>h<:182>5<7s-9i<7?:4:J0b7=h9<91<75rb2d5>5<6290;w)=m0;1a`>N4n;1d>4<50;9~f14a290:h7=<:55xH6`328qC><64}M1e1?4|f=o>69>4$5g7>3=z,:h;6oo4Z`f95~7f2tP84>4>{0;9yld22900c>=k:188k4d02900c?j?:188k6?62900el;50;9j0d0=831d=:950;9j0a4=831d>4>50;9l6f`=831d?>m50;9l50c=831b9i4?:%0:2?3d3g8297>4;h7a>5<#:0<19n5a28795>=n=h0;6)<66;7`?k4>=3807d;7:18'6<0==j1e>4;53:9j13<72-82:7;l;o0:1?2<3`?>6=4+28491f=i:0?1965f5583>!4>>3?h7c<65;48?l34290/>4855b9m6<3=?21b9?4?:%0:2?3d3g829764;h72>5<#:0<19n5a2879=>=n=90;6)<66;7`?k4>=3k07d:i:18'6<0==j1e>4;5b:9j0`<72-82:7;l;o0:1?e<3`>o6=4+28491f=i:0?1h65f6483>!4>>3?h7c<65;g8?l03290/>4855b9m6<3=n21i84950;796?3|D:l?6l?:306?ldd2900eoj50;9jg4<722e9554?::k05;h`g>5<109K7c403:17pl4<729q/?o>51468L6`53f;>?7>5;|qag?6=:rTio638:`g8yvdc2909wSlk;<59f1=z{;=96=4={<1e7?72;27<6o84}r047?6=:r7<6?77;_0:<>{t:>>1<75<7s-9i<7<8a:J0b7=n9<<1<75f14594?=h:>21<75rsca94?4|Vki01:4mc:pfa<72;qUni52435950152z\1===:?38246s|39c94?5|V:2j7095c09>071=9<<0q~:=6;296~;02kn019<8:35;?x{e9091<7k53;33!5e83;2?6a>7683>>o6?m0;66g>7g83>>o6080;66g>8283>>o60<0;66g>8683>>o6000;66g>8c83>>o60m0;66g>8g83>>o6180;66g>7c83>>o4k;0;66l>7983><<729q/?o>52918L6`53`;>:7>5;h363?6=3`;>47>5;h36=?6=3`;>m7>5;h36f?6=3`;>o7>5;h36`?6=3f8<47>5;|`23<<72?0;6=u+3c296=6<@:l97d?:6;29?l72?3:17d?:8;29?l7213:17d?:a;29?j4003:17pl>7`83>6<729q/?o>526;8L6`53`;>:7>5;h363?6=3f8<47>5;|q232<72;qU=:94=05b>71?3ty:;i4?:3y]52b<58=36<;9;|q23c<72;qU=:h4=05;>4303ty:4<4?:3y]5=7<58=36<;7;|q2<6<72;qU=5=4=05;>43>3ty:484?:3y]5=3<58=36<;m;|q2<2<72;qU=594=05;>43f3ty:444?:3y]5=?<58=36<;l;|q24303ty:4i4?:3y]5=b<58=36<;k;|q24313ty:5<4?:3y]5<7<58=26<;6;|q23g<72;qU=:l4=05:>43?3ty8o?4?:3y]7f4<58=26<;n;|q23=<72;q6=:6526:8941f28?=7p}>7883>7}:9>31>:64=05b>4303twi?n650;;97?d|,:h;6>m7;n1`0?6=3`?86=44i4694?=n=<0;66g:6;29?l3?2900e8o50;9j1g<722c>h7>5;c1`1?6==3:1N4n;1b=8850;9j501=831b=8650;9j50?=831d>:650;9~f6e1290>6=4?{%1a4?1?3A9m>6g>5783>>o6=>0;66g>5983>>o6=00;66a=7983>>{e;j=1<7=50;2x 6d72>:0D>h=;h362?6=3`;>;7>5;n04?631<7599~w00=838pR884=2a6>43>3ty>47>52z\6<>;4k?0:955rs4c94?4|Vm9:07:?xu2j3:1>vP:b:?0g3<6=?1v8j50;0xZ0b<5:i=6<;8;|q0g0<72;q6?n;526:896e028?=7p}7}:;j<1>:64=2a4>4303twi?h950;f97?`|,:h;6>k8;n1f7?6=3`9hm7>5;h1`g?6=3`9hi7>5;h1g4?6=3`9o>7>5;h1g0?6=3`9o:7>5;h1g5;h1gg?6=3`9oi7>5;h1f4?6=3k9n87>59;294~"4j9094>5G3g08m4312900e<;8:188m43?2900e<;6:188m43f2900e<;m:188m43d2900e<;k:188k71?2900qo=j5;291?6=8r.8n=4=7g9K7c45<5<vP7`0=:>20q~=la;296~X4kh16?h:51458yv5dk3:1>vP7`2=9<<0q~=le;296~X4kl16?h:514:8yv5c83:1>vP7`2=9vP7`2=9vP7`2=9vP7`3=9<20q~=ke;296~X4ll16?h;51458yv5b83:1>vP7`3=9<30q~=j4;296~;4m=09;5523d4950052z?0a0<5?116?h851458yxd5180;6?4?:1y'7g6=9?;0D>h=;h361?6=3f8<47>5;|`07`<72<0;6=u+3c293<=O;o80e<;9:188m4302900e<;7:188m43>2900c?97:188yg54n3:1?7>50z&0f5<5?h1C?k<4i075>5<5<53;294~"4j90<>6F=831vn?j=:180>5<7s-9i<79?;I1e6>o6=?0;66g>5683>>i5?10;66sm3b;94?5=83:p(>l?:638L6`53`;>:7>5;h363?6=3f8<47>5;|`0a7<72:0;6=u+3c2935=O;o80e<;9:188m4302900c?97:188yg5f:3:1?7>50z&0f5<082B8j?5f14494?=n9<=1<75`26:94?=zj8?m6=46:183!5e83=n7E=i2:k213<722c:9:4?::k21=<722c:944?::k21d<722c:9o4?::k21f<722c:9i4?::m13=<722wi84750;194?6|,:h;6:>4H2d1?l72>3:17d?:7;29?j4003:17pl0<729q/?o>5789K7c45<5<N4n;1b=8850;9j501=831b=8650;9j50?=831d>:650;9~f6ea290>6=4?{%1a4?1>3A9m>6g>5783>>o6=>0;66g>5983>>o6=00;66a=7983>>{e;m;1<7;50;2x 6d72>20D>h=;h362?6=3`;>;7>5;h3657>5;n044?:483>5}#;k:1;55G3g08m4312900e<;8:188m43?2900e<;6:188k71?2900qo=k5;291?6=8r.8n=487:J0b7=n9<<1<75f14594?=n9<21<75f14;94?=h:>21<75rb2f4>5<2290;w)=m0;5;?M5a:2c:9;4?::k212<722c:954?::k21<<722e9;54?::a7a?=83?1<7>t$2`3>21<@:l97d?:6;29?l72?3:17d?:8;29?l7213:17b<88;29?xd4lk0;684?:1y'7g6=?11C?k<4i075>5<5<5<55;294~"4j90<;6F=831b=8750;9l62>=831vn>ji:186>5<7s-9i<798;I1e6>o6=?0;66g>5683>>o6=10;66g>5883>>i5?10;66sm3d394?3=83:p(>l?:648L6`53`;>:7>5;h363?6=3`;>47>5;h36=?6=3f8<47>5;|`0=2<72<0;6=u+3c2932=O;o80e<;9:188m4302900e<;7:188m43>2900c?97:188yg5>13:197>50z&0f5<0?2B8j?5f14494?=n9<=1<75f14:94?=n9<31<75`26:94?=zj:3i6=4::183!5e83==7E=i2:k213<722c:9:4?::k21=<722c:944?::m13=<722wi?4j50;794?6|,:h;6:74H2d1?l72>3:17d?:7;29?l7203:17d?:9;29?j4003:17pl<9g83>0<729q/?o>5789K7c45<5<N4n;1b=8850;9j501=831b=8650;9j50?=831d>:650;9~f41b290>6=4?{%1a4?113A9m>6g>5783>>o6=>0;66g>5983>>o6=00;66a=7983>>{e91:1<7;50;2x 6d72>=0D>h=;h362?6=3`;>;7>5;h3657>5;n045}#;k:1;:5G3g08m4312900e<;8:188m43?2900e<;6:188k71?2900qo?74;291?6=8r.8n=488:J0b7=n9<<1<75f14594?=n9<21<75f14;94?=h:>21<75rb0:5>5<2290;w)=m0;54?M5a:2c:9;4?::k212<722c:954?::k21<<722e9;54?::a5=>=83?1<7>t$2`3>2><@:l97d?:6;29?l72?3:17d?:8;29?l7213:17b<88;29?xd60h0;684?:1y'7g6=?11C?k<4i075>5<5<5<55;294~"4j90<56F=831b=8750;9l62>=831vn<6j:186>5<7s-9i<798;I1e6>o6=?0;66g>5683>>o6=10;66g>5883>>i5?10;66sm18294?3=83:p(>l?:6:8L6`53`;>:7>5;h363?6=3`;>47>5;h36=?6=3f8<47>5;|`2=7<72<0;6=u+3c293==O;o80e<;9:188m4302900e<;7:188m43>2900c?97:188yg5f<3:187>50z&0f5<5?j1C?k<4i075>5<5<N4n;1b=8850;9j501=831d>:650;9~f6g1290?6=4?{%1a4?40k2B8j?5f14494?=n9<=1<75f14:94?=h:>21<75rb2;6>5<4290;w)=m0;53?M5a:2c:9;4?::k212<722e9;54?::a7d>=8391<7>t$2`3>71>3A9m>6g>5783>>o6=>0;66a=7983>>{e9>i1<7=50;2x 6d72>;0D>h=;h362?6=3`;>;7>5;n045}#;k:1;?5G3g08m4312900e<;8:188k71?2900qo:6d;296?6=8r.8n=4>609K7c46=44o35;>5<6=4={_`6?82>?3hh7p}<3e83>0}Y;:n01>=j:35;?854n3;>;63;988212=:9>i1=894}r0g4?6=;rT9h=522e3962><5;n96<;9;|q0=4<72;qU?4?4=2c1>71?3tyj97>53z\b1>;3100:9;5248`9500j:7>52z\7e3=:<0h1=894}r343?6=:rT:;:521819521o>7>52z\7`7=:;j31=884}r0:4?6=:rT95=52283962>52z\1gc=::m81>:64}r10g?6=:rT8?n5232d962>i7>52z\21`=:9:64}r34`?6=:r7:5>4>7e9>52c=:>20q~?8f;296~;61:0:;k52192962>52z?2=6<60816=5<526:8yv7?;3:1>v3>9282<6=:91>1>:64}r3;1?6=:r7:5>4>849>5=0=:>20q~?77;296~;61:0:4:5219:962>52z?2=6<61816=4<526:8yv7?13:1>v3>9282<<=:91k1>:64}r3;f?6=:r7:5>4>8c9>5=e=:>20q~?7d;296~;61:0:4i5219g962>52z?2=6<60o16=4>526:8yv5>>3:1>v3<96813==:;h>1=884}r1:7d2=9<=0q~=6a;296~;41k09;5523`6950>52z?0=a<5?116?l851448yv5>m3:1>v3<9g813==:;h<1=894}r1b4?6=:r78m<4=799>7d0=9<20q~=62;296~;41:09;5523`:950052z?0=0<5?116?l651458yv2>k3:1>v3;9680:64}r1`0?6=:r78o547f?=9<=0q~?8b;296~;61:0:;o5216a962>52z?0a2<4m:16?h<51458yv2>?3:19v3;9681===::0;1=8;4=21e>431348o>7?:7:?23f<6=?1v>m<:18085d138<463j38<463;9e8210=z{:k86=4={<1b0?4002785>4>569~w6g22909w0=n6;04<>;41<0:9:5rs2c4>5<5s49j>7?:7:?0e=<5?11v>mn:18185b?39hm63;4ko09;55rs2f3>5<5s49n;7=k0:?0`4<5?11v>j=:18185b?39o>63;4lo09;55rs2a1>5<4sW;i;63>9280g7=:;l81>:64}r1f4?6=;r78i:47`7=:>201>7<:075?xu4l?0;6>u23d597a0<5:n<6?97;<1:1?72>2wx?i650;0x96c02:n370=k9;04<>{t;mk1<76bf349on7<88:p7ae=838p1>k8:2f`?85cl38<46s|5e83>46|Vm7:4f8965b28?370;6=o0:9i5216g950?<582;6<;6;<3;6?72127:494>589>5=0=9<301<67:07:?87?i3;>563>8b821<=:91o1=884=0;3>43034;2>7?:7:&0<0<2k2d8494?;|q6f?6=99qU9o523b:91g=:;:o1=874=3f2>43134;>j7?:c:?23`<6=116=5>514:894>528?370?74;36<>;60?0:9;5219:9501<582j6<;8;<3;g?72027:4h4>589>5<6=9<301<7=:07:?!5?=3?h7c=74;38yv3f290mwS;n;<1`728?<70?72;362>;60=0:9:52194950><58236<;7;<3;e?72>27:4n4>569>5=c=9<201<7?:07;?87>:3;>:6*<8486g>h40=097p}:8;29b~X20278o54:8:?07`<6=?16=8h514c8941b28?=70?70;362>;60;0:9:521969500<582=6<;8;<3;27:4l4>599>5=e=9<<01<6j:074?87>83;>:63>93821==#;1?19n5a39697>{t=?0;6>uQ579>7f>==?16=8h514;8 6>226;:59~w03=839pR8;4=2a;>03<58?m6<;7;%1;1?3d3g9387;4}r77>5<4sW??70=l8;77?872n3;>;6*<8486g>h40=0=7p}:3;297~X2;278o54:3:?21c<6=?1/?5;55b9m7=2=?2wx9?4?:ey]17=:;jh1=864=2ag>430349hj7?:8:?0`4<6=>16?i=5145896b228?=70=k7;36=>;4l00:94523e`950?<5:no6<;6;<1gb?721278i<4>589'7=3==j1e?5:58:p14<72mqU9<523b`9501<5:io6<;9;<1`b?721278h<4>589>7a5=9<301>j::07:?85c?3;>;6343?349oj7?:8:?0a4<6=11/?5;55b9m7=2=12wx9=4?:ey]15=:;jh1=874=2ag>43>349hj7?:7:?0`4<6=?16?i=514:896b228?370=k7;36<>;4l00:95523e`9501<5:no6<;9;<1gb?72?278i<4>569'7=3==j1e?5:5a:p0c<72mqU8k523b`9500<5:io6<;7;<1`b?72>278h<4>599>7a5=9<<01>j::074?85c?3;>:63430349oj7?:6:?0a4<6=?1/?5;55b9m7=2=j2wx8h4?:6y]0`=:;0=1=874=2;:>43>3492n7?:9:?0=a<6=116?4h514:896g628?<7)=75;7`?k5?<3i0q~:k:184[2c3492;7?:8:?0=<<6=116?4l514:896?c28?<70=6f;363>;4i80:9;5+39791f=i;1>1h6s|6483>2}Y><16?495144896?>28?<70=6b;363>;41m0:9;5238d950?<5:k:6<;6;%1;1?3d3g9387k4}r47>5<0sW;4100:9;5238`9500<5:3o6<;6;<1:b?72>278m<4>599'7=3==j1e?5:5f:~f1c62908:7=;:4fxH6`328qC><64}M1e1?4|f=o>69j4$5g7>3=z,:h;6?mj;[cg>7}6;3;o6pT<828140=9j0veo;50;9je2<722c?:84?::m7fa<722c??o4?::m7ef<722e?m=4?::k1`5<722e?9l4?::kb1?6=3`9=n7>5;n6:4?6=3`>5;h150?6=3`>o>7>5;h6a4?6=3`8hj7>5;n6be?6=3`98o7>5;n0g7?6=3f>3o7>5;n0`0?6=,;3=6?m<;o0:1?6<3f8h>7>5$3;5>7e43g8297?4;n0``?6=,;3=6?m<;o0:1?4<3f8ho7>5$3;5>7e43g8297=4;n0`f?6=,;3=6?m<;o0:1?2<3f8hm7>5$3;5>7e43g8297;4;n0`=?6=,;3=6?m<;o0:1?0<3f8h47>5$3;5>7e43g829794;n0`3?6=,;3=6?m<;o0:1?><3f8h:7>5$3;5>7e43g829774;n0`1?6=,;3=6?m<;o0:1?g<3f8h=7>5$3;5>7e43g8297l4;h7b>5<#:0<1945a28794>=n=10;6)<66;7:?k4>=3;07d;9:18'6<0==01e>4;52:9j10<72-82:7;6;o0:1?5<3`??6=4+28491<=i:0?1865f5283>!4>>3?27c<65;78?l35290/>485589m6<3=>21b9<4?:%0:2?3>3g829794;h73>5<#:0<1945a2879<>=n=3307d:j:18'6<0==01e>4;5a:9j0a<72-82:7;6;o0:1?d<3`<>6=4+28491<=i:0?1o65f6583>!4>>3?27c<65;f8?l04290/>485589m6<3=m21b:?4?:%0:2?3>3g8297h4;h42>5<#:0<1945a287955=h51<0:=65f5g83>!4>>3?27c<65;31?>o2m3:1(?79:4;8j7?228907d;8:18'6<0==01e>4;51598f627290m6<4i{M1e0?7|@;;37pBoek3:17b<74;29?j4?>3:17b<78;29?j4?i3:17b<7c;29?j4?l3:17b<7e;29?j4?n3:17d<>2;29?l46;3:17d<>4;29?l46=3:17d<>6;29?l46?3:17o?;e;29b?b=9=qG?k:51zJ15==z,:h;6>:?;h026?6=3`8:?7>5;h020?6=3`8:97>5;h022?6=3`8:;7>5;h`e>5<5<5<5<5<5;c594?5=83:p(>l?:02e?M5a:2F8j94>{%354?2b82wbmh4?::k`5?6=3f8247>5;|qag?6=:rTio638:`g8yv40:3:1>v38:3;;?[4>02wx>:=50;0xZf7<5>0h=6srb03b>5<42808wA=i4;3xL77?3t.8n=4>129jg4<722e9554?::kag?6=3k=1<7=50;2x 6d728:m7E=i2:N0b1<6s-;=<7:j0:je`<722ch=7>5;n0:52z\ag>;02ho0q~<82;296~;02;337S<68:p625=838pRn?4=68`5>{zj88>6=4<:080I5a<3;pD??7;|&0f5<69:1bo<4?::m1==<722cio7>5;c594?5=83:p(>l?:02e?M5a:2F8j94>{%354?2b82wbmh4?::k`5?6=3f8247>5;|qag?6=:rTio638:`g8yv40:3:1>v38:3;;?[4>02wx>:=50;0xZf7<5>0h=6srb00`>5<42808wA=i4;3xL77?3t.8n=4>129jg4<722e9554?::kag?6=3k=1<7=50;2x 6d728:m7E=i2:N0b1<6s-;=<7:j0:je`<722ch=7>5;n0:52z\ag>;02ho0q~<82;296~;02;337S<68:p625=838pRn?4=68`5>{zj88m6=4<:080I5a<3;pD??7;|&0f5<69:1bo<4?::m1==<722cio7>5;c594?5=83:p(>l?:02e?M5a:2F8j94>{%354?2b82wbmh4?::k`5?6=3f8247>5;|qag?6=:rTio638:`g8yv40:3:1>v38:3;;?[4>02wx>:=50;0xZf7<5>0h=6srb016>5<42808wA=i4;3xL77?3t.8n=4>129jg4<722e9554?::kag?6=3k=1<7=50;2x 6d728:m7E=i2:N0b1<6s-;=<7:j0:je`<722ch=7>5;n0:52z\ag>;02ho0q~<82;296~;02;337S<68:p625=838pRn?4=68`5>{zj8936=4<:080I5a<3;pD??7;|&0f5<69:1bo<4?::m1==<722cio7>5;c594?5=83:p(>l?:02e?M5a:2F8j94>{%354?2b82wbmh4?::k`5?6=3f8247>5;|qag?6=:rTio638:`g8yv40:3:1>v38:3;;?[4>02wx>:=50;0xZf7<5>0h=6srb061>5<42808wA=i4;3xL77?3t.8n=4>129jg4<722e9554?::kag?6=3k=1<7=50;2x 6d728:m7E=i2:N0b1<6s-;=<7:j3:je`<722ch=7>5;n0:52z\ag>;02ho0q~<82;296~;02;337S<68:p625=838pRn?4=68`5>{zj89?6=4;:386!5e83;886g=1783>>o50l0;66g=8e83>>i6;90;66l>3283>6<729q/?o>5709K7c45<3:1>vP=179>565=9<<0q~<7e;296~X50l16=>=51458yv4?l3:1>vP=8e9>564=9<=0q~?<0;296~X6;916=><526:8yv7493:1>v3>32813==:9:81=884}|`204<72<086:u+3c295175<5<5<4290;w)=m0;53?M5a:2c:9;4?::k212<722e9;54?::a56d=8391<7>t$2`3>26<@:l97d?:6;29?l72?3:17b<88;29?xd6;m0;6>4?:1y'7g6=:>30D>h=;h362?6=3`;>;7>5;n045h4=01f>4303ty9=?4?:3y]644<589i6<;9;|q15m4=01a>4303ty:?44?:3y]56?<589o6?97;|q27d<72;q6=>k526:8945c28?=7p}>3c83>7}:9:h1>:64=01g>4303twi=9m50;;91?b|,:h;6<:l;h0;g?6=3`8:>7>5;h021?6=3`8:?7>5;h023?6=3`83j7>5;h0;e?6=3`8:87>5;n377?6=3k;?n7>54;294~"4j90<96F=831d>:650;9~f422290?6=4?{%1a4?123A9m>6g>5783>>o6=>0;66g>5983>>i5?10;66sm15594?5=83:p(>l?:638L6`53`;>:7>5;h363?6=3f8<47>5;|`20<<72=0;6=u+3c2930=O;o80e<;9:188m4302900e<;7:188k71?2900qo?;a;292?6=8r.8n=4=819K7c45<5<5<54z\143?34;?57?:8:p644=83>pR??=;<37f?72?27:884>569>51?=9<=0q~<>5;296~X59<16=9l51448yv46;3:1>vP=129>513=9<<0q~<>7;296~X59>16=9951448yv4?n3:1>vP=8g9>511=9<=0q~<7a;296~X50h16=9o514;8yv46<3:1>vP=159>51?=9<<0q~?;3;296~X6<:16=9o526:8yv73<3:1>v3>4c813==:9=k1=884}r371?6=:r7:884=799>51g=9<=0q~?;6;296~;6<>09;55215c950>52z?20<<5?116=9o514c8yxd6:l0;6>4?:1y'7g6=?91C?k<4i075>5<5<56;294~"4j90=831b=8750;9j50g=831d>:650;9~w775290?wS<>2:?204<59;16=9m52008945028?=7p}=1283>6}Y:8901<:l:330?874?3;>;6s|20694?5|V;;?70?;c;020>;6;>0:945rs336>5<4sW8:963>4b8150=:9:=1=8o4}r022?6=;rT9=;521269640<588n6<;9;|q152<72:qU><94=062>77034;?o7<>7:pfc<720qUnk521069ff=:98k1nn521379ff=:9;i1nn5213d9ff=:9:?1nn5212:9ff=:9=81nn5rs3:7>5<4sW83863>248`5>;6;109555rs3:5>5<4sW83:63>1`8`5>;6:<09555rs3:;>5<4sW83463>158`5>;69h09555rs3:b>5<4sW83m63>1581===:9=i1>5o4}r0;g?6==rT94n5215096<><58>:6?6l;<37g?4?k27:?:4>599~w7>c2908wS<7d:?270<51116=>:529f8yv4?m3:18vP=8d9>57`=:0201<=;:3:f?875m3;>;6s|29d94?2|V;2m70?=c;0:<>;6<8094k5215a96=`52z?26fh4=799~w4572909w0?=f;a2?874<3;8<6s|12494?4|589>6n?4=014>71?3ty:?44?:3y>56>=k816=9?512;8yv73;3:1>v3>438`5>;65r}r``>5<5sWhh70?;e;`e?xu50=0;6?uQ2968942b2;2?7p}=8783>7}Y:1<01<:j:3:5?xu5010;6?uQ29:8942b2;237p}=8`83>7}Y:1k01<:j:3:b?xu50j0;6?uQ29a8942b2;2h7p}=8e83>7}Y:1n01<:j:3:g?xu50l0;6?uQ29g8942b2;2n7p}=8g83>7}Y:1l01<:j:3:e?xu59;0;6?uQ2008942b2;;97p}=1283>7}Y:8901<:j:330?xu59=0;6?uQ2068942b2;;?7p}=1483>7}Y:8?01<:j:336?xu59?0;6?uQ2048942b2;;=7p}=1683>7}Y:8=01<:j:334?x{el:0;6>4>:2yO7c2=9rB9=55rL2d6>4}#196s+3c296665<7s-9i<7??f:J0b7=nil0;66gl1;29?j4>03:17p}mc;296~Xek27<6lk4}r046?6=:r7<6?77;_0:<>{t:>91<7;l:186>7<2sE9m87?tH33;?xJ4n<0jw):j4;78 1c52=9;7):ka;a2?!2ck38246*;d98ag>h3l=0:7):k9;`g?k2c=3;0(9jj:2:b?k2c>3:0q)=m0;00f>oek3:17dlk:188mf7=831d>4650;9j7=g=831i;7>55;091~J4n=0:wE<>8:'7g6=:;>0en?50;9l6<>=831bnn4?::ka`?6=3`h=6=44b683>0<729q/?o>51038L6`53`h?6=44ic494?=nil0;66gl1;29?j4>03:17pl4<729q/?o>51468L6`53f;>?7>5;|qag?6=:rTio638:`g8yvdc2909wSlk;<59f1=z{;=96=4={<1e7?72;27<6o84}r047?6=:r7<6?77;_0:<>{t:>>1<75<7s-9i<7<8a:J0b7=n9<<1<75f14594?=h:>21<75rsca94?4|Vki01:4mc:pfa<72;qUni52435950152z\1===:?38246s|39c94?5|V:2j7095c09>071=9<<0q~:=6;296~;02kn019<8:35;?x{e<0:1<7=51;1xH6`328qC><64}M1e1?7|,=o?685r$2`3>7573`i:6=44o3;;>5<0g9K7c4>i5110;66s|bb83>7}Yjj16;7oj;|q137<72;q6;7<68:\1===z{;=86=4={_a2?81=k81vqo{I02<>{K;o?1=v*;e586?x"4j9098;5fc083>>i5110;66gmc;29?g1=8391<7>t$2`3>46a3A9m>6Bn<6sfad83>>od93:17b<68;29?xuek3:1>vPmc:?4>dc7>52z?4>7??3W8246s|26194?4|Vj;01:4l1:~f7cf29086<4<{M1e0?7|@;;37pBod93:17b<68;29?ldd2900n:4?:283>5}#;k:1==h4H2d1?I5a<3;p(<8?:5g3?xofm3:17dm>:188k7??2900q~ll:181[dd34=1mh5rs351>5<5s4=1>464^3;;?xu5?:0;6?uQc09>3?e63twi>h<50;195?5|D:l?6j7dm>:188k7??2900eom50;9a3?6=;3:1h;:0y'536=4650;9~wge=838pRom4=68ba>{t:>81<7uC3g695~N5911v@>h::0y'0`2==2w/?o>52228mf7=831d>4650;9jff<722h<6=4<:183!5e83;;j6F5;n0:52z\ag>;02ho0q~<82;296~;02;337S<68:p625=838pRn?4=68`5>{zj;o26=4<:387I5a<3;pD??7;|N0b0<6s->n87;4}%1a4?43j2ch=7>5;n0:6<729q/?o>511d8L6`53E9m87?t$043>1c73tcji7>5;ha2>5<5<5290;w)=m0;355>N4n;1b=8;50;9l62>=831vom50;0xZge<5j:1=8;4}r046?6=:r7<6lk4=b2962>52z?4>7??3W8246s|26694?4|Vj;01:4l1:~f13f29086?4;{M1e0?7|@;;37pBod93:17b<68;29?ldd2900n:4?:283>5}#;k:1==h4H2d1?lgb2900en?50;9l6<>=831vnn>50;094?6|,:h;6<8>;I1e6>o6=<0;66a=7983>>{tjj0;6?uQbb9>g5<6=<1v?9=:18181=il16o=4=799~w7142909w09528:8Z7??3ty9;94?:3y]g4=:?3i:7psm2d394?3=:3l?:3g2?j4cl3:17d=;f;29?l5393:17d=831v?jk:181[4cl279hk4=799~w62a2909wS=;f:?1a5<6=11v>:>:181[539279i=4>579~w7be2909wS1v>;?:181[528279hk4>569~w7bb2909w0;5lo0:9;5r}c0e7?6=?3?1nv*l?:638L6`53`;>:7>5;h363?6=3f8<47>5;|`1aa<72:0;6=u+3c2962?<@:l97d?:6;29?l72?3:17b<88;29?xd5ml0;6>4?:1y'7g6=?91C?k<4i075>5<5<53;294~"4j90<<6F=831vn?h>:187>5<7s-9i<7<8c:J0b7=n9<<1<75f14594?=n9<21<75`26:94?=z{;oi6=4={_0ff>;5n809;55rs26e>5<5sW9?j63=ed8213=z{:>:6=4<{_175>;5n;0:9;522df950052z\1`g=::o81=894}r166?6=:rT89?522g2950052z\02d=::o:1=894}r17f?6=:rT88o522g3950>52z?1b7<5?116>hj51458yv40:3:1>v3=ee813==::lo1=894}r047?6=:r79ih4=799>6c7=9<<0q~=}#;k:1>h64o3g0>5<m6=44i262>5<5<n6=44b3g4>5<4290;w)=m0;52?M5a:2c:9;4?::k212<722e9;54?::a6`3=8391<7>t$2`3>27<@:l97d?:6;29?l72?3:17b<88;29?xd5m?0;694?:1y'7g6=:>i0D>h=;h362?6=3`;>;7>5;h365;|q1a6<72;qU>h=4=3g5>71?3ty88k4?:3y]71`<5;o<6<;8;|q004<72;qU?9?4=3g4>4313ty89?4?:3y]704<5;o>6<;8;|q02d<72;qU?;o4=3g6>4313ty88h4?:3y]71c<5;o=6<;7;|q1a1<72;q6>h9526:897c128?=7p}=e483>7}::l?1>:64=3g5>4303twi>i750;:97?g|,:h;6?j6;n0g0?6=3`9?j7>5;h175?6=3`9><7>5;h165?6=3`9>>7>5;h15e?6=3`9?i7>5;c0gN4n;1b=8850;9j501=831d>:650;9~f7b129086=4?{%1a4?163A9m>6g>5783>>o6=>0;66a=7983>>{e:m=1<7850;2x 6d72;2;7E=i2:k213<722c:9:4?::k21=<722c:944?::k21d<722e9;54?::p6a2=838pR?j;;<0g3?4002wx?9h50;0xZ62a348o47?:7:p717=838pR>:>;<0g2wx?8>50;0xZ637348o;7?:7:p707=838pR>;>;<0g3?7202wx?8<50;0xZ635348o:7?:7:p73g=838pR>8n;<0g2?72>2wx?9k50;0xZ62b348o;7?:a:p6a3=838p1?j7:35;?84c?3;>:6s|2e494?4|5;n=6?97;<0g3?7212wvn98;:18a>0>7>5;h15e?6=3`9?n7>5;h17g?6=3`9?h7>5;h17a?6=3k>=?7>53;294~"4j90<=6F=831vn9;k:180>5<7s-9i<79?;I1e6>o6=?0;66g>5683>>i5?10;66sm44d94?5=83:p(>l?:638L6`53`;>:7>5;h363?6=3f8<47>5;|`724<72:0;6=u+3c2934=O;o80e<;9:188m4302900c?97:188yg21:3:147>50z&0f5<50;1C?k<4i075>5<5<5<5<52z\00c=:=7>52z\014=:<5<5sWn870::d;363>{t;<81<7;6s|37c94?5|V:;3>80:9;5rs26a>5<5sW9?n63;608212=z{:>h6=4={_17g>;3>;0:9l5rs26g>5<5sW9?h63;63821g=z{:>n6=4={_17a>;3>;0:9n5rs57`>5<5s4>=?7<88:?727<6=?1v9;k:181822l38<463;638212=z{=?n6=4={<66b?40027?:?4>599~w1072909w0:91;04<>;3>;0:945r}c0e=?6=<391:v*<0;66g:7;29?g4a03:1?7>50z&0f5<092B8j?5f14494?=n9<=1<75`26:94?=zj;l=6=4<:183!5e83=;7E=i2:k213<722c:9:4?::m13=<722wi>k950;194?6|,:h;6?96;I1e6>o6=?0;66g>5683>>i5?10;66s|2b394?4|V;i:70{t:mk1<7=t^3fb?84a03;>:63=f78213=z{??1<7569~w7`32909w0;5n>0:9;5rs3d6>5<5s48m:7<88:?1b2<6=>1vqo=?b;290?5=>r.8n=4<0c9l6f3=831b>io50;9j0a<722c>i7>5;c13e?6=;3:1N4n;1b=8850;9j501=831d>:650;9~f66?29086=4?{%1a4?173A9m>6g>5783>>o6=>0;66a=7983>>{e;931<7=50;2x 6d72;=27E=i2:k213<722c:9:4?::m13=<722wx>n;50;0xZ7e2349;57<88:p6ag=839pR?jn;<13e?72>278<54>579~w1b=838pR9j4=22b>4303ty>i7>52z\6a>;4810:9:5rs225>5<5s49;m7<88:?04<<6=?1v>>8:181857038<463<088212=zuk9:h7>54;192~"4j908=i5`2b:94?=n:mk1<75f4d83>>o2n3:17o=>c;297?6=8r.8n=481:J0b7=n9<<1<75f14594?=h:>21<75rb23b>5<4290;w)=m0;53?M5a:2c:9;4?::k212<722e9;54?::a74d=8391<7>t$2`3>71>3A9m>6g>5783>>o6=>0;66a=7983>>{t:j21<7c;362>;49h0:9;5rs5g94?4|V=o01>?l:074?xu2n3:1>vP:f:?05d<6=>1v>?7:181856k38<463<1c8213=z{:;26=4={<12e?400278=o4>569~yg55;3:187=56z&0f5<4::1d>n750;9j6ag=831b8k4?::k54?6=3k99>7>53;294~"4j90<=6F=831vn>5<7s-9i<79?;I1e6>o6=?0;66g>5683>>i5?10;66sm33394?5=83:p(>l?:35:?M5a:2c:9;4?::k212<722e9;54?::p6f?=838pR?m6;<115?4002wx>io50;1xZ7bf3499>7?:6:?065<6=?1v9h50;0xZ1`<5:896<;8;|q54?6=:rT=<63<218212=z{:;n6=4={<116?400278><4>579~w67a2909w0==0;04<>;4:80:9:5r}c11=?6=<391:v*50z&0f5<092B8j?5f14494?=n9<=1<75`26:94?=zj:8=6=4<:183!5e83=;7E=i2:k213<722c:9:4?::m13=<722wi??950;194?6|,:h;6?96;I1e6>o6=?0;66g>5683>>i5?10;66s|2bc94?4|V;ij70==7;04<>{t:mk1<7=t^3fb?85503;>:63<278213=z{<:1<7;4>569~w6432909w0==8;04<>;4:>0:9;5rs206>5<5s499:7<88:?062<6=>1vqo==f;290?5=>r.8n=4<2g9l6fd=831b>io50;9j14<722c=>7>5;c11a?6=;3:1N4n;1b=8850;9j501=831d>:650;9~f64d29086=4?{%1a4?173A9m>6g>5783>>o6=>0;66a=7983>>{e;;n1<7=50;2x 6d72;=27E=i2:k213<722c:9:4?::m13=<722wx>nl50;0xZ7ee3499h7<88:p6ag=839pR?jn;<11a?72>278>n4>579~w07=838pR8?4=20f>4303ty=>7>52z\56>;4:j0:9:5rs20b>5<5s499i7<88:?06a<6=?1v>54;192~"4j908?85`2ba94?=n:mk1<75f5483>>o2i3:17o=<4;297?6=8r.8n=481:J0b7=n9<<1<75f14594?=h:>21<75rb211>5<4290;w)=m0;53?M5a:2c:9;4?::k212<722e9;54?::a765=8391<7>t$2`3>71>3A9m>6g>5783>>o6=>0;66a=7983>>{t:ji1<7;4;;0:9;5rs4794?4|V=;:074?xu2i3:1>vP:a:?077<6=>1v>=?:181854<38<463<328213=z{:9:6=4={<106?400278?>4>569~yg54j3:187=56z&0f5<4;k1d>nj50;9j6ag=831b9?4?::k50?6=3k98m7>53;294~"4j90<=6F=831vn>=7:180>5<7s-9i<79?;I1e6>o6=?0;66g>5683>>i5?10;66sm32;94?5=83:p(>l?:35:?M5a:2c:9;4?::k212<722e9;54?::p6fb=838pR?mk;<10=?4002wx>io50;1xZ7bf3498m7?:6:?07=<6=?1v8<50;0xZ04<5:9j6<;8;|q50?6=:rT=863<398212=z{:9=6=4={<10e?400278?44>579~w6502909w0=<8;04<>;4;00:9:5r}c125?6=<391:v*50z&0f5<092B8j?5f14494?=n9<=1<75`26:94?=zj::n6=4<:183!5e83=;7E=i2:k213<722c:9:4?::m13=<722wi?=h50;194?6|,:h;6?96;I1e6>o6=?0;66g>5683>>i5?10;66s|2b494?4|V;i=70=?f;04<>{t:mk1<7=t^3fb?85683;>:63<0d8213=z{<91<7569~w66d2909w0=>0;04<>;48o0:9;5rs22g>5<5s49;i7<88:?04c<6=>1vqor.8n=4=fg9l6f4=831b>io50;9j11<722c=?7>5;c0ea?6=;3:1N4n;1b=8850;9j501=831d>:650;9~f7`d29086=4?{%1a4?173A9m>6g>5783>>o6=>0;66a=7983>>{e:on1<7=50;2x 6d72;=27E=i2:k213<722c:9:4?::m13=<722wx>n<50;0xZ7e5348mh7<88:p6ag=839pR?jn;<0ea?72>279jn4>579~w02=838pR8:4=3df>4303ty=?7>52z\57>;5nj0:9:5rs3db>5<5s48mi7<88:?1ba<6=?1v?hm:18184ak38<463=fe8212=zuk9:;7>54;192~"4j908=:5`2b594?=n:mk1<75f5783>>o203:17o=>6;297?6=8r.8n=481:J0b7=n9<<1<75f14594?=h:>21<75rb237>5<4290;w)=m0;53?M5a:2c:9;4?::k212<722e9;54?::a743=8391<7>t$2`3>71>3A9m>6g>5783>>o6=>0;66a=7983>>{t:j=1<76;362>;49=0:9;5rs4494?4|V<<01>?9:074?xu203:1>vP:8:?051<6=>1v>?=:181856>38<463<148213=z{:;86=4={<120?400278=84>569~yg57=3:187=56z&0f5<48<1d>n:50;9j6ag=831b9;4?::k653;294~"4j90<=6F=831vn>>=:180>5<7s-9i<79?;I1e6>o6=?0;66g>5683>>i5?10;66sm31194?5=83:p(>l?:35:?M5a:2c:9;4?::k212<722e9;54?::p6f2=838pR?m;;<137?4002wx>io50;1xZ7bf349;87?:6:?047<6=?1v8850;0xZ00<5::?6<;8;|q6463<038212=z{::;6=4={<130?400278<>4>579~w6662909w0=?2;04<>;48:0:9:5r}c17e?6==3:12900c?97:188yg2fn3:1>7>50z&0f5<6>81C?k<4i076>5<609K7c46=44o35;>5<3j7>52;294~"4j90::<5G3g08m4322900c?97:188yg2f;3:1>7>50z&0f5<6>81C?k<4i076>5<N4n;1b=8850;9j501=831d>:650;9~f60029086=4?{%1a4?163A9m>6g>5783>>o6=>0;66a=7983>>{e;?81<7;50;2x 6d72;=m7E=i2:k213<722c:9:4?::k21=<722c:944?::m13=<722wi?9=50;794?6|,:h;6:64H2d1?l72>3:17d?:7;29?l7203:17d?:9;29?j4003:17pl<4483>6<729q/?o>5709K7c45<N4n;1b=8850;9j501=831b=8650;9l62>=831vn>:6:180>5<7s-9i<79>;I1e6>o6=?0;66g>5683>>i5?10;66sm4`g94?5=83:p(>l?:608L6`53`;>:7>5;h363?6=3f8<47>5;|`7fc<72=0;6=u+3c2930=O;o80e<;9:188m4302900e<;7:188k71?2900qo:7e;290?6=8r.8n=485:J0b7=n9<<1<75f14594?=n9<21<75`26:94?=zj=k96=4;:183!5e83=?7E=i2:k213<722c:9:4?::k21=<722e9;54?::a0d3=83>1<7>t$2`3>71d3A9m>6g>5783>>o6=>0;66g>5983>>i5?10;66sm34g94?5=83:p(>l?:608L6`53`;>:7>5;h363?6=3f8<47>5;|`7=7<72:0;6=u+3c2935=O;o80e<;9:188m4302900c?97:188yg2><3:187>50z&0f5<0<2B8j?5f14494?=n9<=1<75f14:94?=h:>21<75rb3f`>5<3290;w)=m0;50?M5a:2c:9;4?::k212<722c:954?::m13=<722wih84?:383>5}#;k:1=;?4H2d1?l72=3:17b<88;29?xd4>90;6?4?:1y'7g6=9?;0D>h=;h361?6=3f8<47>5;|`7=3<72;0;6=u+3c29537<@:l97d?:5;29?j4003:17p}m5;29f~Xe=2788=4mc:?g7?dd349>o7ll;<6:4?dd348om7ll;<0fe?dd348n>7ll;<0g7?dd348n57ll;<66e?dd3tyj;7>53z\b3>;4<:0:9;524809500=97>52z\720=:l<0:985rs5`g>5<5sW>ih63;c1813==z{=9i6=4<{_60f>;3il0:9;524`09500jo7>52z\7ef=::64}r6b4?6=:rT?m=524`1962>53z\1`5=:;=91=894=264>4313ty?9l4?:3y]00g<5=?j6?77;|qb1?6=?rTj963<418156=:;=91=874=266>431349?;7?:7:?7=1<6=116>im51448yv51j3:1?vP<6c9>73c=9<=01>;j:074?xu3190;6?uQ482891?72;337p};7e83>7}Y<>n0196j:075?xu4>=0;6>uQ3768960028?<70=:e;362>{t;63;bg8212=:<1o1=894=5;7>4303ty?n=4?:3y]0g6<5=hm6<;9;|q1gc<72=qU>nh4=263>77334>j>7?:7:?1`f<6=>1v9on:181[2fi27?mo4=799~w65d2909wS=4=999~w1>d2909wS:7c:?78n:18:853838:;63=f2802d=::l21?;o4=3f:>60f34>=87=9a:?02`<5?116?9;51458962028?370=;9;363>{t:lh1<7f7<5;l86?km;|q01f<72:q6?8m528:8960b28?=70=97;362>{tl:0;69u23529640<5m91>464=547>a5<5=396<;8;|q01c<72;q6?8m539c896072;=37p};9083>7}:<081>:64=5;7>4313ty?5>4?:3y>0<2=:>201979:076?xu3jl0;6?u24b29503<5=hm6?97;|q00g<72=q6?9>5296897`42:>i70:94;17f>;4>;0:9;5rs240>5<5s49=;7<88:?006<6=11v>:l:1808538383:63;65800f=:;?81=894}r17`?6=;r788=4=899>032=;=n01>8=:07;?xu4:j;<0g=?53m27?:94<4d9>734=9<30q~=;f;292~;4<9094n522d3971`<5;l86>:i;<0f032=;=l0q~=:0;290~;4<9094i522d;9g4=::l;1?8>4=3f:>6373ty89<4?:2y>716=:1o01?j6:272?821<39>=6s|34094?3|5:>;6?6i;<0e7?52:279i54<539>6a?=;<80198;:271?xu30m0;6?u249d9503<5=2n6?97;|q7e4<72;q68l<526:891g228?=7p}=e283>7}::l81o<522d:96`55fz?1`d<51116>k752ec8966e2;nj70=>d;0ge>;4::09hl5233;96ag<5:8m6?jn;<101?4ci278?o4=d`9>747=:mk01?hi:3fb?856?38om63<0481`d=:43?3ty9i?4?:4y>716=:8?01?k=:3;;?85313;>:63;a3821==::mi1=864}r0g`?6=:r79hl4l1:?1a4<5lm1v9;m:181822i3i:70:94;66f>{t;?;1<7gb<5:<96?97;|q7=0<72;q684>5c09>0<0=:>20q~=;1;293~;4<909=?522d39717<5;l86>:>;<0f032=;=;01>:n:35;?xu4=m0;6?u234g962><5:<;6<;:;|q007<72;q6?9o5144896242;=37p}<4583>7}:;=k1=894=266>71?3ty88;4?:3y>71g=9<201>:8:35;?xu4<10;6?u235c950?<5:>26?97;|q7e1<72;q68l=5147891g22;=37p}k4;296~;c;3i:70j::35;?xu5m00;6?u22d;96<><5=k>6<;7;|q1ad<72;q6>ho528:891g228?<7p}=d583>7}::m91o<522e;96a2jh7>52z?7ec<6=<168lk526:8yv4cj3:1?v3=e081`g=::o91>il4=3f`>71?3ty9o94?:3y]6f2<5::>6?m;;%1;1?4d;2d8494?;|q1g7<72;qU>n<4=3de>7e53-9397nm4=216>7ed3-9397no4=20:>7ef3-9397n64=23g>7e?3-9397n84=232>7e13-93973ty9o84?:3y]6f3<5::i6?m:;%1;1?4d;2d8494n;|q1g4<72;qU>n?4=3d:>7e63-9397m7>52z\6e>;4;<0>m6*<8486=>h40=0;7p}:8;297~X20278=:4:8:?040<202.8484:9:l0<1<63ty>:7>53z\62>;49>0>:63<04862>"40<0>56`<8581?xu2=3:1>vP:5:?070<2=2.8484:9:l0<1<43ty>87>52z\60>;5no0>86*<8486=>h40=0?7p}:3;296~X2;278=<4:3:&0<0<212d8494:;|q66?6=:rT>>63<3c866>"40<0>56`<8585?xu293:1>vP:1:?06c<292.8484:9:l0<1<03ty><7>52z\64>;4:00><6*<8486=>h40=037p};f;296~X3n278>>4;f:&0<0<212d84946;|q7a?6=:rT?i63<1e87a>"40<0>56`<858b?xu3l3:1>vP;d:?04g<3l2.8484:9:l0<152z\51>;5n00=96*<8486=>h40=0h7p}94;296~X1<278?o494:&0<0<212d8494k;|q57?6=;rT=?63<10857>;5no0=?6*<8486=>h40=0n7p}92;296~X1:278>k492:&0<0<212d8494i;|q55?6=:rT==63<28855>"40<0>56`<85824>{t>90;6?uQ619>775=>91/?5;5589m7=2=981v8h50;0xZ0`<5:;o68h4$2:6>0?5<5sW?n70=?b;7f?!5?=3?27c=74;30?xu2?3:1>vP:7:?1b<<2?2.8484:9:l0<1<6<2wvn9=;:1822?442:9p@>h;:0yK64>34<,=o?6;5r$2`3>4113`h>6=44i051>5<5<5<=1<75f4e094?=n1<75`6783>>i1n3:17b:;a;29?g5fj3:1n7?5bzN0b1<6sA8:46sC3g795~"3m=0?7p*>i50?0;66a=8983>>i50h0;66a=8b83>>o59;0;66g=1283>>o59=0;66g=1483>>o59?0;66l>4d83>gom;h026?6=3`8:?7>5;h020?6=3`8:97>5;h022?6=3`hm6=44o3:7>5<5<5<5;c594?5=83:p(>l?:02e?M5a:2F8j94>{%354?2b82wbmh4?::k`5?6=3f8247>5;|qag?6=:rTio638:`g8yv40:3:1>v38:3;;?[4>02wx>:=50;0xZf7<5>0h=6srb03b>5<42808wA=i4;3xL77?3t.8n=4>129jg4<722e9554?::kag?6=3k=1<7=50;2x 6d728:m7E=i2:N0b1<6s-;=<7:j0:je`<722ch=7>5;n0:52z\ag>;02ho0q~<82;296~;02;337S<68:p625=838pRn?4=68`5>{zj8;26=4;:386!5e83;:56g>1583>>o59?0;66g=8b83>>i69<0;66l>1983>6<729q/?o>5719K7c45<vP>159>54>=9<<0q~<>6;296~X59?16=<651458yv4?k3:1>vP=8b9>541=9<=0q~?>5;296~X69<16=<9526:8yv76>3:1>v3>19813==:98=1=884}|`261<7210>6nu+3c295725<5<5<6=44o03a>5<3:17d?:7;29?j4003:17pl>1g83>6<729q/?o>5709K7c45<N4n;1b=8850;9j501=831b=8650;9j50?=831d>:650;9~f445290>6=4?{%1a4?40n2B8j?5f14494?=n9<=1<75f14:94?=n9<31<75`26:94?=z{;;96=4={_026>;6::0:9:5rs037>5<3sW;:863>228213=:98n1=884=002>4303ty94n4?:2y]6=e<58;o6<;8;<32b?72?2wx><850;0xZ77134;:j7?:6:p645=838pR??<;<315?7202wx><:50;0xZ77334;9=7?:9:p643=838pR??:;<315?72>2wx=7<88:p54e=838p1<<<:35;?875:3;>:6s|10f94?4|58;o6?97;<316?72?2wx={t9;:1<771?34;9>7?:9:~f7>229086=4?{%1a4?163A9m>6g>5783>>o6=>0;66a=7983>>{e:1=1<7=50;2x 6d72>:0D>h=;h362?6=3`;>;7>5;n045}#;k:1;<5G3g08m4312900e<;8:188k71?2900qo<7b;297?6=8r.8n=482:J0b7=n9<<1<75f14594?=h:>21<75rs037>5<0s4;:87<68:?25<<69=16=?:5106897>228?=70<77;363>;5000:9:5229`95017>52z\157=:9;>1><<4}r027?6=:rT9=>52136964552z\151=:9;>1><:4}r021?6=:rT9=852136964353z\153=:9831><84=007>7713tyij7>53z\ab>;69=0io63>1`8ag>{t:1>1<7{t:121<7{t:1i1<76t^3:`?876i382463>18811>5m4=3:6>4303483;7?:6:?1<<<6=?16>5l51448yv76=3:1>v3>158`5>;6900:=85rs03a>5<5s4;:m7m>;<310?76j2wvom50;0xZge<58>n6oh4}r0;0?6=:rT9495215g96=252z\1<3=:9=o1>584}r0;52z\15o4}r0;g?6=:rT94n5215g96=e7>52z\157=:9=o1><<4}r027?6=:rT9=>5215g964552z\151=:9=o1><:4}r021?6=:rT9=85215g964352z\153=:9=o1><84}|`234<72:0:6>uC3g695~N5911v@>h::0y'0`2=<2w/?o>525f8mf7=831d>4650;9jff<722h<6=4<:183!5e83;;j6F7}:?38246P=999~w7142909wSm>;<59g4=zuk;247>53;397~J4n=0:wE<>8:O7c3=9r.?i94;;|&0f5<5;91bo<4?::m1==<722cio7>5;c594?5=83:p(>l?:02e?M5a:2cji7>5;ha2>5<5<5sWhh7095ad9~w7152909w09528:8Z7??3ty9;>4?:3y]g4=:?3i:7psm1ba94?3=:3?p@>h;:0yK64>1=z,:h;6?<9;ha2>5<t$2`3>4763A9m>6gm4;29?ld12900elk50;9jg4<722e9554?::a7c5=83;1<7>t$2`3>4333A9m>6a>5283>>{tjj0;6?uQbb9>3?gb3tyih7>52z\a`>;02k>0q~<82;296~;4n:0:9>527;`5?xu5?:0;6?u27;0:<>X5111v?9;:181[e634=1o<5r}c057?6=<3818vBn>7:<0:&7`d=jj1e8i:51:&7``<40h1e8i851:'7g6=:;=0eom50;9jg4<722e9554?::k05;c594?5=83:p(>l?:02e?M5a:2cji7>5;ha2>5<5<5sWhh7095ad9~w7152909w09528:8Z7??3ty9;>4?:3y]g4=:?3i:7psm40094?4=83:p(>l?:042?M5a:2c:984?::m13=<722wxnn4?:3y]ff=:?3hh7p}=9983>7}Y:0201:4=999~w6>f2909wS=7a:?757<6=<1v9?>:18181=k8168<<526:8yxdej3:187?53zN0b1<6sA8:46sC3g79<~"3m=0?7):j2;604>"3lh0h=6*;db81===#"3ll084l5a4e494>{#;k:1>?64ica94?=nk80;66a=9983>>o40h0;66l8:180>4<4sE9m87?tH33;?x"4j909>95fc083>>i5110;66gmc;29?g1=8391<7>t$2`3>46a3A9m>6gne;29?le62900c?77:188yvdd2909wSll;<59e`=z{;=96=4={<596<>7}Yk816;7m>;|pff<72;qUnn527;``?xu5110;6?uQ28:892<5111v>6n:181[5?i27<6n?4}|`5b?6==3819vBn>7:<0:&7`d=jj1e8i:51:&7`<;%6gb?5fi2d?h:4>;|&0f5<5:01bnn4?::ka`?6=3`i:6=44o3;;>5<0<525<t$2`3>4763A9m>6gm4;29?ld12900elk50;9jg4<722e9554?::a7c5=83;1<7>t$2`3>4333A9m>6a>5283>>{tjj0;6?uQbb9>3?gb3tyih7>52z\a`>;02k>0q~<82;296~;4n:0:9>527;`5?xu5?:0;6?u27;0:<>X5111v?9;:181[e634=1o<5r}c61=?6=;3:128?<7p}=9983>7}Y:0201:4=999~w6gf2908wS=na:?4>f7<5=826<;9;|q76=<72;q6;7lk;<61=?4002wvn7<2sE9m87?tH33;?xJ4n<0:w):j4;68y!5e8389:6gl1;29?j4>03:17dll:188mgb=831bn;4?::`4>5<2290;w)=m0;325>N4n;1bn94?::ka2?6=3`kn6=44ib394?=h:021<75rb2d0>5<6290;w)=m0;360>N4n;1d=8=50;9~wge=838pRom4=68ba>{tjm0;6?uQbe9>3?d33ty9;?4?:3y>7c5=9<901:4m6:p625=838p1:4=999]6<>52z\`5>;02j;0qpl>f883>0<526{#;k:1>?84ib394?=h:021<75fbb83>>oel3:17dl9:188f2<72<0;6=u+3c29547<@:l97dl;:188mg0=831bmh4?::k`5?6=3f8247>5;|`0b6<7280;6=u+3c29502<@:l97b?:3;29?xuek3:1>vPmc:?4>dc?638:c48yv40;3:1>v38:3;;?[4>02wx>::50;0xZf7<5>0h=6srb0`b>5<42808wA=i4;3xL77?3tF8j84>{%6f0?25;h``>5<0;6>4?:1y'7g6=99l0D>h=;hcf>5<:<50;0x92<5111U>464}r047?6=:rTh=638:b38yxd6nm0;6>4>:2yO7c2=9rB9=55rL2d6>4}#186s+3c296665<7s-9i<7??f:J0b7=nil0;66gl1;29?j4>03:17p}mc;296~Xek27<6lk4}r046?6=:r7<6?77;_0:<>{t:>91<74<4sE9m87?tH33;?xJ4n<0:w):j4;68y!5e8388<6gl1;29?j4>03:17dll:188f2<72:0;6=u+3c2955`<@:l97doj:188mf7=831d>4650;9~wge=838pRom4=68ba>{t:>81<7uC3g695~N5911v@>h::0y'0`2=<2w/?o>52228mf7=831d>4650;9jff<722h<6=4<:183!5e83;;j6F5;n0:52z\ag>;02ho0q~<82;296~;02;337S<68:p625=838pRn?4=68`5>{zj?<1<7=51;1xH6`328qC><64}M1e1?7|,=o?695r$2`3>7573`i:6=44o3;;>5<0g9K7c4>i5110;66s|bb83>7}Yjj16;7oj;|q137<72;q6;7<68:\1===z{;=86=4={_a2?81=k81vqo=7c;291?4==rF8j94>{I02<>{K;o?1mv*;e587?!2b:3>8<6*;d`8`5>"3lj09555+4e:9ff=i1=6*;d88a`>h3l<0:7):ke;1;e>h3l?0;7p*f2900n:4?:481>0}K;o>1=vF=199~ 6d72;8?7dm>:188k7??2900eom50;9jfa<722ci:7>5;c594?3=83:p(>l?:032?M5a:2F8j94>{%354?2b82wbn94?::ka2?6=3`kn6=44ib394?=h:021<75rb2d0>5<6290;w)=m0;360>N4n;1d=8=50;9~wge=838pRom4=68ba>{tjm0;6?uQbe9>3?d33ty9;?4?:3y>7c5=9<901:4m6:p625=838p1:4=999]6<>52z\`5>;02j;0qpl;2683>6<729q/?o>526c8L6`53`;>:7>5;h363?6=3f8<47>5;|qag?6=:rTio638:ca8yvdc2909wSlk;<613?72?2wx>4650;0xZ7??34=1>464}r1;e?6=;rT84l527;a2?825?3;>:6s|43494?4|5>0ih63;26813==zuk;i87>55;091~J4n=0:wE<>8:O7c3=ir.?i94;;%6f6?2482.?hl4l1:&7`f<5111/8i65bb9m0a2=92.?h44md:l7`0<63->oi7=7a:l7`3<73t.8n=4=3c9jff<722cih7>5;ha2>5<5<0;684=:4yO7c2=9rB9=55r$2`3>7433`i:6=44o3;;>5<>oe>3:17o950;794?6|,:h;6;I1e6>oe<3:17dl9:188mdc=831bo<4?::m1==<722wi?k=50;394?6|,:h;6<;;;I1e6>i6=:0;66s|bb83>7}Yjj16;7oj;|qa`?6=:rTih638:c68yv40:3:1>v37}:?38246P=999~w7132909wSm>;<59g4=zuk>9;7>53;294~"4j909;l5G3g08m4312900e<;8:188k71?2900q~ll:181[dd34=1nn5rscf94?4|Vkn019<8:074?xu5110;6?uQ28:892<5111v>6n:180[5?i27<6n?4=504>4313ty?>;4?:3y>3?dc34>9;7<88:~f12f290>6>49{M1e0?7|@;;37pB0(9k=:513?!2ci3i:7):kc;0:<>"3l10io6`;d582?!2c13ho7c:k5;38 1ba2:kj7c:k7;28y!5e8389n6gmc;29?ldc2900en?50;9l6<>=831b?lo50;9a3?6==3819vB52368mf7=831d>4650;9jff<722cih7>5;h`5>5<0;684?:1y'7g6=98;0D>h=;h`7>5<>od93:17b<68;29?xd4n:0;6<4?:1y'7g6=9<>0D>h=;n367?6=3tyio7>52z\ag>;02ho0q~lk:181[dc34=1n95rs351>5<5s49m?7?:3:?4>g052z?4>7??3W8246s|26694?4|Vj;01:4l1:~f14029096=4?{%1a4?7192B8j?5f14794?=h:>21<75rb50:>5<4290;w)=m0;04e>N4n;1b=8850;9j501=831d>:650;9~wge=838pRom4=68ag>{tjm0;6?uQbe9>07?=9<=0q~<68;296~X51116;7<68:p7dg=839pR>on;<613?72=27?>44>579~w14?2909w095be9>07?=:>20q~:=6;296~;02j;019<8:35;?x{e9j21<7o57;33!5e83;h46a>be83>>o4io0;66g9f;29?l7dk3:17d?mb;29?l2c:3:17d=nc;29?l7ei3:17d=nd;29?l7093:17o?l7;297?6=8r.8n=480:J0b7=n9<<1<75f14594?=h:>21<75rb0`e>5<3290;w)=m0;57?M5a:2c:9;4?::k212<722c:954?::m13=<722wi=n>50;194?6|,:h;6?96;I1e6>o6=?0;66g>5683>>i5?10;66sm1b394?5=83:p(>l?:628L6`53`;>:7>5;h363?6=3f8<47>5;|`2g6<72:0;6=u+3c2935=O;o80e<;9:188m4302900c?97:188yg7d=3:187>50z&0f5<0<2B8j?5f14494?=n9<=1<75f14:94?=h:>21<75rb0a5>5<3290;w)=m0;04g>N4n;1b=8850;9j501=831b=8650;9l62>=831v2wx=nm50;0xZ4ed34;h;7?:7:p5gd=838pRol;<3`7?72>2wx=oo50;1xZ4df34;h?7?:7:?2g0<6=>1v>ok:181[5fl27:o84>599~w4162909wS?81:?2g0<6=?1vc18213=z{8hm6=4={<3ab?40027:o=4>569~w7152909w0?l0;04<>;6k80:9:5rs350>5<5s4;h=7<88:?2g3<6=?1vc78212=z{8i?6=4={<3`1?40027:o;4>599~yg4713:1m79511y'7g6=:930c=650;194?6|,:h;6:?4H2d1?l72>3:17d?:7;29?j4003:17pl=0183>1<729q/?o>5749K7c45<5<53;294~"4j909;45G3g08m4312900e<;8:188k71?2900qo21<75rb327>5<4290;w)=m0;53?M5a:2c:9;4?::k212<722e9;54?::a650=83>1<7>t$2`3>22<@:l97d?:6;29?l72?3:17d?:8;29?j4003:17pl=0683>1<729q/?o>526a8L6`53`;>:7>5;h363?6=3`;>47>5;n044313ty=j7>53z\5b>;5810:9:52212950152z\2gf=::921=884}r3af?6=:rT:no52212950>o>7>52z\7`7=::9:1=884}r1bg?6=:rT8mn52216950053z\2ba=::9>1=894=325>4303ty8mi4?:3y]7db<5;:=6<;7;|q234<72;qU=:?4=325>4313ty:jk4?:3y>65>=:>201?>>:075?xu5890;6?u2212962><5;::6<;8;|q137<72;q6>=?526:8976528?<7p}=7283>7}::981>:64=324>4313ty9<>4?:3y>652=:>201?>8:074?xu58<0;6?u2214962><5;:<6<;7;|a5db=8331h7?:{%1a4?7fl2e:5l4?::k0ec<722c=j7>5;h3a4?6=3`;in7>5;h64`?6=3`;257>5;h1bg?6=3`;ji7>5;c3b4?6=;3:1N4n;1b=8850;9j501=831d>:650;9~f4g629086=4?{%1a4?163A9m>6g>5783>>o6=>0;66a=7983>>{e9h91<7=50;2x 6d72>;0D>h=;h362?6=3`;>;7>5;n045}#;k:1>:74H2d1?l72>3:17d?:7;29?j4003:17pl>a483>6<729q/?o>5709K7c45<50z&0f5<082B8j?5f14494?=n9<=1<75`26:94?=zj8k26=4<:183!5e83=;7E=i2:k213<722c:9:4?::m13=<722wi=ll50;194?6|,:h;6:<4H2d1?l72>3:17d?:7;29?j4003:17pl>ab83>6<729q/?o>526;8L6`53`;>:7>5;h363?6=3f8<47>5;|`2=f<72:0;6=u+3c2935=O;o80e<;9:188m4302900c?97:188yg7>m3:1?7>50z&0f5<092B8j?5f14494?=n9<=1<75`26:94?=zj83m6=4;:183!5e838=831d>:650;9~w4?f2909wS?6a:?2=c<5?11v>oi:181[5fn27:m:4>579~w3`=83?pR;h4=0c3>43134;j97?:6:?2e<<6=?16=ll51448yv7e83:1?vP>b19>5d6=9<=01uQ1c`894g628?<70?n3;362>{t<>n1<7=t^55g?87f93;>:63>ac8212=z{8326=4<{_3:=>;6i:0:9:5218g950152z\0ef=:90i1=884}r3ba?6=:rT:mh5218g950052z?2e5<5?116=l851448yv7>l3:1>v3>a0813==:9h>1=884}r3b6?6=:r7:m>4=799>5d2=9<=0q~<82;296~;6i=09;5521`7950152z?2e0<5?116=l851458yv40<3:1>v3>a7813==:9h=1=894}r041?6=:r7:m:4=799>5<`=9<<0q~?n8;296~;6i009;5521`a950052z?2eg<5?116=lm51458yv40>3:1>v3>ab813==:90i1=894}r043?6=:r7:5n4=799>5<`=9<=0q~?6e;296~;61l09;55218d950>43|,:h;65;h4e>5<5<5<5<3:17d?:7;29?j4003:17pl>ed83>6<729q/?o>5709K7c45<50z&0f5<092B8j?5f14494?=n9<=1<75`26:94?=zj8l:6=4<:183!5e838<56F=831vn5<7s-9i<79?;I1e6>o6=?0;66g>5683>>i5?10;66sm1g694?5=83:p(>l?:628L6`53`;>:7>5;h363?6=3f8<47>5;|`2b3<72:0;6=u+3c2937=O;o80e<;9:188m4302900c?97:188yg7a?3:1?7>50z&0f5<5?01C?k<4i075>5<5<53;294~"4j90<<6F=831vn5<7s-9i<79>;I1e6>o6=?0;66g>5683>>i5?10;66sm1dc94?2=83:p(>l?:35`?M5a:2c:9;4?::k212<722c:954?::m13=<722wx=h;50;0xZ4c234;nm7<88:p7d`=838pR>oi;<3e6?72>2wx:k4?:4y]2c=:9lh1=884=0d3>43134;m87?:6:?2b3<6=?1v569>5c2=9<=0q~?mb;297~X6jk16=hm5145894cb28?=7p};b183>6}Y3;>;6s|1d694?5|V8o?70?je;363>;6m00:9:5rs2c`>5<5sW9jo63>e68213=z{8kn6=4={_3ba>;6m00:9;5rs0g5>5<5s4;nn7<88:?2b4<6=?1veg8213=z{8oo6=4={<3fa?40027:ik4>569~w7152909w0?jf;04<>;6n90:9:5rs350>5<5s4;m<7<88:?2b4<6=>1v?9;:18187a938<463>f38212=z{;=>6=4={<3e6?40027:il4>579~w4`42909w0?i4;04<>;6n>0:9;5rs0d6>5<5s4;m:7<88:?2b2<6=>1v?99:18187a?38<463>e68212=z{;=<6=4={<3f3?40027:il4>569~w4c>2909w0?j9;04<>;6mh0:955r}c3a=?6=;3:17>50z&0f5<6>81C?k<4i076>5<3:1N4n;1b=8850;9j501=831b=8650;9j50?=831b=8o50;9l62>=831vn>6j:187>5<7s-9i<79;;I1e6>o6=?0;66g>5683>>o6=10;66a=7983>>{e9k<1<7:50;2x 6d72>>0D>h=;h362?6=3`;>;7>5;h365;|`70f<72:0;6=u+3c2935=O;o80e<;9:188m4302900c?97:188yg2093:197>50z&0f5<002B8j?5f14494?=n9<=1<75f14:94?=n9<31<75`26:94?=zj8n;6=4;:183!5e838=831d>:650;9~f4dd290?6=4?{%1a4?133A9m>6g>5783>>o6=>0;66g>5983>>i5?10;66sm1`d94?5=83:p(>l?:35:?M5a:2c:9;4?::k212<722e9;54?::a7<6=8391<7>t$2`3>71>3A9m>6g>5783>>o6=>0;66a=7983>>{e:??1<7<50;2x 6d728<:7E=i2:k210<722e9;54?::a5g4=8381<7>t$2`3>4063A9m>6g>5483>>i5?10;66sm1g`94?4=83:p(>l?:042?M5a:2c:984?::m13=<722wxn84?:00xZg3<5:ki6om4=052>ge<58336om4=0a`>ge<5;<86om4=c`9ff=:>o0io63>b18ag>;6n00io63>b`8ag>;6nm0io63>988ag>;6m=0io6396;``?85?k3hh70?m4;``?823i3hh7p}>7383>7}Y9>8019:l:074?xu6j>0;69uQ1c5894eb28?370?m6;362>;4190:9;5rs041>5<5sW;=>63>708`5>{t90=1<703i:7p}>b983>7}Y9k2017=e=;1k01cd821<=:9ki1=864}r3ae?6=;rT:nl521cc96<><58i3611c34;i>7?:5:p521=83?pR<98;<1bf?46<2784h4>599>027=9<201o>63;70821<=z{=h;6=4<{_6a4>;6n10?n=521g`950353z\2=<=:9031>464=0cg>4?>3ty95=4?:3y]6<6<5==:6<;8;|q2ba<72:qU=kj4=0dg>7??348;57?id:p03`=838pR98i;<646?4002wx=o:50;0xZ4d334;i87<68:p5`2=839pR027:j54>e59~w30=838pR;84=7496<>639f;0:<>;6k10=j63=0885b>;6im0=j63>f985b>;6j00:9;521bg9501<5:2n6<;9;|q70d<72;qU89o4=56b>7??3ty8mh4?:3y>7dd=:12015f>=;hl01?>6:2ce?87fl39jj63>f980ec=:9hl1=884=2;3>4303ty?;=4?:3y>024=9:35;?xu6m<0;6?u21d69g4=:9o21=h;4}r3``?6=;r7:on4md:?2g`<5?116>;;51478yv5?k3:1;v3464=0`:>43034;hi7?:6:?2f3<6=11689m5144894dd28?=7p}<8e83>7}:;1i1ni5239g962>54z?0eg<59?16=:?528:894e?28=:70{t9kn1<7f7<58i36;5><09;55rs0`2>5<5s4;i<7m>;<3a6?4002wx=nh50;0x94eb28?j70?k0;04<>{t9ji1<7=t=0a`>7??34;h47?lc:?14<<6kj1v?8<:187841;3824639f;1be>;6j90ih63>f88a`>{t9k:1<77??34;jh7?m0:p5bc83>0}:9j21=ol4=32:>4de34;jh7?mb:?2b=<6jk16=om526:8yv7fm3:1?v3>ae82e`=:9o21=lk4=0ce>71?3ty?8o4?:3y>01g=jm1689m526:8yv7>03:1>v3>9981===:9k<1=894}r3a1?6=:r7:n94md:?2f3<5?11v{t9o31<77??34;m47?i9:p7=`=838p1>6j:074?85>838<46s|3`a94?>|5:ki6?6;;<`a>6>f34;h47=nc:?14<<4ij16=lj53`a894`?2:kh70?k0;362>;6io0:9:5rs2cg>5<3s49jn7<76:?2g=<4im16>=753`f894b728?<7psm42194?712:n19?uC3g695~N5911v@>h::3ym0`3=?j1/8h:56:'7g6=9>?0V>6<:0y01?{h9>81<75f4b`94?=h<=:1<75f47794?=h9?81<75ff383>>o3i?0;66a>9583>>oe:3:17d?ma;29?lga2900c99k:188m4d42900e4l50;9l0g6=831b=km50;9j5cb=831b89750;9l03e=831d8:=50;9l23<722e?o<4?::`2`4<72m0:6iuC3g695~N5911v@>h::0y'0`2=;2w/?o>51438mge=831d>5:50;9l6=0=831d>5650;9l6=g=831d>5m50;9l6=b=831d>5k50;9j644=831b><=50;9j642=831b><;50;9j640=831i=9k50;f9f?76sE9m87?tH33;?x"4j90:h<5f20094?=n:891<75f20694?=n:8?1<75f20494?=njo0;66a=8583>>i50?0;66a=8983>>i50h0;66a=8b83>>i50m0;66a=8d83>>d69=0;6>4>:2yO7c2=9rB9=55r$2`3>4743`i:6=44o3;;>5<0g9K7c452z\`5>;02j;0qpl>1`83>6<62:qG?k:51zJ15==z,:h;65<50z&0f5<68o1C?k<4L2d7>4}#9?:18h>4}hcf>5<:<50;0x92<5111U>464}r047?6=:rTh=638:b38yxd6:<0;6>4>:2yO7c2=9rB9=55r$2`3>4743`i:6=44o3;;>5<0g9K7c452z\`5>;02j;0qpl>2b83>6<62:qG?k:51zJ15==z,:h;65<50z&0f5<68o1C?k<4L2d7>4}#9?:18h>4}hcf>5<:<50;0x92<5111U>464}r047?6=:rTh=638:b38yxd6:o0;6>4>:2yO7c2=9rB9=55r$2`3>4743`i:6=44o3;;>5<0g9K7c452z\`5>;02j;0qpl>3483>6<62:qG?k:51zJ15==z,:h;65<50z&0f5<68o1C?k<4L2d7>4}#9?:18h>4}hcf>5<:<50;0x92<5111U>464}r047?6=:rTh=638:b38yxd6;10;6>4>:2yO7c2=9rB9=55r$2`3>4743`i:6=44o3;;>5<0g9K7c452z\`5>;02j;0qpl>2583>0<42>q/?o>51368m7>d2900e??<:188m7722900e??9:188k47e2900n<5<7s-9i<79;;I1e6>o6=?0;66g>5683>>o6=10;66a=7983>>{e98n1<7:50;2x 6d72>>0D>h=;h362?6=3`;>;7>5;h365;|`25c<72:0;6=u+3c2962?<@:l97d?:6;29?l72?3:17b<88;29?xu50j0;6>uQ29a8944728?370?>d;36<>{t:891<7=t^330?87583;>:63>1e8213=z{;;>6=4={_021>;6:90:9:5rs335>5<5sW8::63>1e8212=z{8;i6=4={_32f>;69o09;55rs03`>5<5s4;9<7<88:?25c<6=?1v1g8212=zuk;9n7>56;19<~"4j90:>o5f29a94?=n:8?1<75f20494?=n:891<75f29c94?=h9;<1<75m13c94?2=83:p(>l?:678L6`53`;>:7>5;h363?6=3`;>47>5;n0454?:283>5}#;k:1;=5G3g08m4312900e<;8:188k71?2900qo?=9;290?6=8r.8n=4=7b9K7c45<5<53z\14313ty9=84?:3y]643<588j6<;8;|q153<72;qU><84=00b>4313ty9=>4?:3y]645<58836<;8;|q15o4=00:>43?3ty:>;4?:3y]570<58826?97;|q262<72;q6=?o526:8944>28?=7p}>2983>7}:9;21>:64=00:>4303twi=9?50;490??|,:h;6<:>;h0;0?6=3`8:87>5;h026?6=3`83i7>5;h027?6=3f;857>5;c374?6=;3:1N4n;1b=8850;9j501=831d>:650;9~f45e29086=4?{%1a4?163A9m>6g>5783>>o6=>0;66a=7983>>{e9:n1<7=50;2x 6d72>:0D>h=;h362?6=3`;>;7>5;n045}#;k:1>:h4H2d1?l72>3:17d?:7;29?l7203:17d?:9;29?j4003:17p}=8583>1}Y:1>01<:?:074?874j3;>;63>3e8212=z{;;?6=4={_020>;6<90:9;5rs331>5<5sW8:>63>3c8213=z{;2n6=4={_0;a>;6;o0:955rs330>5<5sW8:?63>3e8213=z{8926=4={_30=>;6;o09;55rs01b>5<5s4;?<7<88:?27c<6=?1v<=m:181874j38<463>3g8212=z{89h6=4={<30`?40027:?k4>589~yg74?3:197>50z&0f5<0?2B8j?5f14494?=n9<=1<75f14:94?=n9<31<75`26:94?=z{;;96=4<{_026>;6<809=?52125950>55z\156=:9;>1><=4=00a>77434;?=7<>3:?272<6=?1v??;:180[46<27:8<4=159>561=9<30q~<>5;297~X59<16=?:52078944e2;;>7p}=1783>6}Y:8<01<<;:335?875j38::6s|bg83>=}Yjo16=<:5bb9>54g=jj16=?;5bb9>57e=jj16=?h5bb9>563=jj16=>65bb9~w7>3290?wS<74:?27=<51116=9?52968945028?<7p}=8783>6}Y:1<01<6}Y:1201<6}Y:1k01<;6:=094n5213`96=e53z\11o<5210c96<>53z\1<`=:98>1>464=062>7>b3ty:=o4?:3y>54g=k816=?:510`8yv75>3:1>v3>248`5>;6:k0:>;5rs015>5<5s4;897m>;<303?4002wx=>750;0x945?2j;01<:>:01:?x{tjj0;6?uQbb9>51c=jo1v?6;:181[4?<27:8h4=859~w7>12909wS<76:?20`<50?1v?67:181[4?027:8h4=899~w7>f2909wS<7a:?20`<50h1v?6l:181[4?k27:8h4=8b9~w7>c2909wS<7d:?20`<50m1v?6j:181[4?m27:8h4=8d9~w7752909wS<>2:?20`<59;1v??<:181[46;27:8h4=129~w7732909wS<>4:?20`<59=1v??::181[46=27:8h4=149~w7712909wS<>6:?20`<59?1vqol<:180>4<4sE9m87?tH33;?xJ4n<0:w):j4;18y!5e8388<6gl1;29?j4>03:17dll:188f2<72:0;6=u+3c2955`<@:l97doj:188mf7=831d>4650;9~wge=838pRom4=68ba>{t:>81<7uC3g695~N5911v@>h::0y'0`2=;2w/?o>52228mf7=831d>4650;9jff<722h<6=4<:183!5e83;;j6F5;n0:52z\ag>;02ho0q~<82;296~;02;337S<68:p625=838pRn?4=68`5>{zj;:j6=4<:080I5a<3;pD??7;|N0b0<6s->n87=4}%1a4?4482ch=7>5;n0:6<729q/?o>511d8L6`53`kn6=44ib394?=h:021<75rsca94?4|Vki01:4ne:p624=838p1:4=999]6<>52z\`5>;02j;0qpl6<52=qG?k:51zJ15==zD:l>6{#;k:1>9m4ib394?=h:021<75fbb83>>d029086=4?{%1a4?77n2B8j?5fad83>>od93:17b<68;29?xdd83:1>7>50z&0f5<6>81C?k<4i076>5<5<5sWhh70m?:076?xu5?;0;6?u27;cf?8e72;=37p}=7283>7}:?38246P=999~w7132909wSm>;<59g4=zuk;;m7>53;090~J4n=0:wE<>8:O7c3=9r.?i94<;|&0f5<55;c594?5=83:p(>l?:02e?M5a:2cji7>5;ha2>5<5<5290;w)=m0;355>N4n;1b=8;50;9l62>=831vom50;0xZge<5j:1=8;4}r046?6=:r7<6lk4=b2962>52z?4>7??3W8246s|26694?4|Vj;01:4l1:~fc3=83=1>7ltL2d7>4}O:820qA=i5;:x 1c32:1/8h<543g8 1562=o=7):kc;0:<>"3l10io6`;d583?!2cm393m6`;d782?x"4j909=k5Uae826>=uS93?7>o40h0;66a=a383>!4>>38j=6`=9483?>i5i90;6)<66;0b5>h51<0:76a=9d83>!4>>38j=6`=9481?>i5100;6)<66;0b5>h51<0876l8:18a>0"3lh0h=6*;db81===#"3ll084l5a4e495>{#;k:1>?m4Z`f96~5?2:<1qW=73;0x7d<4;3wb?5l50;9jff<722c84l4?::m1e7<72-82:7;:m1=`<72-82:75=h51<0:76gl7;29 7?12jk0b?7::398mf4=83.95;4la:l1=0<432h<6=4::58;I5a<3;pD??7;|N0b0<>s->n>7:<0:&7`d=jj1e8i:50:&7``<40h1e8i851:&7`c<4ih1e8i951:'7g6=:;n0eom50;9jg4<722e9554?::k0ed<722c84l4?::`4>5<42808wA=i4;3xL77?3t.8n=4=259jg4<722e9554?::kag?6=3k=1<7=50;2x 6d728:m7E=i2:kba?6=3`i:6=44o3;;>5<;0D>h=;h362?6=3`;>;7>5;n04n4?:283>5}#;k:1>:74H2d1?l72>3:17d?:7;29?j4003:17pl6:181>5<7s-9i<7?91:J0b7=n9464=681===z{:kj6=4={_1be>;3:j0:9;5rs2:b>5<5sW93m63;138213=z{10;6?u27;``?8?=:>20q~:=b;296~;39;0:9:5243a962>:=7>52z?4>f7<5=;96?97;|ae?6=<391:vBl?:30f?ldd2900en?50;9l6<>=831b?5o50;9a3?6=;3;1?vB52368mf7=831d>4650;9jff<722h<6=4<:183!5e83;;j6F5;n0:52z\ag>;02ho0q~<82;296~;02;337S<68:p625=838pRn?4=68`5>{zj=;96=4<:183!5e83=:7E=i2:k213<722c:9:4?::m13=<722wi57>52;294~"4j90::<5G3g08m4322900c?97:188yvdd2909wSll;<;9503;6s|28:94?4|V;33709528:8yv5?i3:1>vP<8`9>044=9<<0q~650;0x92;<626?4002wvn"3l10io6`;d583?!2cm393m6`;d782?x"4j909>h5fbb83>>od93:17b<68;29?l5?i3:17o950;195?5|D:l?603:17dll:188f2<72:0;6=u+3c2955`<@:l97doj:188mf7=831d>4650;9~wge=838pRom4=68ba>{t:>81<7t$2`3>4063A9m>6g>5483>>i5?10;66s|bb83>7}Yjj1657?:5:pg4<72;qUo<52400950152z\1===:?38246s|39c94?4|V:2j70:>2;362>{t03:1>v38:ca89<<5?11v9?>:18181=k8168<<526:8yxd5j3:187=56zN0b1<6sA8:46sC3g793~"3m;0??=5+4ec9g4=#464$5f;>ge74b3`hh6=44ib394?=h:021<75f39c94?=e?3:1?7?53zN0b1<6sA8:46s+3c296725<7s-9i<7??f:J0b7=nil0;66gl1;29?j4>03:17p}mc;296~Xek27<6lk4}r046?6=:r7<6?77;_0:<>{t:>91<75<7s-9i<79>;I1e6>o6=?0;66g>5683>>i5?10;66sm9;296?6=8r.8n=4>609K7c46=44o35;>5<:181[e634>:>7?:7:p6<>=838pR?77;<596<>52z\00io636:35;?xu3980;6?u27;a2?826:38<46srb3`4>5<4290;w)=m0;04=>N4n;1b=8850;9j501=831d>:650;9~w6>e2908wS=7b:?4>6gf348i;7?:7:pff<72084l522c5950054z?b>6>f34;i6>6n;<0a>6>f348i;7<88:p6d4=838pR?o=;<0a>7??3-9397464$2:6>7g63g9387?4}r0:a?6=:rT95h52a;0:<>"40<09m<5a39696>{t:031<76::3c2?k5?<390q~mm:181[ee348i6n?4$2:6>fg{zj;<=6=47:78bI5a<3;pD??7;|N0b0<2s->n>7:=a:&7`gxi4?h0;6)<66;14=>h51<0;76a<7983>!4>>39<56`=9482?>i4?>0;6)<66;14=>h51<0976a<7383>!4>>39<56`=9480?>ob93:1(?79:d28j7?22910eih50;&1=3;:kga?6=,;3=6h>4n3;6>7=h51<0876l=6883>6<62:qG?k:51zJ15==z,:h;6?:j;hf5>5<t$2`3>27<@:l97d?:6;29?l72?3:17b<88;29?xd4nj0;6>4?:1y'7g6=?81C?k<4i075>5<5<53;294~"4j909;45G3g08m4312900e<;8:188k71?2900q~j9:180[b1349mn7?:7:?0bf<6=?1vi950;1xZa1<5:li6<;9;<1eg?72?2wx?:?50;0xZ616349mh7<88:p7cg=838p1>hm:35;?85al3;>:6s|3g`94?4|5:lh6?97;<1e`?72?2wvi850;0xZa0<5:ln6i84}rf4>5<5sWn<70=ie;f4?xu4?80;6?uQ363896`b2:=:7psm27c94?5=939p@>h;:0yK64>5<9>:188f6`e29086=4?{%1a4?163A9m>6g>5783>>o6=>0;66a=7983>>{e;oi1<7=50;2x 6d72>;0D>h=;h362?6=3`;>;7>5;n045}#;k:1>:74H2d1?l72>3:17d?:7;29?j4003:17p}k6;297~Xc>278jo4>569>7ce=9<<0q~j8:180[b0349mn7?:6:?0bf<6=>1v>9>:181[509278ji4=799~w6`f2909w0=ib;04<>;4nm0:9;5rs2da>5<5s49mo7<88:?0ba<6=>1vq~j9:181[b1349mi7j9;|qg3?6=:rTo;63{t;>;1<75<42808wA=i4;3xL77?3t.8n=4=4d9j`3<722co;7>5;n145?6=3k9mi7>53;191~"4j908jh5fd783>>oc?3:17b=81;29?g5aj3:1?7>50z&0f5<092B8j?5f14494?=n9<=1<75`26:94?=zj:lh6=4<:183!5e83=:7E=i2:k213<722c:9:4?::m13=<722wi?kj50;194?6|,:h;6?96;I1e6>o6=?0;66g>5683>>i5?10;66s|d783>6}Yl?16?kl5145896`d28?=7p}k7;297~Xc?278jo4>579>7ce=9<=0q~=81;296~X4?816?kj526:8yv5ai3:1>v37cb=9<=0qp}k6;296~Xc>278jh4k6:p`2<72;qUh:523gg9`2=z{:=:6=4={_145>;4nl08;<5r}c626?6=;3:1N4n;1b=8850;9j501=831d>:650;9~f17329086=4?{%1a4?173A9m>6g>5783>>o6=>0;66a=7983>>{e;181<7<50;2x 6d728<:7E=i2:k210<722e9;54?::p047=839p1?8n:e4891752;=370:>4;363>{t<891<7a0<5=;?6?97;|q03d<72;qU?:o4=34a>6163-9397=89:l0<1<73ty8;54?:3y]72><5;9>;%1;1?5012d8494>;|q032<72;qU?:94=34:>6163-9397=89:l0<1<53ty8;?4?:3y]724<5:296?97;%1;1?5012d8494<;|qf5?6=:rTn=63=6c8g3>"40<0n<6`<8583?xucn3:1?vPkf:?12d579'7=3=m91e?5:51:p``<72:qUhh5227;9`2=:<881=884$2:6>`6"40<0n<6`<8580?x{t;1h1<75<5sW93m638:2:b?xu5i;0;6>uQ2`0892<5i;16>;85e09'7=3=:h;0b>6;:19~w7g72908wS7g7348=:7ji;%1;1?4f92d8494>;|q1=`<72:qU>4k4=681=`=::?<1hh5+39796d75<4sW825638:3;:?841>3n27)=75;0b5>h40=087p};0883>7}:?3ii70<96;14e>"40<0?<55a39694>{t<9=1<7;5>?08;55+397905>5<5s4=1o:522749721<,:2>69>7;o1;0?4;=7>52z?4>f4<5;<=6>9=;%1;1?2702d8494<;|a0f7=83?1?78tL2d7>4}O:820qA=i5;cx 1c32:1/8h<54228 1bf2j;0(9jl:3;;?!2c03hh7c:k4;38 1b>2kn0b9j::19'0ac=;1k0b9j9:09~ 6d72;8m7dll:188mgb=831bo<4?::m1==<722c84l4?::`4>5<22;0>wA=i4;3xL77?3t.8n=4=259jg4<722e9554?::kag?6=3`ho6=44ic494?=e?3:197>50z&0f5<6981C?k<4ic694?=nj?0;66gne;29?le62900c?77:188yg5a;3:1=7>50z&0f5<6==1C?k<4o070>5<0i86s|26094?4|5:l86<;<;<59f3=z{;=86=4={<596<>7}Yk816;7m>;|a044=8381<7>t$2`3>4063A9m>6g>5483>>i5?10;66sm43594?5=83:p(>l?:35b?M5a:2c:9;4?::k212<722e9;54?::pff<72;qUnn527;``?xuel3:1>vPmd:?762<6=?1v?77:181[4>027<6?77;|q043234>9;7?:7:p047=838p1:4l1:?757<5?11v9<9:18181=jm168?9526:8yxd61=0;694=:4yO7c2=9rB9=55rL2d6>4}#1?6s+3c296671<7>t$2`3>4773A9m>6gm6;29?lgb2900en?50;9l6<>=831vnn>50;094?6|,:h;6<8>;I1e6>o6=<0;66a=7983>>{tjj0;6?uQbb9>g5<6=<1v?9=:18181=il16o=4=799~w{t:>91<7h::0y'0`2=;2w/?o>52238mf7=831d>4650;9jff<722c2m7>5;c594?2=83:p(>l?:033?M5a:2ci:7>5;hcf>5<52z\`5>;02j;0qpl>d383>6<62:qG?k:51zJ15==zD:l>6{#;k:1>>>4ib394?=h:021<75fbb83>>d029086=4?{%1a4?77n2B8j?5fad83>>od93:17b<68;29?xuek3:1>vPmc:?4>dc7>52z?4>7??3W8246s|26194?4|Vj;01:4l1:~f40529086<4<{M1e0?7|@;;37pBod93:17b<68;29?ldd2900n:4?:283>5}#;k:1==h4H2d1?lgb2900en?50;9l6<>=831vom50;0xZge<5>0ji6s|26094?4|5>09555Q28:8yv40;3:1>vPl1:?4>f753;397~J4n=0:wE<>8:O7c3=9r.?i94<;|&0f5<5;91bo<4?::m1==<722cio7>5;c594?5=83:p(>l?:02e?M5a:2cji7>5;ha2>5<5<5sWhh7095ad9~w7152909w09528:8Z7??3ty9;>4?:3y]g4=:?3i:7psm47a94?5=:3>p@>h;:0yK64>6=z,:h;6?:l;ha2>5<50z&0f5<68o1C?k<4i`g94?=nk80;66a=9983>>{ek90;6?4?:1y'7g6=9?;0D>h=;h361?6=3f8<47>5;|qag?6=:rTio63l0;361>{t:>81<7;d838<46s|26194?4|5>09555Q28:8yv40<3:1>vPl1:?4>f753;397~J4n=0:wE<>8:O7c3=9r.?i94<;|&0f5<5;;1bo<4?::m1==<722cio7>5;c594?5=83:p(>l?:02e?M5a:2F8j94>{%354?2b82wbmh4?::k`5?6=3f8247>5;|qag?6=:rTio638:`g8yv40:3:1>v38:3;;?[4>02wx>:=50;0xZf7<5>0h=6srb563>5<42;0?wA=i4;3xL77?3tF8j84>{%6f0?55;h``>5<0;6>4?:1y'7g6=99l0D>h=;hcf>5<5<5s4=1>464^3;;?xu5?=0;6?uQc09>3?e63twi8:j50;196?2|D:l?6h7dm>:188k7??2900eom50;9a3?6=;3:1:=50;0x92<5111U>464}r040?6=:rTh=638:b38yxd3j90;6>4=:5yO7c2=9rB9=55rL2d6>4}#1?6s+3c2961e5<7s-9i<7??f:J0b7=nil0;66gl1;29?j4>03:17pll0;296?6=8r.8n=4>609K7c46=44o35;>5<7p}=7383>7}:?3kn70m?:35;?xu5?:0;6?u27;0:<>X5111v?9;:181[e634=1o<5r}c3ge?6=>3914v*;0D>h=;h362?6=3`;>;7>5;n045}#;k:1;<5G3g08m4312900e<;8:188k71?2900qo?k8;290?6=8r.8n=4=7b9K7c45<5<52z\2`0=:9m21>:64}r3g`?6=:rT:hi521e;950152z\2`6=:9m31=884}r3f7?6=:rT:i>521e:950152z\2`g=:9m=1=884}r3g6?6=:rT:h?521e5950152z?2`<<5?116=i651448yv7c?3:1>v3>d6813==:9m21=864}|`22`<72h0?6iu+3c2953c5<5<5<5<5<3:17d?:7;29?j4003:17pl>6c83>6<729q/?o>5709K7c45<2900e<;n:188m43e2900c?97:188yv71?3:1>vP>669>53e=:>20q~?kd;296~X6lm16=;j51448yv71;3:1>vP>629>53b=9<=0q~?ke;296~X6ll16=;m51458yv7cn3:1>vP>dg9>53e=9<20q~?j0;296~X6m916=;m514;8yv7b93:1>vP>e09>53?=9<=0q~?95;296~X6><16=;751448yv7cj3:1>vP>dc9>53d=9<<0q~?92;296~X6>;16=;l51458yv7103:1>v3>6e813==:9?i1=884}r35=?6=:r7::44=799>53e=9k09;55217a950d59;19f~"4j90=i6a99;29?l7cl3:17d?93;29?l7cm3:17d?kf;29?l7b83:17d?j1;29?l7cj3:17d89:188f3b=8391<7>t$2`3>26<@:l97d?:6;29?l72?3:17b<88;29?xd1j3:1?7>50z&0f5<092B8j?5f14494?=n9<=1<75`26:94?=zj?i1<7950;2x 6d72;2:7E=i2:k213<722c:9:4?::k21=<722c:944?::k21d<722c:9o4?::m13=<722wx:44?:3y]2<=:>j09;55rs0fg>5<5sW;oh639d;362>{t9?91<7dd83>7}Y9mo01;m51458yv7cn3:1>vP>dg9>2f<6=11v;<4`>43f3ty:ho4?:3y]5ad<5?h1=884}r45>5<5sW<=708m:074?xu1i3:1>v39d;04<>;1k3;>:6s|6c83>7}:>k09;5526b821g=zuk><47>52;294~"4j90::<5G3g08m4322900c?97:188yg7703:1?7>50z&0f5<092B8j?5f14494?=n9<=1<75`26:94?=zj8ii6=4<:183!5e838<56F=831vnkm50;194?6|,:h;6:?4H2d1?l72>3:17d?:7;29?j4003:17plie;297?6=8r.8n=481:J0b7=n9<<1<75f14594?=h:>21<75rb5a5>5<3290;w)=m0;50?M5a:2c:9;4?::k212<722c:954?::m13=<722wi=4850;694?6|,:h;6?9j;I1e6>o6=?0;66g>5683>>o6=10;66a=7983>>{e5}#;k:1>:74H2d1?l72>3:17d?:7;29?j4003:17pl>0683>0<729q/?o>5789K7c45<5<N4n;1b=8850;9j501=831b=8650;9j50?=831d>:650;9~f465290>6=4?{%1a4?1?3A9m>6g>5783>>o6=>0;66g>5983>>o6=00;66a=7983>>{e20D>h=;h362?6=3`;>;7>5;h3657>5;n045}#;k:1;<5G3g08m4312900e<;8:188k71?2900qo:8a;297?6=8r.8n=4=789K7c45<N4n;1b=8850;9j501=831d>:650;9~f46329086=4?{%1a4?4012B8j?5f14494?=n9<=1<75`26:94?=zj8n?6=4;:183!5e83=?7E=i2:k213<722c:9:4?::k21=<722e9;54?::a5ae=8321<7>t$2`3>7>53A9m>6g>5783>>o6=>0;66g>5983>>o6=00;66g>5`83>>o6=k0;66g>5b83>>i5?10;66sm17694?5=83:p(>l?:628L6`53`;>:7>5;h363?6=3f8<47>5;|`235<72:0;6=u+3c2962?<@:l97d?:6;29?l72?3:17b<88;29?xd6>?0;6>4?:1y'7g6=?81C?k<4i075>5<5<50z&0f5<082B8j?5f14494?=n9<=1<75`26:94?=zj=>96=4;:183!5e83=?7E=i2:k213<722c:9:4?::k21=<722e9;54?::a010=83<1<7>t$2`3>7>73A9m>6g>5783>>o6=>0;66g>5983>>o6=00;66g>5`83>>i5?10;66sm49194?2=83:p(>l?:668L6`53`;>:7>5;h363?6=3`;>47>5;n045}#;k:1;<5G3g08m4312900e<;8:188k71?2900qo:7b;292?6=8r.8n=4=819K7c45<5<5<3;7>53;294~"4j90<<6F=831vn9l9:180>5<7s-9i<79>;I1e6>o6=?0;66g>5683>>i5?10;66sm4ca94?0=83:p(>l?:3:3?M5a:2c:9;4?::k212<722c:954?::k21<<722c:9l4?::m13=<722wi8o650;194?6|,:h;6:>4H2d1?l72>3:17d?:7;29?j4003:17pl7<729q/?o>51738L6`53`;>97>5;n045}#;k:1=;?4H2d1?l72=3:17b<88;29?xd6?=0;6?4?:1y'7g6=9?;0D>h=;h361?6=3f8<47>5;|`701<72;0;6=u+3c29537<@:l97d?:5;29?j4003:17pl;8883>7<729q/?o>51738L6`53`;>97>5;n045}#;k:1=;?4H2d1?l72=3:17b<88;29?xu6?;0;69uQ160894b62;;=70?82;0:<>;6?90:9:5rs5aa>5<5sW>hn63;6=4;{_674>;61=02m63>738:e>;3<909555rs546>5<5sW>=963>978212=z{8<96=4;{_356>;6>;09555217g9534<5=i=6<;8;|qe6?6=;rTm>63>0`8`5>;am3;>:6s|4`494?4|V=k=70?66;362>{t90>1<7:t^0;7?87c938:963>9581===:9>:1=884}r`1>5n:ca89c3=jj168n?5bb9>5<2=jj16=:<5bb9~w4df2909wS?ma:?2g<;6k00io63=0`8ag>;6l;0io63>638ag>;1>3hh70:9c;``?8c>2ki019:?:ca8911c2ki019l?:ca8yv20l3:1?vP;7e9>02b=:020196::075?xu6j:0;6?uQ1c1891>028?=7p}6b;291~X>j27:h<4=159>021=9<<01;6s|4c294?5|V=h;70:m0;0:<>;3j?0:9;5rs0d`>5<5sW;mo63;b98213=z{8lo6=4={_3e`>;58h0h=6s|45;94?4|V=>270?84;361>{t{t>?0;6>uQ679>23<51116:h496:p0f7=839pR9m>;<6`5?4>027:<54>579~w4612909w0??8;363>;68>09;55rsc194?1|58n:6??<;<`0>7??34;o87?:6:?223<6=?16io4>579>014=9<<0196<:075?xu6k00;6>u21b;96<><58ii6<;9;<6;7?72?2wxih4?:3y>a<aa<6=>1v<7::18187><3i:70?66;04<>{t<>31<771?34><;7?:7:p7g`=838p1>li:3;;?82d>3;>46s|45394?4|5=>96?97;<672?72>2wx8ol50;0x91dd2;=370:m8;363>{tm00;6>u2e881===:<>k1=884=df9500i97>52z?7f3<5?1168om51458yv2e?3:1>v3;b9813==:b`<6=>1v<8i:181870838<463>678212=z{oh1<7:64}rdg>5<4s4l>6>6m;43134ln6?97;|q246<72;q6jn4>569>552=:>20q~:;5;296~;3h97>52z?7g4<40h168n8526:8yv70;3:1>v3>738`5>;6?=09;55rs5:1>5<4s4>3?7<88:?7v3;84813==:<1h1=894}r6;2?6=:r7?4:4=799>0=?=9j0h=63;6d813==z{;:j6=4<{<03e?4>027:oo4>569>ag<6=>1v<>::181877038<463>97821==z{8ij6=49{<3g5?46:27:oo4=799>023=9<<01:63;438212=z{lk1<7:64}rg`>5<5s4om6<;8;71?3ty:h84?:3y>5a4=k816=io51e78yv20<3:1>v3;74813==:<>k1=894}r642?6=:r7?;54>549>021=:>20q~?kb;290~;6lh0:ho5217g95ad<5?o1=il4=0f`>71?3ty8o=4?:3y>7g`=k816?n?526:8yv71?3:1>v3>638`5>;6>l0:::5rs7;94?4|5?<1o<526d85=>{tno0;6>u2112962><58:?6<;9;<6`e?72=2wx==?50;0x94652;=370??4;363>{t9mn1<76t=0f2>7>334;om7?kd:?22`<6lm16:h4>de9>5ae=9<<01hl514:8912528?370:73;36<>{t9mo1<76t=0f2>7>134;=i7?ke:?5a?7cm27:hn4>569>b4<6=?168985145891>228?<70:m6;363>{t9ml1<76t=0f2>7>?34;=i7?kf:?5a?7cn27:hn4>599>b4<6=>16898514:891>e28?370:mc;36<>{t9l:1<77t=0f2>7>f34;=i7?j0:?5a?7b827?:h4>579>5ae=9<301k?514:8912128?270:7b;36=>;3jj0:945rs0g2>5<>s4;o=7<7c:?22`<6m816:h4>e09>03c=9<=01;30k0:9l524ca950g7>52z?2`4<50m16=im514`8yv7b;3:1?v3>d081<`=:9mk1=h=4=0f`>43d3ty?8>4?:3y>016=k81689:526:8yv2e13:1>v3;b18`5>;3jh09;55rs5a:>5<5s4>h=7lk;<6`e?4002wx85o50;0x91>e2;=370:77;363>{t<121<7f7<5=226?97;|q2`6<72;q6=io51e1894b32;=37p}>d383>6}:9m81>464=0fb>4b534><97?:7:p535=839p1<8j:040?80b28<870?94;04<>{t9??1<740234;=:7<88:p0f1=838p19m9:075?82d038<46s|f`83>0}:n<09m?52115950?<58:;6<;6;<336?72127?o54>569'7=3=n01e?5:50:pb=<72551=9<201<>?:07;?877:3;>;63;c9821<=#;1?1j45a39695>{tn>0;68u2f481=`=:99=1=894=023>43034;;>7?:6:?7g=<6=?1/?5;5f89m7=2=:2wxj;4?:4y>b0<51016==951448946728?=70??2;36<>;3k10:955+3979b<=i;1>1?6srb50g>5<6838>69?tL2d7>4}O:820qA=i5;0xj1c22120(9k;:79~ 6d72k=0V>6<:4y16?5d28;1>54;7;l73g=831bn84?::k0=4<722e8:>4?::kb1?6=3f>?j7>5;hd1>5<5<>o3l;0;66a=b983>>o5ko0;66l=0c83>2<62>qG?k:51zJ15==zD:l>6{#;k:1=8<4ica94?=h:1>1<75`29494?=h:121<75`29c94?=n:881<75f20194?=e9=o1<7958;`xH6`328qC><64}%1a4?47j2c9=?4?::k156<722cij7>5;n0;0?6=3f83:7>5;n0;5;c320?6=;3;1?vB51018mf7=831d>4650;9jff<722h<6=4<:183!5e83;;j6F7}:?38246P=999~w7142909wSm>;<59g4=zuk;:m7>53;397~J4n=0:wE<>8:'7g6=9890en?50;9l6<>=831bnn4?::`4>5<4290;w)=m0;33b>N4n;1G?k:51z&225<3m91velk50;9jg4<722e9554?::pff<72;qUnn527;cf?xu5?;0;6?u27;0:<>X5111v?9<:181[e634=1o<5r}c32=?6==381:v*1<75f20094?=n:891<75f10c94?=h98?1<75m10:94?2=83:p(>l?:618L6`53`;>:7>5;h363?6=3`;>47>5;n045}#;k:1>:74H2d1?l72>3:17d?:7;29?j4003:17p}>1583>7}Y98>017}Y:89011483>7}Y98?01<58;<6<;8;|a572=83>1?78t$2`3>4433`8:>7>5;h32e?6=3`;:87>5;n32f?6=3k;9<7>53;294~"4j90<=6F=831vn5<7s-9i<79>;I1e6>o6=?0;66g>5683>>i5?10;66sm10d94?5=83:p(>l?:35:?M5a:2c:9;4?::k212<722e9;54?::p644=839pR??=;<314?72>27:=i4>569~w47f2909wS?>a:?265<6=>1v579~w47e2909wS?>b:?25c<5?11v1g8213=z{8;o6=4={<32`?40027:=k4>569~yg4?=3:1?7>50z&0f5<0:2B8j?5f14494?=n9<=1<75`26:94?=zj;2<6=4<:183!5e83=:7E=i2:k213<722c:9:4?::m13=<722wi>5750;194?6|,:h;6:>4H2d1?l72>3:17d?:7;29?j4003:17pl=8c83>6<729q/?o>5709K7c45<54?=98>01<<;:037?84?=3;>;63=868213=::131=894=3:a>4303ty:=l4?:6y>54g=:02014313483n7?:6:p644=839pR??=;<32=?46:27:>94=139~w7742909wS<>3:?25<<59:1voh50;1xZg`<58;?6om4=03b>ge52z\1<1=::1?1>:64}r0;2?6=:rT94;52295962>52z\1<==::131>:64}r0;e?6=:rT94l5229`962>52z?251149~w47e2909w0?>a;a2?875<3;:n6srsca94?4|Vki01<:j:cd8yv4?<3:1>vP=859>51c=:1>0q~<76;296~X50?16=9k52948yv4?03:1>vP=899>51c=:120q~<7a;296~X50h16=9k529c8yv46:3:1>vP=139>51c=:880q~<>3;296~X59:16=9k52018yxd68h0;684=:4yO7c2=9rB9=55rL2d6>3}#1<6*;e38775=#<,=n36om4n5f7>4=z,:h;6?=<;[cg>7}5=3886pT<828171=:90veom50;9l64750;&1=3<51m1e>4;51:9jg2<72-82:7m9;o0:1?6<3`i96=4+2849g3=i:0?1=65m7;297?7=;rF8j94>{I02<>{#;k:1>>>4ib394?=h:021<75fbb83>>d029086=4?{%1a4?77n2B8j?5fad83>>od93:17b<68;29?xuek3:1>vPmc:?4>dc7>52z?4>7??3W8246s|26194?4|Vj;01:4l1:~fd<72:0:6>uC3g695~N5911v(>l?:313?le62900c?77:188mge=831i;7>53;294~"4j90:7}:?38246P=999~w7142909wSm>;<59g4=zuzhh6=4<{_``?81=jj16m7ll;|q1=`<72;qU>4k4=`81===#;1?1>4j4n2:7>5=z{;326=4={_0:=>;02;337)=75;0:`>h40=0:7p}l7;296~Xd?27j6n?4$2:6>f02d8494>;|a02e=8391=7=tL2d7>4}O:820qA=i5;3x 1c3291v(>l?:313?le62900c?77:188mge=831i;7>53;294~"4j90:7}:?38246P=999~w7142909wSm>;<59g4=zuk9i=7>57;09f~J4n=0:wE<>8:O7c3=ir.?i94?;%6f6?25m2.??<4;e79'0ae=:020(9j7:ca8j1b3281/8i75be9m0a3=92.?hh4<8`9m0a0=92w/?o>52328^db=9r936pT<82816g=;m0veom50;9jfa<722c84l4?::m1e7<72-82:7;:m1=`<72-82:75oi7=7a:l7`3<63t.8n=4=359Yea<5s:21?;4rZ2:0>7}4i3986pgmc;29?ldc2900e>6n:188k7g5290/>4852`38j7?22910c?o?:18'6<0=:h;0b?7::098k7?b290/>4852`38j7?22;10c?76:18'6<0=:h;0b?7::298mfd=83.95;4la:l1=0<732ch57>5$3;5>fg6<54ib594?"51?0hm6`=9481?>od:3:1(?79:bc8j7?22:10n:4?:480>2}K;o>1=vF=199~H6`220q/8h<54228 1bf2j;0(9jl:3;;?!2c03hh7c:k4;38 1b>2kn0b9j::09'0ac=;1k0b9j9:09~ 6d72;9>7dll:188mgb=831bo<4?::m1==<722c84l4?::`4>5<22;0>wA=i4;3xL77?3t.8n=4=259jg4<722e9554?::kag?6=3`ho6=44ic494?=e?3:197>50z&0f5<6981C?k<4L2d7>4}#9?:18h>4}h`7>5<>od93:17b<68;29?xd4n:0;6<4?:1y'7g6=9<>0D>h=;n367?6=3tyio7>52z\ag>;02ho0q~lk:181[dc34=1n95rs351>5<5s49m?7?:3:?4>g052z?4>7??3W8246s|26694?4|Vj;01:4l1:~f17529086=4?{%1a4?163A9m>6g>5783>>o6=>0;66a=7983>>{e<;=1<7=50;2x 6d72;=27E=i2:k213<722c:9:4?::m13=<722wxnn4?:3y]ff=:?3hh7p}md;296~Xel27?>:4>569~wf7=838pRn?4=531>4303ty9554?:3y]6<><5>09555rs2:b>5<4sW93m63;138213=:<;=1=884}r625?6=:r7<6n?4=531>71?3ty?>;4?:3y>3?dc34>9;7<88:~fd<72<086:uC3g695~N5911v@>h::8y'0`4=<::0(9jn:b38 1bd2;337):k8;``?k2c<3;0(9j6:cf8j1b2281/8ik539c8j1b1281v(>l?:315?ldd2900eoj50;9jg4<722e9554?::k05;h`g>5<109K7c403:17pl4<729q/?o>51468L6`53f;>?7>5;|qag?6=:rTio638:`g8yvdc2909wSlk;<59f1=z{;=96=4={<1e7?72;27<6o84}r047?6=:r7<6?77;_0:<>{t:>>1<75<7s-9i<79>;I1e6>o6=?0;66g>5683>>i5?10;66sm43594?5=83:p(>l?:35:?M5a:2c:9;4?::k212<722e9;54?::pff<72;qUnn527;``?xuel3:1>vPmd:?762<6=>1vn?50;0xZf7<5=;96<;8;|q1==<72;qU>464=681===z{:2j6=4<{_1;e>;39;0:9;524359500:=7>52z?4>f7<5=;96?97;|q763<72;q6;7lk;<613?4002wvn"3l10io6`;d582?!2c13ho7c:k5;38 1bb2:2j7c:k6;38y!5e8388;6gmc;29?ldc2900en?50;9l6<>=831b?5o50;9a3?6==3819vB52368mf7=831d>4650;9jff<722cih7>5;h`5>5<0;684?:1y'7g6=98;0D>h=;M1e0?7|,8<;69k?;|ka0?6=3`h=6=44i`g94?=nk80;66a=9983>>{e;o91<7?50;2x 6d728??7E=i2:m216<722wxnn4?:3y]ff=:?3kn7p}md;296~Xel27<6o:4}r046?6=:r78j>4>529>3?d13ty9;>4?:3y>3?4>02T9555rs357>5<5sWi:7095c09~yg26:3:1?7>50z&0f5<092B8j?5f14494?=n9<=1<75`26:94?=zj=8<6=4<:183!5e838<56F=831vom50;0xZge<5>0io6s|be83>7}Yjm168?951458yve62909wSm>;<626?72?2wx>4650;0xZ7??34=1>464}r1;e?6=;rT84l524009500<5=8<6<;9;|q754<72;q6;7m>;<626?4002wx8?850;0x92:4=799~yg4e290>6>48{M1e0?7|@;;37pB8<6*;d`8`5>"3lj09555+4e:9ff=i1=6*;d88a`>h3l<0:7):ke;1;e>h3l?0:7p*f2900n:4?:481>0}K;o>1=vF=199~ 6d72;8?7dm>:188k7??2900eom50;9jfa<722ci:7>5;c594?3=83:p(>l?:032?M5a:2F8j94>{%354?2b82wbn94?::ka2?6=3`kn6=44ib394?=h:021<75rb2d0>5<6290;w)=m0;360>N4n;1d=8=50;9~wge=838pRom4=68ba>{tjm0;6?uQbe9>3?d33ty9;?4?:3y>7c5=9<901:4m6:p625=838p1:4=999]6<>52z\`5>;02j;0qpl;1383>6<729q/?o>5709K7c45<569~w7??2909wS<68:?4>7??3ty84l4?:2y]7=g<5=;96<;9;<613?72>2wx8071=:>20qp}mc;291~Xek27<6om4=`8ag>;6j3hh70wSlk;<59fa=:i3ho70?m:cf897d=jm1v>6n:186[5?i27<6>6n;7>52z\1e7=::k09555+39796d75<5sW8j<63>b;0:<>"40<09m<5a39695>{t:0o1<76::3c2?k5?<380q~<69;296~X51016;7<68:&0<0<5i81e?5:53:pgg<72;qUoo522c8`5>"40<0hm6`<8583?xud13:1>vPl9:?2f?e63-9397mn;o1;0?7=<12hqG?k:51zJ15==zD:l>68u+4d0907g<,=ni6i64$5g;>d=#2=z,:h;6??n;[cg>7}4139<6pT<82816d=;=0vc>9n:18'6<0=;>30b?7::198k61?290/>48536;8j7?22810c>98:18'6<0=;>30b?7::398k615290/>48536;8j7?22:10eh?50;&1=34n3;6>4=h51<0976gk9;29 7?12l:0b?7::298f70>29086<4<{M1e0?7|@;;37p*5;n145?6=3k9mn7>53;294~"4j90<=6F=831vn>hl:180>5<7s-9i<79>;I1e6>o6=?0;66g>5683>>i5?10;66sm3gf94?5=83:p(>l?:35:?M5a:2c:9;4?::k212<722e9;54?::p`3<72:qUh;523g`9501<5:lh6<;9;|qg3?6=;rTo;6352z?0bg<5?116?kj51448yv5aj3:1>v3{tl>0;6?uQd69>7cc=l>1v>9>:181[509278jh4<709~yg41i3:1?7?53zN0b1<6sA8:46s+3c2961c>i4?80;66l6<4253gg8ma0=831bh:4?::m034<722h8jo4?:283>5}#;k:1;<5G3g08m4312900e<;8:188k71?2900qo=ic;297?6=8r.8n=481:J0b7=n9<<1<75f14594?=h:>21<75rb2dg>5<4290;w)=m0;04=>N4n;1b=8850;9j501=831d>:650;9~wa0=839pRi84=2da>430349mo7?:6:p`2<72:qUh:523g`9500<5:lh6<;8;|q034<72;qU?:?4=2dg>71?3ty8jl4?:3y>7cd=:>201>hk:075?xu4nk0;6?u23ga962><5:lo6<;8;|p`3<72;qUh;523gg9`3=z{m=1<7k0;6>4>:2yO7c2=9rB9=55r$2`3>72b3`n=6=44ie594?=h;>;1<75m3gg94?5=;3?p(>l?:2df?lb12900ei950;9l727=831i?kl50;194?6|,:h;6:?4H2d1?l72>3:17d?:7;29?j4003:17pl6<729q/?o>5709K7c45<579~wa1=839pRi94=2da>431349mo7?:7:p727=838pR>9>;<1e`?4002wx?ko50;0x96`e2;=370=id;362>{t;oh1<771?349mh7?:7:~wa0=838pRi84=2df>a07}Y;>;01>hj:252?x{e<881<7=50;2x 6d72>:0D>h=;h362?6=3`;>;7>5;n045}#;k:1;=5G3g08m4312900e<;8:188k71?2900qo=72;296?6=8r.8n=4>609K7c46=44o35;>5<:=7>53z?12d27?=?4=799>042=9<=0q~:>3;296~;5>k0o:63;15813==z{:=j6=4={_14e>;5>k08;<5+397972?5<5sW9<463=6`8034=#;1?1?:74n2:7>4=z{:=<6=4={_143>;5>008;<5+397972?5<5sW9<>63<83813==#;1?1?:74n2:7>6=z{l;1<76::d28j6>3291vih50;1xZa`<5;4313-9397k?;o1;0?72;362>"40<0n<6`<8581?xuc13:18vPk9:?12<27?=?4>569>7=4=96::d28j6>32:1vq~ll:181[dd34=1nn5rscf94?4|Vkn01:4md:p7=g=838pR>6n;<597=g7>53z\1e7=:?38j>63=678f5>"40<09m<5a39694>{t:h:1<7=t^3c3?81=:h:01?89:ed8 6>22;k:7c=74;38yv4>m3:1?vP=9d9>3?4>m279:;4ke:&0<0<5i81e?5:52:p67g63g9387=4}r63=?6=:r7<6nl4=345>61f3-9397:?8:l0<1<73ty?<:4?:3y>3?e>348=:7=88:&0<0<3811e?5:51:p050=838p1:4l7:?123<4?>1/?5;541:8j6>32;1v9>>:18181=k;16>;853608 6>22=:37c=74;18yxd4>h0;684=:4yO7c2=9rB9=55rL2d6>4}#1<6s+3c296705}#;k:1=5;n0:4?:083>5}#;k:1=8:4H2d1?j72;3:17p}mc;296~Xek27<6lk4}r`g>5<5sWho7095b59~w7152909w0=i3;367>;02k<0q~<83;296~;02;337S<68:p622=838pRn?4=68`5>{zj:<86=4::386I5a<3;pD??7;|N0b0<6s->n87>4}%1a4?45>2ch=7>5;n0:5<7s-9i<7?>1:J0b7=nj=0;66gm6;29?lgb2900en?50;9l6<>=831vn>h<:182>5<7s-9i<7?:4:J0b7=h9<91<75rsca94?4|Vki01:4ne:pfa<72;qUni527;`7?xu5?;0;6?u23g19505<5>0i:6s|26194?4|5>09555Q28:8yv40<3:1>vPl1:?4>f70}K;o>1=vF=199~H6`228q/8h:50:'7g6=:;<0en?50;9l6<>=831bnn4?::ka`?6=3`h=6=44b683>0<729q/?o>51038L6`53`h?6=44ic494?=nil0;66gl1;29?j4>03:17pl4<729q/?o>51468L6`53f;>?7>5;|qag?6=:rTio638:`g8yvdc2909wSlk;<59f1=z{;=96=4={<1e7?72;27<6o84}r047?6=:r7<6?77;_0:<>{t:>>1<77<6irF8j94>{I02<>{K;o?14v*;e583?!2b:3>9i6*;3087a3=#464$5f;>ge!4>>382n6`=9483?>i51h0;6)<66;0:f>h51<0:76a=a883>!4>>382n6`=9481?>i5i10;6)<66;0:f>h51<0876a=a683>!4>>382n6`=9487?>i5i?0;6)<66;0:f>h51<0>76a=a483>!4>>382n6`=9485?>i5i=0;6)<66;0:f>h51<0<76a=a383>!4>>382n6`=948;?>i5i90;6)<66;0:f>h51<0276a=9d83>!4>>382n6`=948b?>i5100;6)<66;0:f>h51<0i76l8:182e?e=9hqG?k:51zJ15==zD:l>6:u+4d09066<,=nj6n?4$5f`>7??3->o47ll;o6g0?7<,=n26oj4n5f6>4=z,:h;6?=6;[cg>7}6:3l1qW=73;0x505;h`g>5<6=54o3;b>5<#:0<1>4l4n3;6>4=6?54o3c;>5<#:0<1>4l4n3;6>6=6954o3c5>5<#:0<1>4l4n3;6>0=6=4+284966;54o3c7>5<#:0<1>4l4n3;6>2=6554o3c3>5<#:0<1>4l4n3;6><=6l54o3;:>5<#:0<1>4l4n3;6>g=h51<0;76gl3;29 7?12j>0b?7::098ma4=83.95;4l4:l1=0<532co=7>5$3;5>f26>54ie294?"51?0h86`=9487?>odn3:1(?79:b68j7?22<10enk50;&1=32=h51<0376gl9;29 7?12j>0b?7::898mf1=83.95;4l4:l1=07>5$3;5>f26o54b683>0<525<t$2`3>4763A9m>6gm4;29?ld12900elk50;9jg4<722e9554?::a7c5=83;1<7>t$2`3>4333A9m>6a>5283>>{tjj0;6?uQbb9>3?gb3tyih7>52z\a`>;02k>0q~<82;296~;4n:0:9>527;`5?xu5?:0;6?u27;0:<>X5111v?9;:181[e634=1o<5r}cc94?3=:3?p@>h;:0yK64>5;h``>5<>d0290>6=4?{%1a4?7692B8j?5fb583>>oe>3:17doj:188mf7=831d>4650;9~f6`4290:6=4?{%1a4?72<2B8j?5`14194?=z{ki1<77}:;o91=8=4=68a2>{t:>91<752348mf7=831d>4650;9jff<722cih7>5;h`5>5<0;684?:1y'7g6=98;0D>h=;h`7>5<>od93:17b<68;29?xd4n:0;6<4?:1y'7g6=9<>0D>h=;n367?6=3tyio7>52z\ag>;02ho0q~lk:181[dc34=1n95rs351>5<5s49m?7?:3:?4>g052z?4>7??3W8246s|26694?4|Vj;01:4l1:~f7d=83?1>7;tL2d7>4}O:820q)=m0;012>od93:17b<68;29?ldd2900eoj50;9jf3<722h<6=4::183!5e83;:=6F5;hcf>5<:183!5e83;>86F0ji6s|be83>7}Yjm16;7l;;|q137<72;q6?k=51418922wx>:=50;0x92<5111U>464}r040?6=:rTh=638:b38yxd4:3:197<55zN0b1<6sA8:46s+3c296705}#;k:1=5;n0:4?:083>5}#;k:1=8:4H2d1?j72;3:17p}mc;296~Xek27<6lk4}r`g>5<5sWho7095b59~w7152909w0=i3;367>;02k<0q~<83;296~;02;337S<68:p622=838pRn?4=68`5>{zj:o1<7;52;7xH6`328qC><64}%1a4?45>2ch=7>5;n0:5<7s-9i<7?>1:J0b7=nj=0;66gm6;29?lgb2900en?50;9l6<>=831vn>h<:182>5<7s-9i<7?:4:J0b7=h9<91<75rsca94?4|Vki01:4ne:pfa<72;qUni527;`7?xu5?;0;6?u23g19505<5>0i:6s|26194?4|5>09555Q28:8yv40<3:1>vPl1:?4>f755;091~J4n=0:wE<>8:'7g6=:;<0en?50;9l6<>=831bnn4?::ka`?6=3`h=6=44b683>0<729q/?o>51038L6`53`h?6=44ic494?=nil0;66gl1;29?j4>03:17pl4<729q/?o>51468L6`53f;>?7>5;|qag?6=:rTio638:`g8yvdc2909wSlk;<59f1=z{;=96=4={<1e7?72;27<6o84}r047?6=:r7<6?77;_0:<>{t:>>1<703:17dll:188mgb=831bn;4?::`4>5<2290;w)=m0;325>N4n;1bn94?::ka2?6=3`kn6=44ib394?=h:021<75rb2d0>5<6290;w)=m0;360>N4n;1d=8=50;9~wge=838pRom4=68ba>{tjm0;6?uQbe9>3?d33ty9;?4?:3y>7c5=9<901:4m6:p625=838p1:4=999]6<>52z\`5>;02j;0qpl;b;291?4==rF8j94>{I02<>{#;k:1>?84ib394?=h:021<75fbb83>>oel3:17dl9:188f2<72<0;6=u+3c29547<@:l97dl;:188mg0=831bmh4?::k`5?6=3f8247>5;|`0b6<7280;6=u+3c29502<@:l97b?:3;29?xuek3:1>vPmc:?4>dc?638:c48yv40;3:1>v38:3;;?[4>02wx>::50;0xZf7<5>0h=6srb5a94?3=:3?p@>h;:0yK64>5;h``>5<>d0290>6=4?{%1a4?7692B8j?5fb583>>oe>3:17doj:188mf7=831d>4650;9~f6`4290:6=4?{%1a4?72<2B8j?5`14194?=z{ki1<77}:;o91=8=4=68a2>{t:>91<75<22;0>wA=i4;3xL77?3t.8n=4=279jg4<722e9554?::kag?6=3`ho6=44ic494?=e?3:197>50z&0f5<6981C?k<4ic694?=nj?0;66gne;29?le62900c?77:188yg5a;3:1=7>50z&0f5<6==1C?k<4o070>5<0i86s|26094?4|5:l86<;<;<59f3=z{;=86=4={<596<>7}Yk816;7m>;|ag?6==3819vB52348mf7=831d>4650;9jff<722cih7>5;h`5>5<0;684?:1y'7g6=98;0D>h=;h`7>5<>od93:17b<68;29?xd4n:0;6<4?:1y'7g6=9<>0D>h=;n367?6=3tyio7>52z\ag>;02ho0q~lk:181[dc34=1n95rs351>5<5s49m?7?:3:?4>g052z?4>7??3W8246s|26694?4|Vj;01:4l1:~wge=83npRom4=68ag>;f2ki016g7ll;<1f>ge<5=31nn524`8ag>;3j3hh70:l:ca89g5e?dc34;i6oj4=3`9fa=:;;0ih632kn019o5be9>0g7}Y:0i01n4=999'7=3=:0h0b>6;:19~w7?f2909wS<6a:?a>7??3-9397<6b:l0<1<63ty9m44?:3y]6d?<5=i1>464$2:6>7?e3g9387<4}r0b4l4n2:7>6=z{;k<6=4={_0b3>;3i38246*<8481=g=i;1>186s|2`494?4|V;k=70:6:3;;?!5?=382n6`<8586?xu5i<0;6?uQ2`7896c=:020(>6::3;a?k5?<3<0q~6;:69~w7g52909wS02.8484=9c9m7=2=02wx>l>50;0xZ7g734;i6?77;%1;1?4>j2d84946;|q1=`<72;qU>4k4=`81===#;1?1>4l4n2:7>d=z{;326=4={_0:=>;02;337)=75;0:f>h40=0i7p}l5;296~Xd=27h6n?4$2:6>f2;|qg6?6=:rTo>63;c;a2?!5?=3i?7c=74;08yvb62909wSj>;<6a>f7<,:2>6n:4n2:7>6=z{m:1<7h40=0>7p}le;296~Xdm278i7m>;%1;1?e33g938784}rag>5<5sWio70==:b38 6>22j>0b>6;:69~wfd=838pRnl4=3`9g4=#;1?1o95a3969<>{tk00;6?uQc89>5g3tyh;7>52z\`3>;f2j;0(>6::b68j6>32h1vn<50;0xZf4<5>0h=6*<848`0>h40=0i7psm27494?7?28<1>?uC3g695~N5911v@>h::4y'0`4=<;k0(9jm:e:8 1c?2h1/8ij53638 1c02>1v(>l?:33a?_gc2;q:87??:|X0<6<5s8=1i7s`36794?"51?08;95a28794>=h;>91<7*=978031=i:0?1=65`39394?"51?08;95a28796>=h;1:1<7*=978031=i:0?1?65`36d94?"51?08;95a28790>=h;>o1<7*=978031=i:0?1965`36f94?"51?08;95a28792>=h;>i1<7*=978031=i:0?1;65`36c94?"51?08;95a2879<>=h;>21<7*=978031=i:0?1565`36594?"51?08;95a2879e>=h;>81<7*=978031=i:0?1n65fdb83>!4>>3ni7c<65;28?lbf290/>485dc9m6<3=921bi54?:%0:2?be3g8297<4;hg4>5<#:0<1ho5a28797>=nm?0;6)<66;fa?k4>=3>07dk::18'6<0=lk1e>4;55:9ja1<72-82:7jm;o0:1?0<3`o86=4+2849`g=i:0?1;65fe083>!4>>3ni7c<65;:8?lba290/>485dc9m6<3=121bhh4?:%0:2?be3g8297o4;hf:>5<#:0<1ho5a2879f>=e:?31<7=51;1xH6`328qC><64}%1a4?43m2co:7>5;hf4>5<5<42:0>w)=m0;1ea>oc>3:17dj8:188k6162900n>hm:180>5<7s-9i<79>;I1e6>o6=?0;66g>5683>>i5?10;66sm3ga94?5=83:p(>l?:638L6`53`;>:7>5;h363?6=3f8<47>5;|`0ba<72:0;6=u+3c2962?<@:l97d?:6;29?l72?3:17b<88;29?xuc>3:1?vPk6:?0bg<6=>16?km51448yvb02908wSj8;<1ef?72>278jn4>569~w6162909wS=81:?0ba<5?11v>hn:18185aj38<463569~yvb12909wSj9;<1ea?b13tyo;7>52z\g3>;4nl0o;6s|36394?4|V:=:70=ie;145>{zj;5;c1ea?6=;3919v*21<75rb2d`>5<4290;w)=m0;52?M5a:2c:9;4?::k212<722e9;54?::a7cb=8391<7>t$2`3>71>3A9m>6g>5783>>o6=>0;66a=7983>>{tl?0;6>uQd79>7cd=9<=01>hl:075?xuc?3:1?vPk7:?0bg<6=?16?km51458yv5093:1>vP<709>7cb=:>20q~=ia;296~;4nk09;5523gf950052z?0bf<5?116?kj51458yxuc>3:1>vPk6:?0b`2wxh:4?:3y]`2=:;oo1h:5rs252>5<5sW9<=6353;397~J4n=0:wE<>8:'7g6=:=o0ei850;9j`2<722e8;<4?::`0b`<72:0868u+3c297cc>i4?80;66l6<729q/?o>5709K7c45<N4n;1b=8850;9j501=831d>:650;9~f6`c29086=4?{%1a4?4012B8j?5f14494?=n9<=1<75`26:94?=z{m<1<7=t^e4896`e28?<70=ic;362>{tl>0;6>uQd69>7cd=9<<01>hl:074?xu4?80;6?uQ363896`c2;=37p}7}:;oh1>:64=2dg>4313ty8jo4?:3y>7ce=:>201>hk:074?x{tl?0;6?uQd79>7cc=l?1vi950;0xZa1<5:ln6i94}r145?6=:rT8;<523gg97276}K;o>1=vF=199~ 6d72;>n7dj9:188ma1=831d?:?50;9a7cc=8391?7;t$2`3>6`b3`n=6=44ie594?=h;>;1<75m3g`94?5=83:p(>l?:638L6`53`;>:7>5;h363?6=3f8<47>5;|`0bf<72:0;6=u+3c2934=O;o80e<;9:188m4302900c?97:188yg5al3:1?7>50z&0f5<5?01C?k<4i075>5<5<;63{t;>;1<72wx?kl50;0x96`d2;=370=id;363>{z{m<1<76163twi>;j50;195?5|D:l?69>:188f6`b29086>4:{%1a4?5am2co:7>5;hf4>5<5<4290;w)=m0;52?M5a:2c:9;4?::k212<722e9;54?::a7ce=8391<7>t$2`3>27<@:l97d?:6;29?l72?3:17b<88;29?xd4nm0;6>4?:1y'7g6=:>30D>h=;h362?6=3`;>;7>5;n0453z\g2>;4nk0:9:523ga9500:63;4nm09;55rs2db>5<5s49mn7<88:?0ba<6=?1v>hm:18185ak38<4639>;<1ea?5092wvn?8j:180>4<4sE9m87?tH33;?x"4j9098h5fd783>>oc?3:17b=81;29?g5am3:1?7=55z&0f5<4nl1bh;4?::kg3?6=3f9<=7>5;c1ef?6=;3:1N4n;1b=8850;9j501=831d>:650;9~f6`d29086=4?{%1a4?163A9m>6g>5783>>o6=>0;66a=7983>>{e;on1<7=50;2x 6d72;=27E=i2:k213<722c:9:4?::m13=<722wxh;4?:2y]`3=:;oh1=894=2d`>4313tyo;7>53z\g3>;4nk0:9;523ga950152z\034=:;on1>:64}r1ee?6=:r78jo4=799>7cb=9<<0q~=ib;296~;4nj09;5523gf950152z\g2>;4nl0o:6s|d683>7}Yl>16?kk5d69~w6162909wS=81:?0b`<4?81vqo<9f;297?7=;rF8j94>{I02<>{#;k:1>9k4ie494?=nl>0;66a<7083>>d4nl0;6>4<:4y'7g6=;oo0ei850;9j`2<722e8;<4?::`0bg<72:0;6=u+3c2934=O;o80e<;9:188m4302900c?97:188yg5ak3:1?7>50z&0f5<092B8j?5f14494?=n9<=1<75`26:94?=zj:lo6=4<:183!5e838<56F=831vi850;1xZa0<5:li6<;8;<1eg?72>2wxh:4?:2y]`2=:;oh1=884=2d`>4303ty8;<4?:3y]727<5:lo6?97;|q0bd<72;q6?kl526:896`c28?=7p}7}:;oi1>:64=2dg>4303twxh;4?:3y]`3=:;oo1h;5rse594?4|Vm=01>hj:e58yv5093:1>vP<709>7cc=;>;0qpl=7183>6<62:qG?k:51zJ15==z,:h;6?:j;hf5>5<t$2`3>27<@:l97d?:6;29?l72?3:17b<88;29?xd4nj0;6>4?:1y'7g6=?81C?k<4i075>5<5<53;294~"4j909;45G3g08m4312900e<;8:188k71?2900q~j9:180[b1349mn7?:7:?0bf<6=?1vi950;1xZa1<5:li6<;9;<1eg?72?2wx?:?50;0xZ616349mh7<88:p7cg=838p1>hm:35;?85al3;>:6s|3g`94?4|5:lh6?97;<1e`?72?2wvi850;0xZa0<5:ln6i84}rf4>5<5sWn<70=ie;f4?xu4?80;6?uQ363896`b2:=:7psm26394?5=939p@>h;:0yK64>5<9>:188f6`e29086=4?{%1a4?163A9m>6g>5783>>o6=>0;66a=7983>>{e;oi1<7=50;2x 6d72>;0D>h=;h362?6=3`;>;7>5;n045}#;k:1>:74H2d1?l72>3:17d?:7;29?j4003:17p}k6;297~Xc>278jo4>569>7ce=9<<0q~j8:180[b0349mn7?:6:?0bf<6=>1v>9>:181[509278ji4=799~w6`f2909w0=ib;04<>;4nm0:9;5rs2da>5<5s49mo7<88:?0ba<6=>1vq~j9:181[b1349mi7j9;|qg3?6=:rTo;63{t;>;1<75<42808wA=i4;3xL77?3t.8n=4=4d9j`3<722co;7>5;n145?6=3k9mi7>53;191~"4j908jh5fd783>>oc?3:17b=81;29?g5aj3:1?7>50z&0f5<092B8j?5f14494?=n9<=1<75`26:94?=zj:lh6=4<:183!5e83=:7E=i2:k213<722c:9:4?::m13=<722wi?kj50;194?6|,:h;6?96;I1e6>o6=?0;66g>5683>>i5?10;66s|d783>6}Yl?16?kl5145896`d28?=7p}k7;297~Xc?278jo4>579>7ce=9<=0q~=81;296~X4?816?kj526:8yv5ai3:1>v37cb=9<=0qp}k6;296~Xc>278jh4k6:p`2<72;qUh:523gg9`2=z{:=:6=4={_145>;4nl08;<5r}c05525g8ma0=831bh:4?::m034<722h8jh4?:280>0}#;k:1?kk4ie494?=nl>0;66a<7083>>d4nk0;6>4?:1y'7g6=?81C?k<4i075>5<5<53;294~"4j90<=6F=831vn>hk:180>5<7s-9i<7<89:J0b7=n9<<1<75f14594?=h:>21<75rse494?5|Vm<01>hm:074?85ak3;>:6s|d683>6}Yl>16?kl5144896`d28?<7p}<7083>7}Y;>;01>hk:35;?xu4nh0;6?u23g`962><5:lo6<;9;|q0bg<72;q6?km526:896`c28?<7ps|d783>7}Yl?16?kk5d79~wa1=838pRi94=2df>a152z\034=:;oo1?:?4}|`757<72:0;6=u+3c2935=O;o80e<;9:188m4302900c?97:188yg26<3:1?7>50z&0f5<082B8j?5f14494?=n9<=1<75`26:94?=zj=;=6=4<:183!5e83=;7E=i2:k213<722c:9:4?::m13=<722wi8<650;194?6|,:h;6:>4H2d1?l72>3:17d?:7;29?j4003:17pl;1`83>6<729q/?o>5719K7c45<N4n;1b=8850;9j501=831d>:650;9~f17b29086=4?{%1a4?173A9m>6g>5783>>o6=>0;66a=7983>>{e<;:1<7=50;2x 6d72>:0D>h=;h362?6=3`;>;7>5;n04?4?:283>5}#;k:1;=5G3g08m4312900e<;8:188k71?2900qo:=4;297?6=8r.8n=480:J0b7=n9<<1<75f14594?=h:>21<75rb2:1>5<5290;w)=m0;355>N4n;1b=8;50;9l62>=831v9?>:180841i3n=70:>2;04<>;39=0:9:5rs530>5<4s48=n7j9;<620?40027?=;4>569~w1722908w0<9c;f5?826>38<463;198212=z{=;<6=4<{<05`?b134>:47<88:?75d<6=>1v9?6:180841m3n=70:>a;04<>;39j0:9:5rs53a>5<4s48=j7j9;<62g?40027?=h4>569~w17c2908w0<80;f5?826m38<463;218212=z{=;m6=4<{<045?b134>9<7<88:?767<6=>1v9<>:180841?3n=70:=2;04<>;3:=0:9:5rs500>5<5s48=47j9;<610?4002wx?:;50;0xZ612348=47=81:&0<0<4?=1e?5:50:p725=838pR>9<;<053?5092.8484<759m7=2=92wx?5?50;0xZ6>6348<=7=81:&0<0<4?=1e?5:52:p7=6=838pR>6?;<044?5092.8484<759m7=2=;2wx?:h50;0xZ61a348=j7=81:&0<0<4?=1e?5:54:p72c=838pR>9j;<05a?5092.8484<759m7=2==2wx?:j50;0xZ61c348=h7=81:&0<0<4?=1e?5:56:p72e=838pR>9l;<05g?5092.8484<759m7=2=?2wx?:o50;0xZ61f348=n7=81:&0<0<4?=1e?5:58:p72>=838pR>97;<05e?5092.8484<759m7=2=12wx?:950;0xZ610348=57=81:&0<0<4?=1e?5:5a:p724=838pR>9=;<1;6?4002.8484<759m7=2=j2wxhn4?:3y]`f=::?21h:5+3979`g=i;1>1<6s|d`83>6}Ylh16>;95d69>072=9<<0(>6::e`8j6>3281vh650;1xZ`><5;=:6i94=501>4313-9397jm;o1;0?4"40<0on6`<8580?xub>3:1?vPj6:?12c579'7=3=lk1e?5:54:pa0<72:qUi85227g9`2=:<8i1=884$2:6>ad01?8k:e58917f28?=7)=75;fa?k5?<3<0q~k<:180[c4348=o7j8;<622.8484kb:l0<1<03tyn=7>53z\f5>;5>k0o;63;178213=#;1?1ho5a3969<>{tlo0;6>uQdg9>63g=l>168<:51448 6>22mh0b>6;:89~wac=839pRik4=34:>a1<5=;96<;9;%1;1?be3g9387o4}rf:>5<3sWn270<99;f5?826:3;>;63<838210=#;1?1ho5a3969f>{z{ki1<76}Y:0i01:4=9b9>630=lj1/?5;528`8j6>3291v?7n:180[4>i27<6?7n;<052?bf3-9397<6b:l0<1<63ty9m44?:2y]6d?<5>09m4522749a==#;1?1>4l4n2:7>7=z{;k36=4<{_0b<>;02;k370<96;g4?!5?=382n6`<8580?xu5i>0;6>uQ2`5892<5i>16>;85e79'7=3=:0h0b>6;:59~w7g12908wS7g1348=:7k:;%1;1?4>j2d8494:;|q1e0<72:qU>l;4=681e0=::?<1i95+397965<4sW8j8638:3c7?841>3o87)=75;0:f>h40=0<7p}=a383>6}Y:h801:4=a39>630=m81/?5;528`8j6>3211v?o?:180[4f827<6?o?;<052?ba3-9397<6b:l0<1<>3ty95h4?:2y]6095h522749``=#;1?1>4l4n2:7>d=z{;326=4<{_0:=>;02;3270<96;f:?!5?=382n6`<858a?xu38=0;6?u27;a6?841>39<96*<848746=i;1>1<6s|41094?4|5>0h?63=678036=#;1?18==4n2:7>4=z{=;;6=4={<59`7=::?<1?5?4$2:6>1643g9387<4}r63b?6=:r7<6i?4=345>6>73-9397:?3:l0<1<43ty?3?b7348=:7=8f:&0<0<38:1e?5:54:p05b=838p1:4lf:?123<4?l1/?5;54118j6>32<1v9>l:18181=kl16>;8536f8 6>22=:87c=74;48yv27j3:1>v38:bf897012:=h7)=75;637>h40=0<7p};0883>7}:?3ii70<96;14e>"40<0?<>5a3969<>{t<9=1<7;5>?08;55+39790555<5s4=1o:522749721<,:2>69><;o1;0?g;=7>52z?4>f4<5;<=6>9=;%1;1?27;2d8494m;|a60b=83?1>7;tL2d7>4}O:820qA=i5;3x 1c3291v(>l?:305?le62900c?77:188mge=831bni4?::ka2?6=3k=1<7;50;2x 6d728;:7E=i2:ka0?6=3`h=6=44i`g94?=nk80;66a=9983>>{e;o91<7?50;2x 6d728??7E=i2:m216<722wxnn4?:3y]ff=:?3kn7p}md;296~Xel27<6o:4}r046?6=:r78j>4>529>3?d13ty9;>4?:3y>3?4>02T9555rs357>5<5sWi:7095c09~yg4fi3:1:7<58zN0b1<6sA8:46sC3g79e~"3m=0;7):j2;61a>"3;80?i;5+4ea96<><,=n36om4n5f7>4=#"3ll084l5a4e494>{#;k:1>?<4Z`f95~422tP84>4={3596d>i51l0;6)<66;0:`>h51<0;76a=9883>!4>>382h6`=9482?>d029036>46{M1e0?7|@;;37pB8<6*;d`8`5>"3lj09555+4e:9ff=i1=6*;d88a`>h3l<0:7):ke;1;e>h3l?0;7p*42;q9;75;h`g>5<5<#:0<1>4j4n3;6>5=6<54ib594?"51?0h:6`=9483?>od:3:1(?79:b48j7?22810n:4?:787><}K;o>1=vF=199~H6`22kq/8h<54228 1bf2j;0(9jl:3;;?!2c03hh7c:k4;38 1b>2kn0b9j::09'0ac=;1k0b9j9:19'0a`=;hk0b9j8:09~ 6d72;9h7dll:188mgb=831bo<4?::m1==<722c8ml4?::k05;h`g>5<109K7c41<75fb783>>ofm3:17dm>:188k7??2900qo=i3;295?6=8r.8n=4>559K7c45<5sWhh7095ad9~wgb=838pRoj4=68a0>{t:>81<743434=1n;5rs350>5<5s4=1>464^3;;?xu5?=0;6?uQc09>3?e63twi8<<50;194?6|,:h;6:>4H2d1?l72>3:17d?:7;29?j4003:17pl;2683>1<729q/?o>526f8L6`53`;>:7>5;h363?6=3`;>47>5;n04n4?:283>5}#;k:1>:74H2d1?l72>3:17d?:7;29?j4003:17p}mc;296~Xek27<6om4}r`g>5<5sWho70:=7;36<>{tk80;6?uQc09>07e=9<=0q~<68;296~X51116;7<68:p7dg=839pR>on;<613?72?27?>n4>579~w6>f2908wS=7a:?757<6=?168?951448yv25j3:1>v3;138212=:<;i1>:64}r625?6=:r7<6n?4=531>71?3ty?>;4?:3y>3?dc34>9;7<88:~fd<72<086:uC3g695~N5911v@>h::8y'0`4=<::0(9jn:b38 1bd2;337):k8;``?k2c<3;0(9j6:cf8j1b2281/8ik539c8j1b1281v(>l?:31g?ldd2900eoj50;9jg4<722e9554?::k05;h`g>5<109K7c41<75fb783>>ofm3:17dm>:188k7??2900qo=i3;295?6=8r.8n=4>559K7c45<5sWhh7095ad9~wgb=838pRoj4=68a0>{t:>81<743434=1n;5rs350>5<5s4=1>464^3;;?xu5?=0;6?uQc09>3?e63twi8<<50;194?6|,:h;6:?4H2d1?l72>3:17d?:7;29?j4003:17pl;2683>6<729q/?o>526;8L6`53`;>:7>5;h363?6=3f8<47>5;|qag?6=:rTio638:ca8yvdc2909wSlk;<613?72?2wxo<4?:3y]g4=:<881=894}r0:{t;1k1<7=t^2:b?826:3;>:63;268213=z{=;:6=4={<59g4=:<881>:64}r612?6=:r7<6oj4=504>71?3twi>o950;194?6|,:h;6?9n;I1e6>o6=?0;66g>5683>>i5?10;66s|39`94?5|V:2i70953`c897d028?<7p}mc;297~Xek27<6om4=`8ag>{tjm0;6>uQbe9>3?dc34k1ni5rs2:b>5<4sW93m638:2:b?84e?3;>:6s|2c494?4|5h084l522c5962>52z\1=`=:i38246*<8481=a=i;1>1<6s|28;94?4|V;32709528:8 6>22;3o7c=74;38yve02909wSm8;{tk;0;6?uQc39>3?e63-9397m9;o1;0?71}K;o>1=vF=199~H6`22;0(9k8:69~ 6d72;;h7Wok:3y12?432tP84>4={3;9646=54o251>5<#:0<1?:84n3;6>4=h51<0;76gk9;29 7?12mn0b?7::098f70>29086<4<{M1e0?7|@;;37p*5;n145?6=3k9mn7>53;294~"4j90<=6F=831vn>hl:180>5<7s-9i<79>;I1e6>o6=?0;66g>5683>>i5?10;66sm3gf94?5=83:p(>l?:35:?M5a:2c:9;4?::k212<722e9;54?::p`3<72:qUh;523g`9501<5:lh6<;9;|qg3?6=;rTo;6352z?0bg<5?116?kj51448yv5aj3:1>v3{tl>0;6?uQd69>7cc=l>1v>9>:181[509278jh4<709~yg5?:3:1>7>50z&0f5<6>81C?k<4i076>5<6>99;o1;0?67>52z\037=:;181>:64$2:6>6113g9387?4}rff>5<5sWnn70<99;f4?!5?=3no7c=74;28yvb>2908wSj6;<05=?b13493>7?:5:&0<0;|p7=d=838pR>6m;<597=d0ih6s|39c94?4|V:2j709539c8yv4>m3:1?vP=9d9>3?4>m279:;4ke:&0<0<51m1e?5:50:p67?c3g9387?4}r632?6=:r7<6n94=345>6103-9397:?5:l0<1<73ty?<<4?:3y>3?e5348=:7=82:&0<0<38<1e?5:51:~f6c>290<6?4l{M1e0?7|@;;37pBn:6*;db81===#"3ll084l5a4e494>{#;k:1>?=4Z`f95~242tP84>4={5790=1<7*=9781e6=i:0?1<65`2`094?"51?09m>5a28795>=h:h:1<7*=9781e6=i:0?1>65`28g94?"51?09m>5a28797>=h:031<7*=9781e6=i:0?1865m7;29g?3=krF8j94>{I02<>{K;o?1;v*;e38775=#<,=n36om4n5f7>4=#5=z,:h;6?=j;[cg>7}3;3>:6pT<828113=;o0veom50;9j7=g=831d>l:50;&1=3<5i:1e>4;50:9l6d4=83.95;4=a29m6<3=921d>l>50;&1=3<5i:1e>4;52:9l64750;&1=3<5i:1e>4;54:9jga<72-82:7ml;o0:1?6<3`ii6=4+2849gf=i:0?1=65fc883>!4>>3ih7c<65;08?le0290/>485cb9m6<3=;21bo?4?:%0:2?ed3g8297:4;c594?2=:3?p@>h;:0yK64>1573->om7m>;%6gg?4>02.?h54mc:l7`1<63->oi7=7a:l7`3<73t.8n=4=3g9jff<722ch=7>5;n0:5;c594?5=939p@>h;:0yK64>5;h``>5<0;6>4?:1y'7g6=99l0D>h=;M1e0?7|,8<;69k?;|kba?6=3`i:6=44o3;;>5<:0D>h=;h362?6=3`;>;7>5;n0452z\ag>;02ki0q~m>:181[e634>:>7?:7:p6<>=838pR?77;<596<>52z\071?3twim7>54;091~J4n=0:wE<>8:O7c3=?r.?i?4;319'0ag=k81/8im528:8 1b?2ki0b9j;:09'0ac=;1k0b9j9:19~ 6d72;>;7dll:188mf7=831d>4650;9j7=g=831i;7>53;397~J4n=0:wE<>8:'7g6=:;>0en?50;9l6<>=831bnn4?::`4>5<4290;w)=m0;33b>N4n;1G?k:51z&225<3m91velk50;9jg4<722e9554?::pff<72;qUnn527;cf?xu5?;0;6?u27;0:<>X5111v?9<:181[e634=1o<5r}c626?6=;3:1N4n;1b=8850;9j501=831d>:650;9~wge=838pRom4=68ag>{tk80;6?uQc09>044=9<=0q~<68;296~X51116;7<68:p7=g=838pR>6n;<626?72>2wx88<6*;d`8`5>"3lj09555+4e:9ff=i1=6*;dd80>i5110;66g<8`83>>d029086<4<{M1e0?7|@;;37p*>oek3:17o950;194?6|,:h;6<>i;I1e6>J4n=0:w)?90;6f4>{nil0;66gl1;29?j4>03:17p}mc;296~Xek27<6lk4}r046?6=:r7<6?77;_0:<>{t:>91<75<7s-9i<79?;I1e6>o6=?0;66g>5683>>i5?10;66s|bb83>7}Yjj16;7ll;|q`5?6=:rTh=63;138212=z{;336=4={_0:<>;02;337p}<8`83>7}Y;1k019?=:075?xu3980;6?u27;a2?826:38<46srb3`94?2=:3?p@>h;:0yK64>1573->om7m>;%6gg?4>02.?h54mc:l7`1<63->oi7=7a:l7`3<73t.8n=4=439jff<722ch=7>5;n0:5;c594?5=939p@>h;:0yK64>5;h``>5<0;6>4?:1y'7g6=99l0D>h=;M1e0?7|,8<;69k?;|kba?6=3`i:6=44o3;;>5<:0D>h=;h362?6=3`;>;7>5;n0452z\ag>;02ki0q~m>:181[e634>:>7?:7:p6<>=838pR?77;<596<>52z\071?3twi??4?:581>0}K;o>1=vF=199~H6`22>q/8h<54228 1bf2j;0(9jl:3;;?!2c03hh7c:k4;38 1bb2:2j7c:k6;28y!5e838??6gmc;29?le62900c?77:188m6>f2900n:4?:282>6}K;o>1=vF=199~ 6d72;8?7dm>:188k7??2900eom50;9a3?6=;3:1h;:0y'536=4650;9~wge=838pRom4=68ba>{t:>81<77}Y:0201:4=999~w6>f2909wS=7a:?757<6=?1v9?>:18181=k8168<<526:8yxuek3:1:vPmc:?4>ge<5h0io63>b;``?84e2ki01><5bb9~w6>f290=wS=7a:?4>6>f34k1?5o4=0`97=g<5;h1?5o4=2097=g52z\1e1=:;;09555+39796d55<5sW8j>63=b;0:<>"40<09m>5a39695>{t:h:1<7h40=097p}=9d83>7}Y:0o01l4=999'7=3=:h90b>6;:29~w7?>2909wS<69:?4>7??3-939752z\``>;4:3i:7)=75;a`?k5?<3:0q~mm:181[ee348i6n?4$2:6>fe{zj;<=6=4n:98gI5a<3;pD??7;|N0b0<2s->n>7:=a:&7`gxi4?j0;6)<66;14f>h51<0;76a<7`83>!4>>39i4?10;6)<66;14f>h51<0976a<7683>!4>>39i4?;0;6)<66;14f>h51<0?76gj3;29 7?12l80b?7::198m`7=83.95;4j2:l1=0<632coj7>5$3;5>`46?54ieg94?"51?0n>6`=9480?>oc13:1(?79:d08j7?22=10n?86:180>4<4sE9m87?tH33;?x"4j9098h5fd783>>oc?3:17b=81;29?g5am3:1?7=55z&0f5<4nl1bh;4?::kg3?6=3f9<=7>5;c1ef?6=;3:1N4n;1b=8850;9j501=831d>:650;9~f6`d29086=4?{%1a4?163A9m>6g>5783>>o6=>0;66a=7983>>{e;on1<7=50;2x 6d72;=27E=i2:k213<722c:9:4?::m13=<722wxh;4?:2y]`3=:;oh1=894=2d`>4313tyo;7>53z\g3>;4nk0:9;523ga950152z\034=:;on1>:64}r1ee?6=:r78jo4=799>7cb=9<<0q~=ib;296~;4nj09;5523gf950152z\g2>;4nl0o:6s|d683>7}Yl>16?kk5d69~w6162909wS=81:?0b`<4?81vqo<9a;297?7=;rF8j94>{I02<>{#;k:1>9k4ie494?=nl>0;66a<7083>>d4nl0;6>4<:4y'7g6=;oo0ei850;9j`2<722e8;<4?::`0bg<72:0;6=u+3c2934=O;o80e<;9:188m4302900c?97:188yg5ak3:1?7>50z&0f5<092B8j?5f14494?=n9<=1<75`26:94?=zj:lo6=4<:183!5e838<56F=831vi850;1xZa0<5:li6<;8;<1eg?72>2wxh:4?:2y]`2=:;oh1=884=2d`>4303ty8;<4?:3y]727<5:lo6?97;|q0bd<72;q6?kl526:896`c28?=7p}7}:;oi1>:64=2dg>4303twxh;4?:3y]`3=:;oo1h;5rse594?4|Vm=01>hj:e58yv5093:1>vP<709>7cc=;>;0qpl=6c83>6<62:qG?k:51zJ15==z,:h;6?:j;hf5>5<t$2`3>27<@:l97d?:6;29?l72?3:17b<88;29?xd4nj0;6>4?:1y'7g6=?81C?k<4i075>5<5<53;294~"4j909;45G3g08m4312900e<;8:188k71?2900q~j9:180[b1349mn7?:7:?0bf<6=?1vi950;1xZa1<5:li6<;9;<1eg?72?2wx?:?50;0xZ616349mh7<88:p7cg=838p1>hm:35;?85al3;>:6s|3g`94?4|5:lh6?97;<1e`?72?2wvi850;0xZa0<5:ln6i84}rf4>5<5sWn<70=ie;f4?xu4?80;6?uQ363896`b2:=:7psm27a94?5=939p@>h;:0yK64>5<9>:188f6`e29086=4?{%1a4?163A9m>6g>5783>>o6=>0;66a=7983>>{e;oi1<7=50;2x 6d72>;0D>h=;h362?6=3`;>;7>5;n045}#;k:1>:74H2d1?l72>3:17d?:7;29?j4003:17p}k6;297~Xc>278jo4>569>7ce=9<<0q~j8:180[b0349mn7?:6:?0bf<6=>1v>9>:181[509278ji4=799~w6`f2909w0=ib;04<>;4nm0:9;5rs2da>5<5s49mo7<88:?0ba<6=>1vq~j9:181[b1349mi7j9;|qg3?6=:rTo;63{t;>;1<75<4290;w)=m0;53?M5a:2c:9;4?::k212<722e9;54?::a042=8391<7>t$2`3>26<@:l97d?:6;29?l72?3:17b<88;29?xd39?0;6>4?:1y'7g6=?91C?k<4i075>5<5<7>52;294~"4j90::<5G3g08m4322900c?97:188yv2693:1?v3=6`8g2>;39;09;5524069501:?7>53z?12g27?=94=799>040=9<=0q~:>5;296~;5>j0o:63;17813==z{:=h6=4={_14g>;5>j08;<5+397972d5<5sW94=z{:=36=4={_14<>;5>h08;<5+397972d5<5sW9<;63=688034=#;1?1?:l4n2:7>6=z{:=96=4={_146>;40;09;55+397972d22l80b>6;:19~w`7=839pRh?4=34a>a1<5=;=6<;9;%1;1?c53g9387?4}rfe>5<4sWnm70<9a;f4?826<3;>:6*<848f6>h40=097p}ke;297~Xcm279:44k7:?757<6=?1/?5;5e39m7=2=;2wxh44?:5y]`<=::?31h;524009501<5:296<;:;%1;1?c53g9387:4}|qag?6=:rTio638:ca8yv5?i3:1>vP<8`9>3?5?i2wx>l:50;1xZ7g334=1>l:4=345>`5<,:2>6?o<;o1;0?67>53z\1e7=:?38j>63=678f5>"40<09m>5a39695>{t:h:1<7=t^3c3?81=:h:01?89:ed8 6>22;k87c=74;08yv4>m3:1?vP=9d9>3?4>m279:;4ke:&0<0<5i:1e?5:53:p67g43g9387:4}r63f?6=:r7<6nj4=345>61d3-9397:?a:l0<1<73ty?<44?:3y>3?ee348=:7=8a:&0<0<38h1e?5:51:p051=838p1:4l9:?123<4?11/?5;541c8j6>32;1v9>9:18181=k>16>;853658 6>22=:j7c=74;18yv2793:1>v38:b0897012:=97)=75;63e>h40=0?7psm2c:94?3=;3h;:0yK64>5=z,:h;6?:;;ha2>5<t$2`3>4763A9m>6gm4;29?ld12900elk50;9jg4<722e9554?::a7c5=83;1<7>t$2`3>4333A9m>6a>5283>>{ejl0;6?4?:1y'7g6=9?;0D>h=;h361?6=3f8<47>5;|qag?6=:rTio638:`g8yvdc2909wSlk;<`f>4323ty9;?4?:3y>3?d334hn6?97;|q136<72;q6?k=51418922wx>::50;0x92<5111U>464}r041?6=:rTh=638:b38yxd>j3:1?7?53zN0b1<6sA8:46sC3g795~"3m=0;7p*>oek3:17o950;194?6|,:h;6<>i;I1e6>ofm3:17dm>:188k7??2900q~ll:181[dd34=1mh5rs351>5<5s4=1>464^3;;?xu5?:0;6?uQc09>3?e63twi8l750;195?5|D:l?6:188k7??2900eom50;9a3?6=;3:1X5111v?9<:181[e634=1o<5r}c67b?6=;3;1?vB5<50z&0f5<0m2B8j?5f14494?=n9<=1<75f14:94?=n9<31<75f14c94?=n9;?50;194?6|,:h;6:>4H2d1?l72>3:17d?:7;29?j4003:17p}=5d83>7}Y::35;?xu5=90;6?uQ2428970728?=7p}=5583>7}Y:<>01?;i:075?xu5=<0;6?uQ2478973a28?<7p}=5783>7}Y:<<01?;i:07:?xu5=>0;6?uQ2458973a28?37p}=5983>7}Y:<201?;i:07b?xu5=00;6?uQ24;8973a28?h7p}=5`83>7}Y:7}Y:7}Y:<901?8?:07:?xu5=o0;6?u224d962><5;<:6<;9;|q125<72;q6>;>526:8970628?<7psma083>0<42>q/?o>5a09l=f<722c9==4?::k70c<722cj>7>5;h;a>5<;0D>h=;h362?6=3`;>;7>5;n0453;294~"4j90<=6F=831vn4h50;194?6|,:h;6?96;I1e6>o6=?0;66g>5683>>i5?10;66s|9b83>7}Y1j165k4=799~w7772909wS<>0:?b4?72?2wx89h50;0xZ12a34k;6<;9;|qb6?6=:rTj>636e;362>{t1k0;6?uQ9c9>=`<6=>1v4j50;0x9d6=:>2014h51448yv?b2909w07j:35;?8?a28?<7psm44594?3=;3=p(>l?:574?j22:3:17d21<75rb577>5<4290;w)=m0;52?M5a:2c:9;4?::k212<722e9;54?::a003=8391<7>t$2`3>71>3A9m>6g>5783>>o6=>0;66a=7983>>{t<<81<7{t<<:1<73;>:6s|44:94?4|V=?370::4;362>{t<=l1<7;6s|44194?4|5=?=6?97;<661?72>2wx88:50;0x91332;=370::5;363>{zj;:o6=4;:183!5e83=87E=i2:k213<722c:9:4?::k21=<722e9;54?::ab1<72:0;6=u+3c2934=O;o80e<;9:188m4302900c?97:188yg5ej3:1?7>50z&0f5<082B8j?5f14494?=n9<=1<75`26:94?=zj:=;6=4<:183!5e838<56F=831vn>l6:186>5<7s-9i<797;I1e6>o6=?0;66g>5683>>o6=10;66g>5883>>i5?10;66sm2`d94?5=83:p(>l?:628L6`53`;>:7>5;h363?6=3f8<47>5;|`1f`<72:0;6=u+3c2962?<@:l97d?:6;29?l72?3:17b<88;29?xd4>m0;684?:1y'7g6=?01C?k<4i075>5<5<5<53;294~"4j90<>6F=831vn?ln:185>5<7s-9i<79k;I1e6>o6=?0;66g>5683>>o6=10;66g>5883>>o6=h0;66a=7983>>{e;?<1<7;50;2x 6d72>20D>h=;h362?6=3`;>;7>5;h3657>5;n045}#;k:1;<5G3g08m4312900e<;8:188k71?2900qo5<5<3290;w)=m0;04g>N4n;1b=8850;9j501=831b=8650;9l62>=831vn9;>:180>5<7s-9i<79>;I1e6>o6=?0;66g>5683>>i5?10;66sm44;94?5=83:p(>l?:35:?M5a:2c:9;4?::k212<722e9;54?::a73?=8381<7>t$2`3>4063A9m>6g>5483>>i5?10;66sm2c794?4=83:p(>l?:042?M5a:2c:984?::m13=<722wi>om50;094?6|,:h;6<8>;I1e6>o6=<0;66a=7983>>{t;?k1<746|Vk?01?>m:ca8946f2ki0199l:ca896d62ki01>8n:ca896042ki01>l8:ca8972a2ki01?;k:ca897gf2ki01>k6:ca897d?2ki014l5bb9>0d?=jj1689h5bb9~w6?62909wS=61:?1ec<6=>1v>8<:181[51;278:>4=999~wd3=83>pRl;4=2g:>6>f348jj7?:6:?1g5<6=?1v9:i:186[23n27?8k4=999>e4<3;f933i7p}=b983>6}Y:k201?l7:3;;?84d83;>;6s|2`g94?5|5;kj6>6m;<0bb?400279n<4>579~w7d72909w0;5j<0:985rs24`>5<5s49<<7?:6:?02a<5?11v>87:181851;3i:70=99;04<>{t:9o1<7=t=32a>7>334k86<;9;<66=?72>2wx>=h50;6x976e2;2=70::7;03b>;f;3;>;63;588212=z{;;;6=4<{<03f?4?027j=7<>0:?b7?7202wx><5=?26?97;|q717<72;q689h5c09>001=<<80q~27m87<88:?0fg<6=>1v?l;:18184fi393m63=b4813==z{:hj6=4:{<1a5?5?i2798k4md:?11a8k50;0x973c2j;01?8=:37f?xu4j>0;6>u23c596<><5;:o6<;8;<1af?72>2wx8:m50;1x976e2;;870:8c;0:<>;3=80:9:5rs246>5<5s49=:7<88:?02<<6=<1v>l7:18085e?3i:70=80;363>;4j009;55rs573>5<5s4>>;7::0:?714<5?11v?;k:181842l382463=0e821==z{;ho6=4={<0a96s|2c`94?4|5;h36oj4=3``>71?3ty9n44?:3y>6gc=9<=01?ln:35;?xu4>o0;6?u237c9g4=:;>:1>:64}r0a6?6=;r79nh4>579>6g5=:>201?l>:074?xu68m0;6?u211c961=894$2:6>46d3g9387>4}r33f?6=;r7:579'7=3=99i0b>6;:09~w6d1290?w0=m1;0b6>;4j00:945237f950?<5:<=6<;6;%1;1?5e=2d8494?;|q0f1<72=q6?o?52`2896d>28?<70=9d;36<>;4>?0:9:5+39797g35<3s49i=7<6e:?0f<<6=?16?;j51458960128?37)=75;1a1>h40=097p}1}:;k;1>474=2`:>43?349=h7?:6:?023<6=?1/?5;53c78j6>32:1v?;<:181843n382o63=638116=#;1?1>8<4n2:7>5=z{;?:6=4={<07b?4>i279:?4=509'7=3=:<80b>6;:09~w73d2909w0<;f;0b=>;5>;099n5+39796045<5s48?j732:1v?;n:181843n38j;63=63811d=#;1?1>8<4n2:7>1=z{;?26=4={<07b?4f>279:?4=589'7=3=:<80b>6;:49~w73?2909w0<;f;0b1>;5>;09955+39796045<5s48?j71/?5;52408j6>32>1v?;9:181843n38j>63=638113=#;1?1>8<4n2:7>==z{;?>6=4={<07b?4f8279:?4=549'7=3=:<80b>6;:89~w7332909w0<;f;0:a>;5>;09995+39796045<5s48?j7<69:?127<5=91/?5;52408j6>32k1v?ok:18184fi382i63=b28212=#;1?1>lm4n2:7>5=z{;ki6=4={<0be?4>1279n>4>579'7=3=:hi0b>6;:09~w6ca2909w0=j9;0b0>;5jh0:9l5+39797`c5<5s49n573281v>kl:18185b138j<63=b`821==#;1?1?hk4n2:7>7=z{:oi6=4={<1f=?4>m279nl4>569'7=3=;lo0b>6;:29~w6cf2909w0=j9;0:=>;5jh0:9;5+39797`ch::3ym0`3=1?1/8h:56:'7g6=9=n0V>6<:0y1g?{h>o68l0;66anb;29?j5b03:17d7<3sE9m87?tH33;?xJ4n<0:w):j4;08y!5e838?96gl1;29?j4>03:17dll:188f2<72:0;6=u+3c2955`<@:l97A=i4;3x 4072=o;7pgne;29?le62900c?77:188yge729096=4?{%1a4?7192B8j?5f14794?=h:>21<75rsca94?4|Vki01n>51478yv40:3:1>v38:`g89f6=:>20q~<83;296~;02;337S<68:p622=838pRn?4=68`5>{zjh=1<7951;1xH6`328qC><64}M1e1?0|,=o?6?5+4d09066<,=nj6n?4$5f`>7??3->o47ll;o6g0?748528d8j7?22910c?7j:18'6<0=:0l0b?7::098k7?>290/>48528d8j7?22;10en750;&1=34=h51<0976l8:180>4<4sE9m87?tH33;?x"4j909?=5fc083>>i5110;66gmc;29?g1=8391<7>t$2`3>46a3A9m>6gne;29?le62900c?77:188yvdd2909wSll;<59e`=z{;=96=4={<596<>7}Yk816;7m>;|pff<72;qUnn527;``?xu5100;6?uQ28;892<5111/?5;528d8j6>32;1vn<50;0xZf4<5>0h=6*<848`<>h40=097psm47794?5=939p@>h;:0yK64>7=z,:h;6?=?;ha2>5<50z&0f5<68o1C?k<4i`g94?=nk80;66a=9983>>{tjj0;6?uQbb9>3?gb3ty9;?4?:3y>3?4>02T9555rs350>5<5sWi:7095c09~yg2dj3:187?54zN0b1<6sA8:46sC3g795~"3m=097p*>oek3:17do;:188f2<72=0;6=u+3c29544<@:l97doj:188mf7=831b>4950;9l6<>=831vom50;0xZge<5>0ji6s|a583>7}Yi=16;7<67:p624=838p1:4=999]6<>52z\`5>;02j;0qpln6;297?6=8r.8n=4=7`9K7c45<N4n;1b=8850;9j501=831d>:650;9~f631290?6=4?{%1a4?133A9m>6g>5783>>o6=>0;66g>5983>>i5?10;66sm34:94?3=83:p(>l?:648L6`53`;>:7>5;h363?6=3`;>47>5;h36=?6=3f8<47>5;|`01g<72<0;6=u+3c2962`<@:l97d?:6;29?l72?3:17d?:8;29?l7213:17b<88;29?xd3>00;694?:1y'7g6=?=1C?k<4i075>5<5<N4n;1b=8850;9j501=831b=8650;9l62>=831vn5?50;094?6|,:h;6<8>;I1e6>o6=<0;66a=7983>>{et$2`3>4063A9m>6g>5483>>i5?10;66s|4b`94?4|V=ii70:lb;0:<>{t9k=1<7=t^0`4?852>3;>:63<59821==z{=<>6=4={_651>;3><09555rs0`;>5<5sW;i463<59821<=z{=9i6=4;{_60f>;3kk0j863n6;362>;?93;>96s|a483>0}Yi<16m:4l2:?b2?40027?:44>569>0f`=9<=0q~??e;291~X68l16;k4mc:?b3?dd34>=97ll;<6`f?dd3ty9n54?:3y]6g><5:?26<;9;|q1gc<72:qU>nh4=275>43?349>47?:6:p76e=838pR>=l;<16f?7202wx=8k50;1xZ43b34>=57?:6:?7gc<6=11v?j<:180[4c;2789;4>569>70>=9<=0q~?m4;296~X6j=16?8l514;8yv2c83:1>v3;cc8`5>;3l809;55rs6d94?4|5>l1>464=`49501=m7>52z?720;4=k09;55rs5af>5<5s4>hj7<88:?7`4<6=<1v>;;:180852138<463;68821==:70d=9<<0q~=:7;296~;4=109;55234`9501f7<51;1>:64}r6503d=922hk0b>6;:09~wd>=839pRll4=`59g2=:i>09545+3979ed=i;1>1>6srb5c5>5<42808wA=i4;3xL77?3tF8j84>{%6f0?0e:k24<<722c8nn4?::m034<722h<6=4<:183!5e83kh7E=i2:k210<722cm>7>5;n040m>6s|26094?4|5>09;55Q3638yv40;3:1>vP3?72=2wvn9=j:180>4<4sE9m87?tH33;?xJ4n<0:w):j4;48y!5e838:i6g>0883>>o4jj0;66a<7083>>d029086=4?{%1a4?gd3A9m>6g>5483>>oa:3:17b<88;29?xu6800;6?uQ11;892:<50;0x92<5?11U?:?4}r047?6=:rT8nn527;361>{zj=2;6=4<:080I5a<3;pD??7;|N0b0<6s->n8784}%1a4?46m2c:<44?::k0ff<722e8;<4?::`4>5<4290;w)=m0;c`?M5a:2c:984?::ke6?6=3f8<47>5;|q24<<72;qU==74=68e6>{t:>81<7;0q~<83;296~X4jj16;7?:5:~f1d429086<4<{M1e0?7|@;;37pBo6800;66g>i4?80;66l8:180>5<7s-9i<7ol;I1e6>o6=<0;66gi2;29?j4003:17p}>0883>7}Y99301:4i2:p624=838p1:4=799]72752z\0ff=:?3;>96srb5a0>5<42808wA=i4;3xL77?3tF8j84>{%6f0?0e:k24<<722c8nn4?::m034<722h<6=4<:183!5e83kh7E=i2:k210<722cm>7>5;n040m>6s|26094?4|5>09;55Q3638yv40;3:1>vP3?72=2wvn9=9:181>5<7s-9i<7?91:J0b7=n9:650;9~f12?29096=4?{%1a4?7192B8j?5f14794?=h:>21<75rb51;>5<4290;w)=m0;04=>N4n;1b=8850;9j501=831d>:650;9~f1g?29096=4?{%1a4?7192B8j?5f14794?=h:>21<75rs5c5>5<3sW>j:63;2g87e3=:<:918l84=5c5>6163ty?:;4?:2y]030<5=o:698:;<606?21=2wx8>m50;6xZ15d34>n=7:<542`8yv2dk3:1>vP;cb9>064=7}Y:5c`?xue93:1>vPm1:?0b3<51;1v9o?:181[2f827?i<4;a19~w12c2909wS:;d:?776<3<01v97j:181[2>m27?i<4;8b9~w13f2909wS::a:?7a4<3=h1v9:i:181[23n27?>i4;4g9~wc4=839pRk<4=510>c4<5=8o6k<4}r33a?6=>rT:=;7>52z\722=:<:918;;4}r60`?6=:rT??i5242g9727?;7>52z\702=:<=21>:64}r64f?6=:rT?;o5243f902d2j7>52z\7=c=:i>7>52z\7f7=:vPnf:?776;50;0xZ15234>8:7<88:p06?=838pR9=6;<60e?4002wx8:k50;1xZ11b34>n=7:8d:?771<3?m1v9on:181[2fi27?i<4;a`9~w10d2909wS:9c:?776<3>j1v99i:181[20n27?4=4<709~w1e52909wS:l2:?7g6<4?81v98i:181[21n27??94;6g9~w1142909wS:83:?776<3?:1v9j<:186[2c;27?>k4;d39>0`7=o>6s|45c94?4|V=>j70:<4;67e>{t;?k1<760e34>9h7=9a:p76b=838p19;3;;0:n:5rs`594?4|5=o:6l94=511>dd7>52z?771<6>;168>=51708yv2f?3:1>v3;a7824<=::64}r3ab99>064=9k20q~{t>>0;6?u2421923=:<:21=894}r4;>5<5s4>88789;<602wx85?50;0x91542==o70:70;1ag>{t;?91<760334>9h7=93:pe0<720`7=i<168>:5a49>07b=i<168><5a49~w4?32909w0:<4;3:3>;3;:0:595rs0`b>5<5s4>887?ma:?776<6jh1v9o6:181825l3>j563;a98210=z{0h1<79t=510>46>34>3<7??9:?7f6<680168n=511;8912?28?>7p}>7683>7}:<;l1=:94=517>4103ty8i54?:3y>07b=;l2019==:2g;?xu3j=0;6?u242190g6<5=h86>ll;|q772<72;q68>851478915?2;=37p}=b983>7}:<;n1>o64=511>7d?3ty:544?:3y>062=903019=<:0`0?xu5190;6?u243d96<6<5=9?6?7?;|q1gc<72;q68?h52bd891c62;im7p}>fe83>7}:<:>1=kj4=510>4`c3ty??k4?:3y>065=<=:019=j:2``?xu4;j0;6?u243d976e<5=o:6>=l;|q21`<72;q68?h514g8915528?n7p};c583>7}:<:918n?4=5a0>6dd3ty9h>4?:3y>0`7=:m9019==:3f0?xu6j=0;6?u242695g2<5=996:51d68915428lh7p}9f;296~;3;=0=j63;3`8210=z{;=96=4<{<1e7?72;27?>i40d0=;ki0q~22;i87c=74;28yv4d:3:1>vP=c39>0`7=:j80(>6::3a0?k5?<3;0q~22;i87c=74;08yv4dk3:1>vP=cb9>0`7=:ji0(>6::3a0?k5?<390q~22;i87c=74;68yv4di3:1>vP=c`9>0`7=:jk0(>6::3a0?k5?<3?0q~22;i87c=74;48yv4d03:1>vP=c99>0`7=:j20(>6::3a0?k5?<3=0q~168h?52b58 6>22;i87c=74;:8yv4d>3:1>vP=c79>0`7=:j<0(>6::3a0?k5?<330q~22;i87c=74;c8yv4d93:1>vP=c09>0`7=:j;0(>6::3a0?k5?<3h0q~7>:181[?634>9j7;k;%1;1??73g9387>4}r:e>5<5sW2m70:=f;7a?!5?=33;7c=74;38yv>b2908wS6j;<61b?3f34>n=7;n;%1;1??73g9387<4}r:g>5<4sW2o70:=f;7;?82b93?37)=75;;3?k5?<390q~6m:180[>e34>9j7;9;<6f5?313-93977?;o1;0?270:j1;76?!5?=33;7c=74;78yv>>2908wS66;<61b?3334>n=7;;;%1;1??73g938784}r:;>5<4sW2370:=f;70?82b93?87)=75;;3?k5?<3=0q~68:180[>034>9j7;=;<6f5?353-93977?;o1;0?>22908wS6:;<61b?3734>n=7;?;%1;1??73g9387o4}r:7>5<4sW2?70:=f;6e?82b93>m7)=75;;3?k5?<3h0q~6<:180[>434>9j7:j;<6f5?2b3-93977?;o1;0?eo70:j1;6g?!5?=33;7c=74;f8yv?>2908wS76;<61b?0234>n=78:;%1;1??73g9387k4}r;;>5<4sW3370:=f;47?82b93n=78<;%1;1??73g9387??;|q:2?6=:rT2:63;e0856>"40<02<6`<85825>{t1<0;6?uQ949>0`7=>81/?5;5919m7=2=9;1v4:50;0xZ<2<5=o:6;>4$2:6><65<5sW3870:j1;7e?!5?=33;7c=74;37?xu>:3:1>vP62:?7a4<2m2.848460:l0<1<6=2wx4n4?:3y]1=;5r}|~DEE|90?>69k7b1262xFGJr:vLM^t}AB \ No newline at end of file diff --git a/cpld/XC95144XL/WarpSE.pad b/cpld/XC95144XL/WarpSE.pad index c08a83d..77c6c26 100644 --- a/cpld/XC95144XL/WarpSE.pad +++ b/cpld/XC95144XL/WarpSE.pad @@ -1,7 +1,7 @@ Release 8.1i - Fit P.20131013 Copyright(c) 1995-2003 Xilinx Inc. All rights reserved - 4-15-2023 5:21AM + 9- 9-2023 8:19AM NOTE: This file is designed to be imported into a spreadsheet program such as Microsoft Excel for viewing, printing and sorting. The pipe '|' diff --git a/cpld/XC95144XL/WarpSE.pnx b/cpld/XC95144XL/WarpSE.pnx index 09f75b8..fad05c9 100644 --- a/cpld/XC95144XL/WarpSE.pnx +++ b/cpld/XC95144XL/WarpSE.pnx @@ -15,4 +15,4 @@ sr (SLOW|FAST|slow|fast) "SLOW" dir (BIDIR|bidir|INPUT|input|OUTPUT|output) "BIDIR"> ]> - + diff --git a/cpld/XC95144XL/WarpSE.rpt b/cpld/XC95144XL/WarpSE.rpt index 6dd1704..eead3f7 100644 --- a/cpld/XC95144XL/WarpSE.rpt +++ b/cpld/XC95144XL/WarpSE.rpt @@ -1,7 +1,7 @@ cpldfit: version P.20131013 Xilinx Inc. Fitter Report -Design Name: WarpSE Date: 4-15-2023, 5:21AM +Design Name: WarpSE Date: 9- 9-2023, 8:19AM Device Used: XC95144XL-10-TQ100 Fitting Status: Successful @@ -9,22 +9,22 @@ Fitting Status: Successful Macrocells Product Terms Function Block Registers Pins Used/Tot Used/Tot Inps Used/Tot Used/Tot Used/Tot -124/144 ( 86%) 477 /720 ( 66%) 294/432 ( 68%) 100/144 ( 69%) 70 /81 ( 86%) +125/144 ( 87%) 441 /720 ( 61%) 271/432 ( 63%) 103/144 ( 72%) 70 /81 ( 86%) ** Function Block Resources ** Function Mcells FB Inps Pterms IO Block Used/Tot Used/Tot Used/Tot Used/Tot -FB1 18/18* 33/54 47/90 11/11* -FB2 15/18 18/54 17/90 8/10 -FB3 16/18 43/54 81/90 10/10* -FB4 13/18 43/54 50/90 10/10* +FB1 18/18* 32/54 28/90 11/11* +FB2 11/18 13/54 12/90 8/10 +FB3 18/18* 40/54 66/90 10/10* +FB4 11/18 39/54 82/90 10/10* FB5 14/18 39/54 81/90 8/10 FB6 18/18* 36/54 68/90 10/10* -FB7 18/18* 41/54 52/90 7/10 -FB8 12/18 41/54 81/90 6/10 +FB7 18/18* 32/54 42/90 7/10 +FB8 17/18 40/54 62/90 6/10 ----- ----- ----- ----- - 124/144 294/432 477/720 70/81 + 125/144 271/432 441/720 70/81 * - Resource is exhausted @@ -51,7 +51,7 @@ GSR : 0 0 | ** Power Data ** -There are 124 macrocells in high performance mode (MCHP). +There are 125 macrocells in high performance mode (MCHP). There are 0 macrocells in low power mode (MCLP). End of Mapped Resource Summary ************************** Errors and Warnings *************************** @@ -87,183 +87,184 @@ WARNING:Cpld:1007 - Removing unused input(s) 'nBG_IOB'. The input(s) are unused ** 35 Outputs ** -Signal Total Total Loc Pin Pin Pin Pwr Slew Reg Init -Name Pts Inps No. Type Use Mode Rate State -nDTACK_FSB 9 17 FB3_9 28 I/O O STD FAST RESET -nROMWE 1 2 FB3_17 34 I/O O STD FAST -nAoutOE 2 4 FB4_2 87 I/O O STD FAST SET -nDoutOE 2 5 FB4_5 89 I/O O STD FAST -nDinOE 3 6 FB4_6 90 I/O O STD FAST -nRES 1 1 FB4_8 91 I/O I/O STD FAST -nVPA_FSB 3 11 FB4_11 93 I/O O STD FAST RESET -nROMCS 2 5 FB5_2 35 I/O O STD FAST -nCAS 15 17 FB5_5 36 I/O O STD FAST RESET -nOE 3 5 FB5_6 37 I/O O STD FAST RESET -RA<4> 2 3 FB5_9 40 I/O O STD FAST -RA<3> 2 3 FB5_11 41 I/O O STD FAST -RA<5> 2 3 FB5_12 42 I/O O STD FAST -RA<2> 2 3 FB5_14 43 I/O O STD FAST -RA<6> 2 3 FB5_15 46 I/O O STD FAST -nVMA_IOB 3 8 FB6_2 74 I/O O STD FAST RESET -nLDS_IOB 6 10 FB6_9 79 I/O O STD FAST RESET -nUDS_IOB 6 10 FB6_11 80 I/O O STD FAST RESET -nAS_IOB 4 9 FB6_12 81 I/O O STD FAST RESET -nADoutLE1 2 3 FB6_14 82 I/O O STD FAST SET -nADoutLE0 1 2 FB6_15 85 I/O O STD FAST -nDinLE 1 2 FB6_17 86 I/O O STD FAST RESET -RA<1> 2 3 FB7_2 50 I/O O STD FAST -RA<7> 2 3 FB7_5 52 I/O O STD FAST -RA<0> 2 3 FB7_6 53 I/O O STD FAST -RA<8> 2 3 FB7_8 54 I/O O STD FAST -RA<10> 2 3 FB7_9 55 I/O O STD FAST -RA<9> 2 3 FB7_11 56 I/O O STD FAST -C25MEN 0 0 FB7_12 58 I/O O STD FAST -RA<11> 2 3 FB8_2 63 I/O O STD FAST -nRAS 3 7 FB8_5 64 I/O O STD FAST -nRAMLWE 1 3 FB8_6 65 I/O O STD FAST -nRAMUWE 1 3 FB8_8 66 I/O O STD FAST -nBERR_FSB 3 5 FB8_12 70 I/O O STD FAST RESET -nBR_IOB 2 4 FB8_15 72 I/O O STD FAST RESET +Signal Total Total Loc Pin Pin Pin Pwr Slew Reg Init +Name Pts Inps No. Type Use Mode Rate State +nDTACK_FSB 9 18 FB3_9 28 I/O O STD FAST RESET +nROMWE 1 2 FB3_17 34 I/O O STD FAST +nAoutOE 2 4 FB4_2 87 I/O O STD FAST SET +nDoutOE 2 5 FB4_5 89 I/O O STD FAST +nDinOE 3 7 FB4_6 90 I/O O STD FAST +nRES 1 1 FB4_8 91 I/O I/O STD FAST +nVPA_FSB 3 12 FB4_11 93 I/O O STD FAST RESET +nROMCS 2 5 FB5_2 35 I/O O STD FAST +nCAS 15 17 FB5_5 36 I/O O STD FAST RESET +nOE 3 5 FB5_6 37 I/O O STD FAST RESET +RA<4> 2 3 FB5_9 40 I/O O STD FAST +RA<3> 2 3 FB5_11 41 I/O O STD FAST +RA<5> 2 3 FB5_12 42 I/O O STD FAST +RA<2> 2 3 FB5_14 43 I/O O STD FAST +RA<6> 2 3 FB5_15 46 I/O O STD FAST +nVMA_IOB 3 8 FB6_2 74 I/O O STD FAST RESET +nLDS_IOB 6 10 FB6_9 79 I/O O STD FAST RESET +nUDS_IOB 6 10 FB6_11 80 I/O O STD FAST RESET +nAS_IOB 4 9 FB6_12 81 I/O O STD FAST RESET +nADoutLE1 2 3 FB6_14 82 I/O O STD FAST SET +nADoutLE0 1 2 FB6_15 85 I/O O STD FAST +nDinLE 1 2 FB6_17 86 I/O O STD FAST RESET +RA<1> 2 3 FB7_2 50 I/O O STD FAST +RA<7> 2 3 FB7_5 52 I/O O STD FAST +RA<0> 2 3 FB7_6 53 I/O O STD FAST +RA<8> 2 3 FB7_8 54 I/O O STD FAST +RA<10> 2 3 FB7_9 55 I/O O STD FAST +RA<9> 2 3 FB7_11 56 I/O O STD FAST +C25MEN 0 0 FB7_12 58 I/O O STD FAST +RA<11> 2 3 FB8_2 63 I/O O STD FAST +nRAS 3 7 FB8_5 64 I/O O STD FAST +nRAMLWE 1 3 FB8_6 65 I/O O STD FAST +nRAMUWE 1 3 FB8_8 66 I/O O STD FAST +nBERR_FSB 3 5 FB8_12 70 I/O O STD FAST RESET +nBR_IOB 2 4 FB8_15 72 I/O O STD FAST RESET -** 89 Buried Nodes ** +** 90 Buried Nodes ** -Signal Total Total Loc Pwr Reg Init -Name Pts Inps Mode State -ram/RS_FSM_FFd3 1 1 FB1_1 STD RESET -ram/RS_FSM_FFd2 1 1 FB1_2 STD RESET -ram/RS_FSM_FFd1 1 1 FB1_3 STD RESET -cnt/Er<1> 1 1 FB1_4 STD RESET -cnt/Er<0> 1 1 FB1_5 STD RESET -ram/RefDone 2 7 FB1_6 STD RESET -iobs/IOU1 2 2 FB1_7 STD RESET -iobs/IOL1 2 2 FB1_8 STD RESET -cnt/TimerTC 2 6 FB1_9 STD RESET -cnt/Timer<0> 2 4 FB1_10 STD RESET -cnt/IS_FSM_FFd2 2 6 FB1_11 STD RESET -RefUrg 2 5 FB1_12 STD RESET -RefReq 2 6 FB1_13 STD RESET -iobm/ES<2> 3 5 FB1_14 STD RESET -cnt/Timer<1> 4 5 FB1_15 STD RESET -cnt/Timer<3> 5 7 FB1_16 STD RESET -cnt/Timer<2> 5 6 FB1_17 STD RESET -ram/RS_FSM_FFd7 9 11 FB1_18 STD RESET -ram/RASrf 1 1 FB2_4 STD RESET -ram/DTACKr 1 1 FB2_5 STD RESET -iobs/IODONEr 1 1 FB2_6 STD RESET -iobs/IOACTr 1 1 FB2_7 STD RESET -iobm/VPAr 1 1 FB2_8 STD RESET -iobm/IOWRREQr 1 1 FB2_9 STD RESET -iobm/IOS_FSM_FFd5 1 1 FB2_10 STD RESET -iobm/IOS_FSM_FFd4 1 1 FB2_11 STD RESET -iobm/IOS_FSM_FFd1 1 1 FB2_12 STD RESET -iobm/IORDREQr 1 1 FB2_13 STD RESET -iobm/Er 1 1 FB2_14 STD RESET -iobm/C8Mr 1 1 FB2_15 STD RESET -cnt/nIPL2r 1 1 FB2_16 STD RESET -iobm/IOS_FSM_FFd2 2 4 FB2_17 STD RESET -IOBERR 2 2 FB2_18 STD RESET -QoSReady 18 25 FB3_2 STD RESET -cnt/WS<2> 3 5 FB3_3 STD RESET -ram/RS_FSM_FFd6 2 7 FB3_4 STD RESET -ram/RS_FSM_FFd5 2 3 FB3_5 STD RESET -iobs/TS_FSM_FFd1 2 3 FB3_6 STD RESET -ram/RASEL 3 8 FB3_7 STD RESET -cs/nOverlay 3 8 FB3_8 STD RESET +Signal Total Total Loc Pwr Reg Init +Name Pts Inps Mode State +ram/RS_FSM_FFd4 1 2 FB1_1 STD RESET +ram/RS_FSM_FFd3 1 1 FB1_2 STD RESET +ram/RS_FSM_FFd2 1 1 FB1_3 STD RESET +ram/RS_FSM_FFd1 1 1 FB1_4 STD RESET +ram/RASrf 1 1 FB1_5 STD RESET +iobm/Er 1 1 FB1_6 STD RESET +cnt/nIPL2r 1 1 FB1_7 STD RESET +cnt/IS_FSM_FFd1 1 7 FB1_8 STD RESET +cnt/Er<0> 1 1 FB1_9 STD RESET +ram/RefDone 2 7 FB1_10 STD RESET +ram/RS_FSM_FFd5 2 3 FB1_11 STD RESET +iobs/IOU1 2 2 FB1_12 STD RESET +iobs/IOL1 2 2 FB1_13 STD RESET +cnt/Timer<0> 2 4 FB1_14 STD RESET +cnt/IS_FSM_FFd2 2 6 FB1_15 STD RESET +RefUrg 2 5 FB1_16 STD RESET +IOBERR 2 2 FB1_17 STD RESET +iobm/ES<2> 3 5 FB1_18 STD RESET +ram/DTACKr 1 1 FB2_8 STD RESET +iobs/IODONEr 1 1 FB2_9 STD RESET +iobs/IOACTr 1 1 FB2_10 STD RESET +iobm/VPAr 1 1 FB2_11 STD RESET +iobm/IOWRREQr 1 1 FB2_12 STD RESET +iobm/IOS_FSM_FFd5 1 1 FB2_13 STD RESET +iobm/IOS_FSM_FFd4 1 1 FB2_14 STD RESET +iobm/IOS_FSM_FFd1 1 1 FB2_15 STD RESET +iobm/IORDREQr 1 1 FB2_16 STD RESET +iobm/C8Mr 1 1 FB2_17 STD RESET +iobm/IOS_FSM_FFd2 2 4 FB2_18 STD RESET +cnt/QS<1> 13 24 FB3_1 STD RESET +cnt/LTimer<0> 1 3 FB3_2 STD RESET +cnt/Er<1> 1 1 FB3_3 STD RESET +cnt/LTimer<6> 2 9 FB3_4 STD RESET +cnt/LTimer<5> 2 8 FB3_5 STD RESET +cnt/LTimer<4> 2 7 FB3_6 STD RESET +cnt/LTimer<3> 2 6 FB3_7 STD RESET +cnt/LTimer<2> 2 5 FB3_8 STD RESET +cnt/LTimer<1> 2 4 FB3_10 STD RESET +cnt/Wait<4> 3 7 FB3_11 STD RESET +cnt/Wait<3> 3 6 FB3_12 STD RESET -Signal Total Total Loc Pwr Reg Init -Name Pts Inps Mode State -cnt/WS<3> 3 6 FB3_10 STD RESET -cnt/WS<1> 3 4 FB3_11 STD RESET -iobs/Load1 8 17 FB3_12 STD RESET -iobs/Clear1 1 2 FB3_13 STD RESET -IORDREQ 9 15 FB3_15 STD RESET -iobs/Sent 13 18 FB3_16 STD RESET -ram/RS_FSM_FFd4 1 2 FB3_18 STD RESET -ram/BACTr 1 2 FB4_7 STD RESET -nRESout 1 2 FB4_9 STD RESET -fsb/ASrf 1 1 FB4_10 STD RESET -cnt/LTimerTC 1 12 FB4_12 STD RESET -ALE0S 1 1 FB4_13 STD RESET -$OpTx$$OpTx$FX_DC$350_INV$537 1 2 FB4_14 STD -cnt/LTimer<1> 11 24 FB4_16 STD RESET -cnt/LTimer<0> 22 34 FB4_18 STD RESET -ram/RS_FSM_FFd8 13 13 FB5_1 STD SET -cnt/WS<0> 1 3 FB5_8 STD RESET -ram/RASEN 10 12 FB5_10 STD RESET -RAMReady 10 14 FB5_13 STD RESET -IONPReady 5 16 FB5_16 STD RESET -ram/RASrr 12 13 FB5_18 STD RESET -iobm/IOS_FSM_FFd6 2 5 FB6_1 STD RESET -iobm/IOS_FSM_FFd7 3 6 FB6_3 STD SET -iobm/IOS_FSM_FFd3 3 5 FB6_4 STD RESET -iobm/ES<0> 3 6 FB6_5 STD RESET -iobm/ES<3> 4 6 FB6_6 STD RESET -iobm/ES<1> 4 6 FB6_7 STD RESET -iobm/DoutOE 4 8 FB6_8 STD RESET -IODONE 4 8 FB6_10 STD RESET -iobm/IOS0 5 12 FB6_13 STD RESET -ALE0M 5 11 FB6_16 STD RESET -IOACT 8 14 FB6_18 STD RESET -cnt/LTimer<9> 3 15 FB7_1 STD RESET -cnt/LTimer<8> 3 14 FB7_3 STD RESET -cnt/LTimer<7> 3 13 FB7_4 STD RESET -cnt/LTimer<6> 3 12 FB7_7 STD RESET -cnt/LTimer<5> 3 11 FB7_10 STD RESET -cnt/LTimer<4> 3 10 FB7_13 STD RESET -cnt/LTimer<3> 3 9 FB7_14 STD RESET -cnt/LTimer<2> 3 8 FB7_15 STD RESET +Signal Total Total Loc Pwr Reg Init +Name Pts Inps Mode State +cnt/Wait<2> 3 5 FB3_13 STD RESET +cnt/Wait<1> 3 4 FB3_14 STD RESET +QoSReady 4 10 FB3_15 STD RESET +cnt/QS<0> 12 24 FB3_16 STD RESET +fsb/ASrf 1 1 FB3_18 STD RESET +cs/nOverlay 3 8 FB4_1 STD RESET +IOU0 16 21 FB4_4 STD RESET +IOL0 16 21 FB4_9 STD RESET +IOWRREQ 15 21 FB4_13 STD RESET +iobs/TS_FSM_FFd2 13 19 FB4_16 STD RESET +iobs/IORW1 8 18 FB4_17 STD RESET +ram/RS_FSM_FFd8 13 13 FB5_1 STD SET +cnt/Wait<0> 1 3 FB5_8 STD RESET +ram/RASEN 10 12 FB5_10 STD RESET +RAMReady 10 14 FB5_13 STD RESET +IONPReady 5 16 FB5_16 STD RESET +ram/RASrr 12 13 FB5_18 STD RESET +iobm/IOS_FSM_FFd6 2 5 FB6_1 STD RESET +iobm/IOS_FSM_FFd7 3 6 FB6_3 STD SET +iobm/IOS_FSM_FFd3 3 5 FB6_4 STD RESET +iobm/ES<0> 3 6 FB6_5 STD RESET +iobm/ES<3> 4 6 FB6_6 STD RESET +iobm/ES<1> 4 6 FB6_7 STD RESET +iobm/DoutOE 4 8 FB6_8 STD RESET +IODONE 4 8 FB6_10 STD RESET +iobm/IOS0 5 12 FB6_13 STD RESET +ALE0M 5 11 FB6_16 STD RESET +IOACT 8 14 FB6_18 STD RESET +cnt/TimerTC 2 6 FB7_1 STD RESET +cnt/LTimerTC 2 15 FB7_3 STD RESET +cnt/LTimer<9> 2 12 FB7_4 STD RESET +cnt/LTimer<8> 2 11 FB7_7 STD RESET +cnt/LTimer<7> 2 10 FB7_10 STD RESET +cnt/LTimer<11> 2 14 FB7_13 STD RESET +cnt/LTimer<10> 2 13 FB7_14 STD RESET +RefReq 2 6 FB7_15 STD RESET +cnt/Timer<1> 4 5 FB7_16 STD RESET +cnt/Timer<3> 5 7 FB7_17 STD RESET +cnt/Timer<2> 5 6 FB7_18 STD RESET +IORDREQ 9 15 FB8_3 STD RESET -Signal Total Total Loc Pwr Reg Init -Name Pts Inps Mode State -cnt/LTimer<11> 3 17 FB7_16 STD RESET -cnt/LTimer<10> 3 16 FB7_17 STD RESET -cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 10 36 FB7_18 STD -IOL0 16 21 FB8_1 STD RESET -iobs/TS_FSM_FFd2 13 19 FB8_3 STD RESET -IOWRREQ 15 21 FB8_9 STD RESET -IOU0 16 21 FB8_14 STD RESET -cnt/IS_FSM_FFd1 1 7 FB8_16 STD RESET -iobs/IORW1 8 18 FB8_17 STD RESET +Signal Total Total Loc Pwr Reg Init +Name Pts Inps Mode State +ram/RS_FSM_FFd7 9 11 FB8_4 STD RESET +iobs/Load1 8 17 FB8_7 STD RESET +ram/RASEL 3 8 FB8_9 STD RESET +iobs/TS_FSM_FFd1 2 3 FB8_10 STD RESET +ram/RS_FSM_FFd6 2 7 FB8_11 STD RESET +ALE0S 1 1 FB8_13 STD RESET +BACTr 1 2 FB8_14 STD RESET +iobs/Clear1 1 2 FB8_16 STD RESET +nRESout 1 2 FB8_17 STD RESET +iobs/Sent 13 18 FB8_18 STD RESET ** 35 Inputs ** -Signal Loc Pin Pin Pin -Name No. Type Use -A_FSB<13> FB1_2 11 I/O I -A_FSB<14> FB1_3 12 I/O I -A_FSB<15> FB1_5 13 I/O I -A_FSB<16> FB1_6 14 I/O I -A_FSB<17> FB1_8 15 I/O I -A_FSB<18> FB1_9 16 I/O I -A_FSB<19> FB1_11 17 I/O I -A_FSB<20> FB1_12 18 I/O I -A_FSB<21> FB1_14 19 I/O I -A_FSB<22> FB1_15 20 I/O I -C16M FB1_17 22 GCK/I/O GCK -A_FSB<5> FB2_6 2 GTS/I/O I -A_FSB<6> FB2_8 3 GTS/I/O I -A_FSB<7> FB2_9 4 GTS/I/O I -A_FSB<8> FB2_11 6 I/O I -A_FSB<9> FB2_12 7 I/O I -A_FSB<10> FB2_14 8 I/O I -A_FSB<11> FB2_15 9 I/O I -A_FSB<12> FB2_17 10 I/O I -C8M FB3_2 23 GCK/I/O GCK/I -A_FSB<23> FB3_5 24 I/O I -E FB3_6 25 I/O I -FCLK FB3_8 27 GCK/I/O GCK -nWE_FSB FB3_11 29 I/O I -nLDS_FSB FB3_12 30 I/O I -nAS_FSB FB3_14 32 I/O I -nUDS_FSB FB3_15 33 I/O I -nIPL2 FB4_9 92 I/O I -A_FSB<1> FB4_12 94 I/O I -A_FSB<2> FB4_14 95 I/O I -A_FSB<3> FB4_15 96 I/O I -A_FSB<4> FB4_17 97 I/O I -nBERR_IOB FB6_5 76 I/O I -nVPA_IOB FB6_6 77 I/O I -nDTACK_IOB FB6_8 78 I/O I +Signal Loc Pin Pin Pin +Name No. Type Use +A_FSB<13> FB1_2 11 I/O I +A_FSB<14> FB1_3 12 I/O I +A_FSB<15> FB1_5 13 I/O I +A_FSB<16> FB1_6 14 I/O I +A_FSB<17> FB1_8 15 I/O I +A_FSB<18> FB1_9 16 I/O I +A_FSB<19> FB1_11 17 I/O I +A_FSB<20> FB1_12 18 I/O I +A_FSB<21> FB1_14 19 I/O I +A_FSB<22> FB1_15 20 I/O I +C16M FB1_17 22 GCK/I/O GCK +A_FSB<5> FB2_6 2 GTS/I/O I +A_FSB<6> FB2_8 3 GTS/I/O I +A_FSB<7> FB2_9 4 GTS/I/O I +A_FSB<8> FB2_11 6 I/O I +A_FSB<9> FB2_12 7 I/O I +A_FSB<10> FB2_14 8 I/O I +A_FSB<11> FB2_15 9 I/O I +A_FSB<12> FB2_17 10 I/O I +C8M FB3_2 23 GCK/I/O GCK/I +A_FSB<23> FB3_5 24 I/O I +E FB3_6 25 I/O I +FCLK FB3_8 27 GCK/I/O GCK +nWE_FSB FB3_11 29 I/O I +nLDS_FSB FB3_12 30 I/O I +nAS_FSB FB3_14 32 I/O I +nUDS_FSB FB3_15 33 I/O I +nIPL2 FB4_9 92 I/O I +A_FSB<1> FB4_12 94 I/O I +A_FSB<2> FB4_14 95 I/O I +A_FSB<3> FB4_15 96 I/O I +A_FSB<4> FB4_17 97 I/O I +nBERR_IOB FB6_5 76 I/O I +nVPA_IOB FB6_6 77 I/O I +nDTACK_IOB FB6_8 78 I/O I Legend: Pin No. - ~ - User Assigned @@ -281,236 +282,226 @@ Pin Type/Use - I - Input GCK - Global Clock X - Signal used as input to the macrocell logic. Pin No. - ~ - User Assigned *********************************** FB1 *********************************** -Number of function block inputs used/remaining: 33/21 -Number of signals used by logic mapping into function block: 33 +Number of function block inputs used/remaining: 32/22 +Number of signals used by logic mapping into function block: 32 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -ram/RS_FSM_FFd3 1 0 /\4 0 FB1_1 (b) (b) -ram/RS_FSM_FFd2 1 0 0 4 FB1_2 11 I/O I -ram/RS_FSM_FFd1 1 0 0 4 FB1_3 12 I/O I -cnt/Er<1> 1 0 0 4 FB1_4 (b) (b) -cnt/Er<0> 1 0 0 4 FB1_5 13 I/O I -ram/RefDone 2 0 0 3 FB1_6 14 I/O I -iobs/IOU1 2 0 0 3 FB1_7 (b) (b) -iobs/IOL1 2 0 0 3 FB1_8 15 I/O I -cnt/TimerTC 2 0 0 3 FB1_9 16 I/O I -cnt/Timer<0> 2 0 0 3 FB1_10 (b) (b) -cnt/IS_FSM_FFd2 2 0 0 3 FB1_11 17 I/O I -RefUrg 2 0 0 3 FB1_12 18 I/O I -RefReq 2 0 0 3 FB1_13 (b) (b) -iobm/ES<2> 3 0 0 2 FB1_14 19 I/O I -cnt/Timer<1> 4 0 0 1 FB1_15 20 I/O I -cnt/Timer<3> 5 0 0 0 FB1_16 (b) (b) -cnt/Timer<2> 5 0 0 0 FB1_17 22 GCK/I/O GCK -ram/RS_FSM_FFd7 9 4<- 0 0 FB1_18 (b) (b) +ram/RS_FSM_FFd4 1 0 0 4 FB1_1 (b) (b) +ram/RS_FSM_FFd3 1 0 0 4 FB1_2 11 I/O I +ram/RS_FSM_FFd2 1 0 0 4 FB1_3 12 I/O I +ram/RS_FSM_FFd1 1 0 0 4 FB1_4 (b) (b) +ram/RASrf 1 0 0 4 FB1_5 13 I/O I +iobm/Er 1 0 0 4 FB1_6 14 I/O I +cnt/nIPL2r 1 0 0 4 FB1_7 (b) (b) +cnt/IS_FSM_FFd1 1 0 0 4 FB1_8 15 I/O I +cnt/Er<0> 1 0 0 4 FB1_9 16 I/O I +ram/RefDone 2 0 0 3 FB1_10 (b) (b) +ram/RS_FSM_FFd5 2 0 0 3 FB1_11 17 I/O I +iobs/IOU1 2 0 0 3 FB1_12 18 I/O I +iobs/IOL1 2 0 0 3 FB1_13 (b) (b) +cnt/Timer<0> 2 0 0 3 FB1_14 19 I/O I +cnt/IS_FSM_FFd2 2 0 0 3 FB1_15 20 I/O I +RefUrg 2 0 0 3 FB1_16 (b) (b) +IOBERR 2 0 0 3 FB1_17 22 GCK/I/O GCK +iobm/ES<2> 3 0 0 2 FB1_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<22> 12: cnt/Timer<1> 23: nLDS_FSB - 2: A_FSB<23> 13: cnt/Timer<2> 24: nUDS_FSB - 3: E 14: cnt/Timer<3> 25: ram/BACTr - 4: RefReq 15: cnt/TimerTC 26: ram/RASEN - 5: RefUrg 16: fsb/ASrf 27: ram/RS_FSM_FFd1 - 6: cnt/Er<0> 17: iobm/ES<0> 28: ram/RS_FSM_FFd2 - 7: cnt/Er<1> 18: iobm/ES<1> 29: ram/RS_FSM_FFd3 - 8: cnt/IS_FSM_FFd1 19: iobm/ES<2> 30: ram/RS_FSM_FFd4 - 9: cnt/IS_FSM_FFd2 20: iobm/Er 31: ram/RS_FSM_FFd7 - 10: cnt/LTimerTC 21: iobs/Load1 32: ram/RS_FSM_FFd8 - 11: cnt/Timer<0> 22: nAS_FSB 33: ram/RefDone + 1: E 12: cnt/Timer<3> 23: nLDS_FSB + 2: RefReq 13: cnt/TimerTC 24: nUDS_FSB + 3: RefUrg 14: cnt/nIPL2r 25: ram/DTACKr + 4: cnt/Er<0> 15: iobm/ES<0> 26: ram/RS_FSM_FFd1 + 5: cnt/Er<1> 16: iobm/ES<1> 27: ram/RS_FSM_FFd2 + 6: cnt/IS_FSM_FFd1 17: iobm/ES<2> 28: ram/RS_FSM_FFd3 + 7: cnt/IS_FSM_FFd2 18: iobm/Er 29: ram/RS_FSM_FFd5 + 8: cnt/LTimerTC 19: iobs/Load1 30: ram/RS_FSM_FFd6 + 9: cnt/Timer<0> 20: nAS_IOB 31: ram/RS_FSM_FFd7 + 10: cnt/Timer<1> 21: nBERR_IOB 32: ram/RefDone + 11: cnt/Timer<2> 22: nIPL2 Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs +ram/RS_FSM_FFd4 ........................X...X........... 2 ram/RS_FSM_FFd3 ..............................X......... 1 -ram/RS_FSM_FFd2 ............................X........... 1 -ram/RS_FSM_FFd1 ...........................X............ 1 -cnt/Er<1> .....X.................................. 1 -cnt/Er<0> ..X..................................... 1 -ram/RefDone ...XX.....................XXX.X.X....... 7 -iobs/IOU1 ....................X..X................ 2 -iobs/IOL1 ....................X.X................. 2 -cnt/TimerTC .....XX...XXXX.......................... 6 -cnt/Timer<0> .....XX...X...X......................... 4 -cnt/IS_FSM_FFd2 .....XXXXX....X......................... 6 -RefUrg .....XX....XXX.......................... 5 -RefReq .....XX...XXXX.......................... 6 -iobm/ES<2> ..X.............XXXX.................... 5 -cnt/Timer<1> .....XX...XX..X......................... 5 -cnt/Timer<3> .....XX...XXXXX......................... 7 -cnt/Timer<2> .....XX...XXX.X......................... 6 -ram/RS_FSM_FFd7 XX.XX..........X.....X..XX...X.XX....... 11 +ram/RS_FSM_FFd2 ...........................X............ 1 +ram/RS_FSM_FFd1 ..........................X............. 1 +ram/RASrf .............................X.......... 1 +iobm/Er X....................................... 1 +cnt/nIPL2r .....................X.................. 1 +cnt/IS_FSM_FFd1 ...XXXXX....XX.......................... 7 +cnt/Er<0> X....................................... 1 +ram/RefDone .XX......................XXX..XX........ 7 +ram/RS_FSM_FFd5 ........................X...XX.......... 3 +iobs/IOU1 ..................X....X................ 2 +iobs/IOL1 ..................X...X................. 2 +cnt/Timer<0> ...XX...X...X........................... 4 +cnt/IS_FSM_FFd2 ...XXXXX....X........................... 6 +RefUrg ...XX....XXX............................ 5 +IOBERR ...................XX................... 2 +iobm/ES<2> X.............XXXX...................... 5 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB2 *********************************** -Number of function block inputs used/remaining: 18/36 -Number of signals used by logic mapping into function block: 18 +Number of function block inputs used/remaining: 13/41 +Number of signals used by logic mapping into function block: 13 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use (unused) 0 0 0 5 FB2_1 (b) (unused) 0 0 0 5 FB2_2 99 GSR/I/O (unused) 0 0 0 5 FB2_3 (b) -ram/RASrf 1 0 0 4 FB2_4 (b) (b) -ram/DTACKr 1 0 0 4 FB2_5 1 GTS/I/O (b) -iobs/IODONEr 1 0 0 4 FB2_6 2 GTS/I/O I -iobs/IOACTr 1 0 0 4 FB2_7 (b) (b) -iobm/VPAr 1 0 0 4 FB2_8 3 GTS/I/O I -iobm/IOWRREQr 1 0 0 4 FB2_9 4 GTS/I/O I -iobm/IOS_FSM_FFd5 1 0 0 4 FB2_10 (b) (b) -iobm/IOS_FSM_FFd4 1 0 0 4 FB2_11 6 I/O I -iobm/IOS_FSM_FFd1 1 0 0 4 FB2_12 7 I/O I -iobm/IORDREQr 1 0 0 4 FB2_13 (b) (b) -iobm/Er 1 0 0 4 FB2_14 8 I/O I -iobm/C8Mr 1 0 0 4 FB2_15 9 I/O I -cnt/nIPL2r 1 0 0 4 FB2_16 (b) (b) -iobm/IOS_FSM_FFd2 2 0 0 3 FB2_17 10 I/O I -IOBERR 2 0 0 3 FB2_18 (b) (b) +(unused) 0 0 0 5 FB2_4 (b) +(unused) 0 0 0 5 FB2_5 1 GTS/I/O +(unused) 0 0 0 5 FB2_6 2 GTS/I/O I +(unused) 0 0 0 5 FB2_7 (b) +ram/DTACKr 1 0 0 4 FB2_8 3 GTS/I/O I +iobs/IODONEr 1 0 0 4 FB2_9 4 GTS/I/O I +iobs/IOACTr 1 0 0 4 FB2_10 (b) (b) +iobm/VPAr 1 0 0 4 FB2_11 6 I/O I +iobm/IOWRREQr 1 0 0 4 FB2_12 7 I/O I +iobm/IOS_FSM_FFd5 1 0 0 4 FB2_13 (b) (b) +iobm/IOS_FSM_FFd4 1 0 0 4 FB2_14 8 I/O I +iobm/IOS_FSM_FFd1 1 0 0 4 FB2_15 9 I/O I +iobm/IORDREQr 1 0 0 4 FB2_16 (b) (b) +iobm/C8Mr 1 0 0 4 FB2_17 10 I/O I +iobm/IOS_FSM_FFd2 2 0 0 3 FB2_18 (b) (b) Signals Used by Logic in Function Block - 1: C8M 7: IOWRREQ 13: nAS_IOB - 2: E 8: iobm/C8Mr 14: nBERR_IOB - 3: IOACT 9: iobm/IOS_FSM_FFd2 15: nDTACK_FSB - 4: IOBERR 10: iobm/IOS_FSM_FFd3 16: nIPL2 - 5: IODONE 11: iobm/IOS_FSM_FFd5 17: nVPA_IOB - 6: IORDREQ 12: iobm/IOS_FSM_FFd6 18: ram/RS_FSM_FFd6 + 1: C8M 6: IOWRREQ 10: iobm/IOS_FSM_FFd5 + 2: IOACT 7: iobm/C8Mr 11: iobm/IOS_FSM_FFd6 + 3: IOBERR 8: iobm/IOS_FSM_FFd2 12: nDTACK_FSB + 4: IODONE 9: iobm/IOS_FSM_FFd3 13: nVPA_IOB + 5: IORDREQ Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -ram/RASrf .................X...................... 1 -ram/DTACKr ..............X......................... 1 -iobs/IODONEr ....X................................... 1 -iobs/IOACTr ..X..................................... 1 -iobm/VPAr ................X....................... 1 -iobm/IOWRREQr ......X................................. 1 -iobm/IOS_FSM_FFd5 ...........X............................ 1 -iobm/IOS_FSM_FFd4 ..........X............................. 1 -iobm/IOS_FSM_FFd1 ........X............................... 1 -iobm/IORDREQr .....X.................................. 1 -iobm/Er .X...................................... 1 +ram/DTACKr ...........X............................ 1 +iobs/IODONEr ...X.................................... 1 +iobs/IOACTr .X...................................... 1 +iobm/VPAr ............X........................... 1 +iobm/IOWRREQr .....X.................................. 1 +iobm/IOS_FSM_FFd5 ..........X............................. 1 +iobm/IOS_FSM_FFd4 .........X.............................. 1 +iobm/IOS_FSM_FFd1 .......X................................ 1 +iobm/IORDREQr ....X................................... 1 iobm/C8Mr X....................................... 1 -cnt/nIPL2r ...............X........................ 1 -iobm/IOS_FSM_FFd2 ...XX..X.X.............................. 4 -IOBERR ............XX.......................... 2 +iobm/IOS_FSM_FFd2 ..XX..X.X............................... 4 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB3 *********************************** -Number of function block inputs used/remaining: 43/11 -Number of signals used by logic mapping into function block: 43 +Number of function block inputs used/remaining: 40/14 +Number of signals used by logic mapping into function block: 40 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -(unused) 0 0 \/5 0 FB3_1 (b) (b) -QoSReady 18 13<- 0 0 FB3_2 23 GCK/I/O GCK/I -cnt/WS<2> 3 2<- /\4 0 FB3_3 (b) (b) -ram/RS_FSM_FFd6 2 0 /\2 1 FB3_4 (b) (b) -ram/RS_FSM_FFd5 2 0 0 3 FB3_5 24 I/O I -iobs/TS_FSM_FFd1 2 0 0 3 FB3_6 25 I/O I -ram/RASEL 3 0 \/2 0 FB3_7 (b) (b) -cs/nOverlay 3 2<- \/4 0 FB3_8 27 GCK/I/O GCK +cnt/QS<1> 13 8<- 0 0 FB3_1 (b) (b) +cnt/LTimer<0> 1 0 /\4 0 FB3_2 23 GCK/I/O GCK/I +cnt/Er<1> 1 0 0 4 FB3_3 (b) (b) +cnt/LTimer<6> 2 0 0 3 FB3_4 (b) (b) +cnt/LTimer<5> 2 0 0 3 FB3_5 24 I/O I +cnt/LTimer<4> 2 0 0 3 FB3_6 25 I/O I +cnt/LTimer<3> 2 0 0 3 FB3_7 (b) (b) +cnt/LTimer<2> 2 0 \/2 1 FB3_8 27 GCK/I/O GCK nDTACK_FSB 9 4<- 0 0 FB3_9 28 I/O O -cnt/WS<3> 3 0 \/1 1 FB3_10 (b) (b) -cnt/WS<1> 3 1<- \/3 0 FB3_11 29 I/O I -iobs/Load1 8 3<- 0 0 FB3_12 30 I/O I -iobs/Clear1 1 0 \/3 1 FB3_13 (b) (b) -(unused) 0 0 \/5 0 FB3_14 32 I/O I -IORDREQ 9 8<- \/4 0 FB3_15 33 I/O I -iobs/Sent 13 8<- 0 0 FB3_16 (b) (b) +cnt/LTimer<1> 2 0 /\2 1 FB3_10 (b) (b) +cnt/Wait<4> 3 0 0 2 FB3_11 29 I/O I +cnt/Wait<3> 3 0 0 2 FB3_12 30 I/O I +cnt/Wait<2> 3 0 0 2 FB3_13 (b) (b) +cnt/Wait<1> 3 0 \/2 0 FB3_14 32 I/O I +QoSReady 4 2<- \/3 0 FB3_15 33 I/O I +cnt/QS<0> 12 7<- 0 0 FB3_16 (b) (b) nROMWE 1 0 /\4 0 FB3_17 34 I/O O -ram/RS_FSM_FFd4 1 0 \/4 0 FB3_18 (b) (b) +fsb/ASrf 1 0 \/4 0 FB3_18 (b) (b) Signals Used by Logic in Function Block - 1: $OpTx$$OpTx$FX_DC$350_INV$537 16: A_FSB<8> 30: fsb/ASrf - 2: A_FSB<10> 17: A_FSB<9> 31: iobs/IOACTr - 3: A_FSB<11> 18: IONPReady 32: iobs/IORW1 - 4: A_FSB<12> 19: IORDREQ 33: iobs/Sent - 5: A_FSB<13> 20: nRES.PIN 34: iobs/TS_FSM_FFd1 - 6: A_FSB<14> 21: QoSReady 35: iobs/TS_FSM_FFd2 - 7: A_FSB<15> 22: RAMReady 36: nADoutLE1 - 8: A_FSB<16> 23: cnt/LTimer<0> 37: nAS_FSB - 9: A_FSB<17> 24: cnt/LTimer<1> 38: nWE_FSB - 10: A_FSB<18> 25: cnt/WS<0> 39: ram/DTACKr - 11: A_FSB<19> 26: cnt/WS<1> 40: ram/RASEN - 12: A_FSB<20> 27: cnt/WS<2> 41: ram/RS_FSM_FFd5 - 13: A_FSB<21> 28: cnt/WS<3> 42: ram/RS_FSM_FFd6 - 14: A_FSB<22> 29: cs/nOverlay 43: ram/RS_FSM_FFd8 - 15: A_FSB<23> + 1: A_FSB<10> 15: A_FSB<8> 28: cnt/QS<0> + 2: A_FSB<11> 16: A_FSB<9> 29: cnt/QS<1> + 3: A_FSB<12> 17: IONPReady 30: cnt/TimerTC + 4: A_FSB<13> 18: QoSReady 31: cnt/Wait<0> + 5: A_FSB<14> 19: RAMReady 32: cnt/Wait<1> + 6: A_FSB<15> 20: cnt/Er<0> 33: cnt/Wait<2> + 7: A_FSB<16> 21: cnt/Er<1> 34: cnt/Wait<3> + 8: A_FSB<17> 22: cnt/LTimer<0> 35: cnt/Wait<4> + 9: A_FSB<18> 23: cnt/LTimer<1> 36: fsb/ASrf + 10: A_FSB<19> 24: cnt/LTimer<2> 37: iobs/Sent + 11: A_FSB<20> 25: cnt/LTimer<3> 38: nADoutLE1 + 12: A_FSB<21> 26: cnt/LTimer<4> 39: nAS_FSB + 13: A_FSB<22> 27: cnt/LTimer<5> 40: nWE_FSB + 14: A_FSB<23> Signal 1 2 3 4 5 FB Name 0----+----0----+----0----+----0----+----0----+----0 Inputs -QoSReady XXXXXXXXXXXXXXXXX...X.XXXXXX.........X............ 25 -cnt/WS<2> ........................XXX..X......X............. 5 -ram/RS_FSM_FFd6 .............XX.............XX......X..X..X....... 7 -ram/RS_FSM_FFd5 ......................................X.XX........ 3 -iobs/TS_FSM_FFd1 ..............................X..XX............... 3 -ram/RASEL .............XX.............XX......X..X.XX....... 8 -cs/nOverlay ...........XXXX....X........XX......X............. 8 -nDTACK_FSB ....XX.XXXXXXXX..X..XX.......X.....XXX............ 17 -cnt/WS<3> ........................XXXX.X......X............. 6 -cnt/WS<1> ........................XX...X......X............. 4 -iobs/Load1 ....XX.XXXXXXXX..............X..XXXXXX............ 17 -iobs/Clear1 .................................XX............... 2 -IORDREQ ...........XXXX...X.........XXXXXXXXXX............ 15 -iobs/Sent ....XX.XXXXXXXX.............XX..XXXXXX............ 18 -nROMWE ....................................XX............ 2 -ram/RS_FSM_FFd4 ......................................X.X......... 2 +cnt/QS<1> XXXXXXXXXXXXXXXX...XX......XXX.....X..XX.......... 24 +cnt/LTimer<0> ...................XX........X.................... 3 +cnt/Er<1> ...................X.............................. 1 +cnt/LTimer<6> ...................XXXXXXXX..X.................... 9 +cnt/LTimer<5> ...................XXXXXXX...X.................... 8 +cnt/LTimer<4> ...................XXXXXX....X.................... 7 +cnt/LTimer<3> ...................XXXXX.....X.................... 6 +cnt/LTimer<2> ...................XXXX......X.................... 5 +nDTACK_FSB ...XX.XXXXXXXX..XXX................XXXXX.......... 18 +cnt/LTimer<1> ...................XXX.......X.................... 4 +cnt/Wait<4> ..............................XXXXXX..X........... 7 +cnt/Wait<3> ..............................XXXX.X..X........... 6 +cnt/Wait<2> ..............................XXX..X..X........... 5 +cnt/Wait<1> ..............................XX...X..X........... 4 +QoSReady .................X.........XX.XXXXXX..X........... 10 +cnt/QS<0> XXXXXXXXXXXXXXXX...XX......XXX.....X..XX.......... 24 +nROMWE ......................................XX.......... 2 +fsb/ASrf ......................................X........... 1 0----+----1----+----2----+----3----+----4----+----5 0 0 0 0 0 *********************************** FB4 *********************************** -Number of function block inputs used/remaining: 43/11 -Number of signals used by logic mapping into function block: 43 +Number of function block inputs used/remaining: 39/15 +Number of signals used by logic mapping into function block: 39 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -(unused) 0 0 /\5 0 FB4_1 (b) (b) -nAoutOE 2 2<- /\5 0 FB4_2 87 I/O O -(unused) 0 0 /\2 3 FB4_3 (b) (b) -(unused) 0 0 0 5 FB4_4 (b) -nDoutOE 2 0 0 3 FB4_5 89 I/O O -nDinOE 3 0 0 2 FB4_6 90 I/O O -ram/BACTr 1 0 0 4 FB4_7 (b) (b) -nRES 1 0 0 4 FB4_8 91 I/O I/O -nRESout 1 0 0 4 FB4_9 92 I/O I -fsb/ASrf 1 0 0 4 FB4_10 (b) (b) -nVPA_FSB 3 0 0 2 FB4_11 93 I/O O -cnt/LTimerTC 1 0 0 4 FB4_12 94 I/O I -ALE0S 1 0 0 4 FB4_13 (b) (b) -$OpTx$$OpTx$FX_DC$350_INV$537 - 1 0 \/3 1 FB4_14 95 I/O I +cs/nOverlay 3 0 /\1 1 FB4_1 (b) (b) +nAoutOE 2 0 \/1 2 FB4_2 87 I/O O +(unused) 0 0 \/5 0 FB4_3 (b) (b) +IOU0 16 11<- 0 0 FB4_4 (b) (b) +nDoutOE 2 2<- /\5 0 FB4_5 89 I/O O +nDinOE 3 0 /\2 0 FB4_6 90 I/O O +(unused) 0 0 0 5 FB4_7 (b) +nRES 1 0 \/4 0 FB4_8 91 I/O I/O +IOL0 16 11<- 0 0 FB4_9 92 I/O I +(unused) 0 0 /\5 0 FB4_10 (b) (b) +nVPA_FSB 3 0 /\2 0 FB4_11 93 I/O O +(unused) 0 0 \/5 0 FB4_12 94 I/O I +IOWRREQ 15 10<- 0 0 FB4_13 (b) (b) +(unused) 0 0 /\5 0 FB4_14 95 I/O I (unused) 0 0 \/5 0 FB4_15 96 I/O I -cnt/LTimer<1> 11 8<- \/2 0 FB4_16 (b) (b) -(unused) 0 0 \/5 0 FB4_17 97 I/O I -cnt/LTimer<0> 22 17<- 0 0 FB4_18 (b) (b) +iobs/TS_FSM_FFd2 13 8<- 0 0 FB4_16 (b) (b) +iobs/IORW1 8 6<- /\3 0 FB4_17 97 I/O I +(unused) 0 0 /\5 0 FB4_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<10> 16: A_FSB<9> 30: cnt/LTimer<8> - 2: A_FSB<11> 17: IONPReady 31: cnt/LTimer<9> - 3: A_FSB<12> 18: cnt/IS_FSM_FFd1 32: cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - 4: A_FSB<13> 19: cnt/IS_FSM_FFd2 33: fsb/ASrf - 5: A_FSB<14> 20: cnt/LTimer<0> 34: iobm/DoutOE - 6: A_FSB<15> 21: cnt/LTimer<10> 35: iobm/IORDREQr - 7: A_FSB<16> 22: cnt/LTimer<11> 36: iobm/IOS0 - 8: A_FSB<17> 23: cnt/LTimer<1> 37: iobm/IOWRREQr - 9: A_FSB<18> 24: cnt/LTimer<2> 38: iobs/TS_FSM_FFd2 - 10: A_FSB<19> 25: cnt/LTimer<3> 39: nAS_FSB - 11: A_FSB<20> 26: cnt/LTimer<4> 40: nAoutOE - 12: A_FSB<21> 27: cnt/LTimer<5> 41: nBR_IOB - 13: A_FSB<22> 28: cnt/LTimer<6> 42: nRESout - 14: A_FSB<23> 29: cnt/LTimer<7> 43: nWE_FSB - 15: A_FSB<8> + 1: A_FSB<13> 14: IOWRREQ 27: iobs/IORW1 + 2: A_FSB<14> 15: nRES.PIN 28: iobs/IOU1 + 3: A_FSB<16> 16: QoSReady 29: iobs/Sent + 4: A_FSB<17> 17: cnt/IS_FSM_FFd1 30: iobs/TS_FSM_FFd1 + 5: A_FSB<18> 18: cnt/IS_FSM_FFd2 31: iobs/TS_FSM_FFd2 + 6: A_FSB<19> 19: cs/nOverlay 32: nADoutLE1 + 7: A_FSB<20> 20: fsb/ASrf 33: nAS_FSB + 8: A_FSB<21> 21: iobm/DoutOE 34: nAoutOE + 9: A_FSB<22> 22: iobm/IORDREQr 35: nBR_IOB + 10: A_FSB<23> 23: iobm/IOS0 36: nLDS_FSB + 11: IOL0 24: iobm/IOWRREQr 37: nRESout + 12: IONPReady 25: iobs/IOACTr 38: nUDS_FSB + 13: IOU0 26: iobs/IOL1 39: nWE_FSB -Signal 1 2 3 4 5 FB -Name 0----+----0----+----0----+----0----+----0----+----0 Inputs -nAoutOE .................XX....................XX......... 4 -nDoutOE .................................XXXX..X.......... 5 -nDinOE ..........XXXX........................X...X....... 6 -ram/BACTr ................................X.....X........... 2 -nRES .........................................X........ 1 -nRESout .................XX............................... 2 -fsb/ASrf ......................................X........... 1 -nVPA_FSB ......XXXXXXXX..X...............X.....X........... 11 -cnt/LTimerTC ...................XXXXXXXXXXXX................... 12 -ALE0S .....................................X............ 1 -$OpTx$$OpTx$FX_DC$350_INV$537 - ................................X.....X........... 2 -cnt/LTimer<1> XXXXXXXXXXXXXXXX.XXX..X........XX.....X...X....... 24 -cnt/LTimer<0> XXXXXXXXXXXXXXXX.XXXXXXXXXXXXXXXX.....X...X....... 34 - 0----+----1----+----2----+----3----+----4----+----5 - 0 0 0 0 0 +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +cs/nOverlay ......XXXX....X...XX............X....... 8 +nAoutOE ................XX...............XX..... 4 +IOU0 XXXXXXXXXX..X.....XX.......XXXXXX....XX. 21 +nDoutOE ....................XXXX.........X...... 5 +nDinOE ......XXXX........X.............X.....X. 7 +nRES ....................................X... 1 +IOL0 XXXXXXXXXXX.......XX.....X..XXXXX..X..X. 21 +nVPA_FSB ..XXXXXXXX.X...X...X............X....... 12 +IOWRREQ XXXXXXXXXX...X....XX....X.X.XXXXX.....X. 21 +iobs/TS_FSM_FFd2 XXXXXXXXXX........XX....X...XXXXX.....X. 19 +iobs/IORW1 XXXXXXXXXX.........X......X.XXXXX.....X. 18 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 *********************************** FB5 *********************************** Number of function block inputs used/remaining: 39/15 Number of signals used by logic mapping into function block: 39 @@ -523,7 +514,7 @@ nROMCS 2 2<- /\5 0 FB5_2 35 I/O O nCAS 15 10<- 0 0 FB5_5 36 I/O O nOE 3 3<- /\5 0 FB5_6 37 I/O O (unused) 0 0 /\3 2 FB5_7 (b) (b) -cnt/WS<0> 1 0 \/2 2 FB5_8 39 I/O (b) +cnt/Wait<0> 1 0 \/2 2 FB5_8 39 I/O (b) RA<4> 2 2<- \/5 0 FB5_9 40 I/O O ram/RASEN 10 5<- 0 0 FB5_10 (b) (b) RA<3> 2 0 \/2 1 FB5_11 41 I/O O @@ -536,36 +527,36 @@ IONPReady 5 5<- \/5 0 FB5_16 (b) (b) ram/RASrr 12 10<- \/3 0 FB5_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<11> 14: A_FSB<4> 27: ram/BACTr + 1: A_FSB<11> 14: A_FSB<4> 27: nWE_FSB 2: A_FSB<12> 15: A_FSB<5> 28: ram/DTACKr 3: A_FSB<13> 16: A_FSB<7> 29: ram/RASEL - 4: A_FSB<14> 17: IONPReady 30: ram/RASEN - 5: A_FSB<16> 18: RefReq 31: ram/RS_FSM_FFd1 - 6: A_FSB<17> 19: RefUrg 32: ram/RS_FSM_FFd2 - 7: A_FSB<18> 20: cnt/WS<0> 33: ram/RS_FSM_FFd3 - 8: A_FSB<19> 21: cs/nOverlay 34: ram/RS_FSM_FFd4 - 9: A_FSB<20> 22: fsb/ASrf 35: ram/RS_FSM_FFd5 - 10: A_FSB<21> 23: iobs/IODONEr 36: ram/RS_FSM_FFd6 - 11: A_FSB<22> 24: iobs/Sent 37: ram/RS_FSM_FFd7 - 12: A_FSB<23> 25: nAS_FSB 38: ram/RS_FSM_FFd8 - 13: A_FSB<3> 26: nWE_FSB 39: ram/RefDone + 4: A_FSB<14> 17: BACTr 30: ram/RASEN + 5: A_FSB<16> 18: IONPReady 31: ram/RS_FSM_FFd1 + 6: A_FSB<17> 19: RefReq 32: ram/RS_FSM_FFd2 + 7: A_FSB<18> 20: RefUrg 33: ram/RS_FSM_FFd3 + 8: A_FSB<19> 21: cnt/Wait<0> 34: ram/RS_FSM_FFd4 + 9: A_FSB<20> 22: cs/nOverlay 35: ram/RS_FSM_FFd5 + 10: A_FSB<21> 23: fsb/ASrf 36: ram/RS_FSM_FFd6 + 11: A_FSB<22> 24: iobs/IODONEr 37: ram/RS_FSM_FFd7 + 12: A_FSB<23> 25: iobs/Sent 38: ram/RS_FSM_FFd8 + 13: A_FSB<3> 26: nAS_FSB 39: ram/RefDone Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -ram/RS_FSM_FFd8 ..........XX.....XX.XX..X.X..XX..X...XX. 13 -nROMCS ........XXXX........X................... 5 -nCAS ..........XX.....XX..X..X.XX.XXXXXX.XXX. 17 -nOE .....................X..XXXX............ 5 -cnt/WS<0> ...................X.X..X............... 3 +ram/RS_FSM_FFd8 ..........XX....X.XX.XX..X...XX..X...XX. 13 +nROMCS ........XXXX.........X.................. 5 +nCAS ..........XX....X.XX..X..X.X.XXXXXX.XXX. 17 +nOE ................X.....X..XXX............ 5 +cnt/Wait<0> ....................X.X..X.............. 3 RA<4> X...........X...............X........... 3 -ram/RASEN ..........XX.....XX..X..X.X..XX..X...XX. 12 +ram/RASEN ..........XX....X.XX..X..X...XX..X...XX. 12 RA<3> .......XX...................X........... 3 RA<5> .X...........X..............X........... 3 -RAMReady ..........XX.....XX..X..X.X..XX..XXX.XX. 14 +RAMReady ..........XX....X.XX..X..X...XX..XXX.XX. 14 RA<2> ....X..........X............X........... 3 RA<6> ..X...........X.............X........... 3 -IONPReady ..XXXXXXXXXX....X....XXXXX.............. 16 -ram/RASrr ..........XX.....XX.XX..X.X..X...X..XXX. 13 +IONPReady ..XXXXXXXXXX.....X....XXXXX............. 16 +ram/RASrr ..........XX....X.XX.XX..X...X...X..XXX. 13 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB6 *********************************** @@ -629,132 +620,129 @@ IOACT ...XXX...X......X.XXXXXXXX.....X........ 14 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB7 *********************************** -Number of function block inputs used/remaining: 41/13 -Number of signals used by logic mapping into function block: 41 +Number of function block inputs used/remaining: 32/22 +Number of signals used by logic mapping into function block: 32 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -cnt/LTimer<9> 3 1<- /\3 0 FB7_1 (b) (b) -RA<1> 2 0 /\1 2 FB7_2 50 I/O O -cnt/LTimer<8> 3 0 0 2 FB7_3 (b) (b) -cnt/LTimer<7> 3 0 0 2 FB7_4 (b) (b) +cnt/TimerTC 2 0 0 3 FB7_1 (b) (b) +RA<1> 2 0 0 3 FB7_2 50 I/O O +cnt/LTimerTC 2 0 0 3 FB7_3 (b) (b) +cnt/LTimer<9> 2 0 0 3 FB7_4 (b) (b) RA<7> 2 0 0 3 FB7_5 52 I/O O RA<0> 2 0 0 3 FB7_6 53 I/O O -cnt/LTimer<6> 3 0 0 2 FB7_7 (b) (b) +cnt/LTimer<8> 2 0 0 3 FB7_7 (b) (b) RA<8> 2 0 0 3 FB7_8 54 I/O O RA<10> 2 0 0 3 FB7_9 55 I/O O -cnt/LTimer<5> 3 0 0 2 FB7_10 (b) (b) +cnt/LTimer<7> 2 0 0 3 FB7_10 (b) (b) RA<9> 2 0 0 3 FB7_11 56 I/O O C25MEN 0 0 0 5 FB7_12 58 I/O O -cnt/LTimer<4> 3 0 0 2 FB7_13 (b) (b) -cnt/LTimer<3> 3 0 0 2 FB7_14 59 I/O (b) -cnt/LTimer<2> 3 0 0 2 FB7_15 60 I/O (b) -cnt/LTimer<11> 3 0 0 2 FB7_16 (b) (b) -cnt/LTimer<10> 3 0 \/2 0 FB7_17 61 I/O (b) -cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - 10 5<- 0 0 FB7_18 (b) (b) +cnt/LTimer<11> 2 0 0 3 FB7_13 (b) (b) +cnt/LTimer<10> 2 0 0 3 FB7_14 59 I/O (b) +RefReq 2 0 0 3 FB7_15 60 I/O (b) +cnt/Timer<1> 4 0 0 1 FB7_16 (b) (b) +cnt/Timer<3> 5 0 0 0 FB7_17 61 I/O (b) +cnt/Timer<2> 5 0 0 0 FB7_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<10> 15: A_FSB<23> 29: cnt/LTimer<2> - 2: A_FSB<11> 16: A_FSB<2> 30: cnt/LTimer<3> - 3: A_FSB<12> 17: A_FSB<6> 31: cnt/LTimer<4> - 4: A_FSB<13> 18: A_FSB<7> 32: cnt/LTimer<5> - 5: A_FSB<14> 19: A_FSB<8> 33: cnt/LTimer<6> - 6: A_FSB<15> 20: A_FSB<9> 34: cnt/LTimer<7> - 7: A_FSB<16> 21: cnt/Er<0> 35: cnt/LTimer<8> - 8: A_FSB<17> 22: cnt/Er<1> 36: cnt/LTimer<9> - 9: A_FSB<18> 23: cnt/IS_FSM_FFd1 37: cnt/TimerTC - 10: A_FSB<19> 24: cnt/IS_FSM_FFd2 38: fsb/ASrf - 11: A_FSB<1> 25: cnt/LTimer<0> 39: nAS_FSB - 12: A_FSB<20> 26: cnt/LTimer<10> 40: nWE_FSB - 13: A_FSB<21> 27: cnt/LTimer<11> 41: ram/RASEL - 14: A_FSB<22> 28: cnt/LTimer<1> + 1: A_FSB<10> 12: A_FSB<9> 23: cnt/LTimer<6> + 2: A_FSB<14> 13: cnt/Er<0> 24: cnt/LTimer<7> + 3: A_FSB<15> 14: cnt/Er<1> 25: cnt/LTimer<8> + 4: A_FSB<17> 15: cnt/LTimer<0> 26: cnt/LTimer<9> + 5: A_FSB<18> 16: cnt/LTimer<10> 27: cnt/Timer<0> + 6: A_FSB<1> 17: cnt/LTimer<11> 28: cnt/Timer<1> + 7: A_FSB<21> 18: cnt/LTimer<1> 29: cnt/Timer<2> + 8: A_FSB<2> 19: cnt/LTimer<2> 30: cnt/Timer<3> + 9: A_FSB<6> 20: cnt/LTimer<3> 31: cnt/TimerTC + 10: A_FSB<7> 21: cnt/LTimer<4> 32: ram/RASEL + 11: A_FSB<8> 22: cnt/LTimer<5> -Signal 1 2 3 4 5 FB -Name 0----+----0----+----0----+----0----+----0----+----0 Inputs -cnt/LTimer<9> ....................XXXXX..XXXXXXXXXX............. 15 -RA<1> X..............X........................X......... 3 -cnt/LTimer<8> ....................XXXXX..XXXXXXXX.X............. 14 -cnt/LTimer<7> ....................XXXXX..XXXXXXX..X............. 13 -RA<7> ....X...........X.......................X......... 3 -RA<0> ..........X........X....................X......... 3 -cnt/LTimer<6> ....................XXXXX..XXXXXX...X............. 12 -RA<8> ........X...X...........................X......... 3 -RA<10> .......X.........X......................X......... 3 -cnt/LTimer<5> ....................XXXXX..XXXXX....X............. 11 -RA<9> .....X............X.....................X......... 3 -C25MEN .................................................. 0 -cnt/LTimer<4> ....................XXXXX..XXXX.....X............. 10 -cnt/LTimer<3> ....................XXXXX..XXX......X............. 9 -cnt/LTimer<2> ....................XXXXX..XX.......X............. 8 -cnt/LTimer<11> ....................XXXXXXXXXXXXXXXXX............. 17 -cnt/LTimer<10> ....................XXXXXX.XXXXXXXXXX............. 16 -cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - XXXXXXXXXX.XXXX...XXXXXXXXXXXXXXXXXXXXXX.......... 36 - 0----+----1----+----2----+----3----+----4----+----5 - 0 0 0 0 0 +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +cnt/TimerTC ............XX............XXXX.......... 6 +RA<1> X......X.......................X........ 3 +cnt/LTimerTC ............XXXXXXXXXXXXXX....X......... 15 +cnt/LTimer<9> ............XXX..XXXXXXXX.....X......... 12 +RA<7> .X......X......................X........ 3 +RA<0> .....X.....X...................X........ 3 +cnt/LTimer<8> ............XXX..XXXXXXX......X......... 11 +RA<8> ....X.X........................X........ 3 +RA<10> ...X.....X.....................X........ 3 +cnt/LTimer<7> ............XXX..XXXXXX.......X......... 10 +RA<9> ..X.......X....................X........ 3 +C25MEN ........................................ 0 +cnt/LTimer<11> ............XXXX.XXXXXXXXX....X......... 14 +cnt/LTimer<10> ............XXX..XXXXXXXXX....X......... 13 +RefReq ............XX............XXXX.......... 6 +cnt/Timer<1> ............XX............XX..X......... 5 +cnt/Timer<3> ............XX............XXXXX......... 7 +cnt/Timer<2> ............XX............XXX.X......... 6 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 *********************************** FB8 *********************************** -Number of function block inputs used/remaining: 41/13 -Number of signals used by logic mapping into function block: 41 +Number of function block inputs used/remaining: 40/14 +Number of signals used by logic mapping into function block: 40 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -IOL0 16 11<- 0 0 FB8_1 (b) (b) -RA<11> 2 2<- /\5 0 FB8_2 63 I/O O -iobs/TS_FSM_FFd2 13 10<- /\2 0 FB8_3 (b) (b) -(unused) 0 0 /\5 0 FB8_4 (b) (b) +(unused) 0 0 /\5 0 FB8_1 (b) (b) +RA<11> 2 0 \/3 0 FB8_2 63 I/O O +IORDREQ 9 4<- 0 0 FB8_3 (b) (b) +ram/RS_FSM_FFd7 9 5<- /\1 0 FB8_4 (b) (b) nRAS 3 3<- /\5 0 FB8_5 64 I/O O nRAMLWE 1 0 /\3 1 FB8_6 65 I/O O -(unused) 0 0 \/1 4 FB8_7 (b) (b) -nRAMUWE 1 1<- \/5 0 FB8_8 66 I/O O -IOWRREQ 15 10<- 0 0 FB8_9 67 I/O (b) -(unused) 0 0 /\5 0 FB8_10 (b) (b) -(unused) 0 0 \/1 4 FB8_11 68 I/O (b) -nBERR_FSB 3 1<- \/3 0 FB8_12 70 I/O O -(unused) 0 0 \/5 0 FB8_13 (b) (b) -IOU0 16 11<- 0 0 FB8_14 71 I/O (b) -nBR_IOB 2 0 /\3 0 FB8_15 72 I/O O -cnt/IS_FSM_FFd1 1 0 \/4 0 FB8_16 (b) (b) -iobs/IORW1 8 4<- \/1 0 FB8_17 73 I/O (b) -(unused) 0 0 \/5 0 FB8_18 (b) (b) +iobs/Load1 8 3<- 0 0 FB8_7 (b) (b) +nRAMUWE 1 0 /\3 1 FB8_8 66 I/O O +ram/RASEL 3 0 0 2 FB8_9 67 I/O (b) +iobs/TS_FSM_FFd1 2 0 0 3 FB8_10 (b) (b) +ram/RS_FSM_FFd6 2 0 0 3 FB8_11 68 I/O (b) +nBERR_FSB 3 0 0 2 FB8_12 70 I/O O +ALE0S 1 0 0 4 FB8_13 (b) (b) +BACTr 1 0 0 4 FB8_14 71 I/O (b) +nBR_IOB 2 0 0 3 FB8_15 72 I/O O +iobs/Clear1 1 0 0 4 FB8_16 (b) (b) +nRESout 1 0 \/3 1 FB8_17 73 I/O (b) +iobs/Sent 13 8<- 0 0 FB8_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<13> 15: cnt/Er<0> 29: iobs/TS_FSM_FFd1 - 2: A_FSB<14> 16: cnt/Er<1> 30: iobs/TS_FSM_FFd2 - 3: A_FSB<16> 17: cnt/IS_FSM_FFd1 31: nADoutLE1 - 4: A_FSB<17> 18: cnt/IS_FSM_FFd2 32: nAS_FSB - 5: A_FSB<18> 19: cnt/LTimerTC 33: nBERR_FSB - 6: A_FSB<19> 20: cnt/TimerTC 34: nBR_IOB - 7: A_FSB<20> 21: cnt/nIPL2r 35: nLDS_FSB - 8: A_FSB<21> 22: cs/nOverlay 36: nUDS_FSB - 9: A_FSB<22> 23: fsb/ASrf 37: nWE_FSB - 10: A_FSB<23> 24: iobs/IOACTr 38: ram/RASEL - 11: IOBERR 25: iobs/IOL1 39: ram/RASEN - 12: IOL0 26: iobs/IORW1 40: ram/RASrf - 13: IOU0 27: iobs/IOU1 41: ram/RASrr - 14: IOWRREQ 28: iobs/Sent + 1: A_FSB<13> 15: RefUrg 28: nBERR_FSB + 2: A_FSB<14> 16: cnt/IS_FSM_FFd1 29: nBR_IOB + 3: A_FSB<16> 17: cnt/IS_FSM_FFd2 30: nLDS_FSB + 4: A_FSB<17> 18: cnt/nIPL2r 31: nUDS_FSB + 5: A_FSB<18> 19: cs/nOverlay 32: nWE_FSB + 6: A_FSB<19> 20: fsb/ASrf 33: ram/RASEL + 7: A_FSB<20> 21: iobs/IOACTr 34: ram/RASEN + 8: A_FSB<21> 22: iobs/IORW1 35: ram/RASrf + 9: A_FSB<22> 23: iobs/Sent 36: ram/RASrr + 10: A_FSB<23> 24: iobs/TS_FSM_FFd1 37: ram/RS_FSM_FFd4 + 11: BACTr 25: iobs/TS_FSM_FFd2 38: ram/RS_FSM_FFd6 + 12: IOBERR 26: nADoutLE1 39: ram/RS_FSM_FFd8 + 13: IORDREQ 27: nAS_FSB 40: ram/RefDone + 14: RefReq Signal 1 2 3 4 5 FB Name 0----+----0----+----0----+----0----+----0----+----0 Inputs -IOL0 XXXXXXXXXX.X.........XX.X..XXXXX..X.X............. 21 -RA<11> .....XX..............................X............ 3 -iobs/TS_FSM_FFd2 XXXXXXXXXX...........XXX...XXXXX....X............. 19 -nRAS ........XX...........X.........X......XXX......... 7 -nRAMLWE ..................................X.XX............ 3 -nRAMUWE ...................................XXX............ 3 -IOWRREQ XXXXXXXXXX...X.......XXX.X.XXXXX....X............. 21 -nBERR_FSB ..........X...........X....X...XX................. 5 -IOU0 XXXXXXXXXX..X........XX...XXXXXX...XX............. 21 -nBR_IOB ................XX..X............X................ 4 -cnt/IS_FSM_FFd1 ..............XXXXXXX............................. 7 -iobs/IORW1 XXXXXXXXXX............X..X.XXXXX....X............. 18 +RA<11> .....XX.........................X................. 3 +IORDREQ ......XXXX..X.....XXXXXXXXX....X.................. 15 +ram/RS_FSM_FFd7 ........XXX..XX....X......X......X..X.XX.......... 11 +nRAS ........XX........X.......X......XXX.............. 7 +nRAMLWE .............................X.XX................. 3 +iobs/Load1 XXXXXXXXXX.........X..XXXXX....X.................. 17 +nRAMUWE ..............................XXX................. 3 +ram/RASEL ........XX........XX......X......X...XX........... 8 +iobs/TS_FSM_FFd1 ....................X..XX......................... 3 +ram/RS_FSM_FFd6 ........XX........XX......X......X....X........... 7 +nBERR_FSB ...........X.......X..X...XX...................... 5 +ALE0S ........................X......................... 1 +BACTr ...................X......X....................... 2 +nBR_IOB ...............XXX..........X..................... 4 +iobs/Clear1 .......................XX......................... 2 +nRESout ...............XX................................. 2 +iobs/Sent XXXXXXXXXX........XX..XXXXX....X.................. 18 0----+----1----+----2----+----3----+----4----+----5 0 0 0 0 0 ******************************* Equations ******************************** ********** Mapped Logic ********** - -$OpTx$$OpTx$FX_DC$350_INV$537 <= (nAS_FSB AND NOT fsb/ASrf); - FDCPE_ALE0M: FDCPE port map (ALE0M,ALE0M_D,C16M,'0','0'); ALE0M_D <= ((iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND nAoutOE) @@ -772,6 +760,9 @@ ALE0M_D <= ((iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd3 AND FDCPE_ALE0S: FDCPE port map (ALE0S,iobs/TS_FSM_FFd2,FCLK,'0','0'); +FDCPE_BACTr: FDCPE port map (BACTr,BACTr_D,FCLK,'0','0'); +BACTr_D <= (nAS_FSB AND NOT fsb/ASrf); + C25MEN <= '1'; @@ -786,16 +777,6 @@ C25MEN <= '1'; - - - - - - - - - - @@ -828,6 +809,16 @@ IODONE_D <= ((NOT nRES.PIN) FTCPE_IOL0: FTCPE port map (IOL0,IOL0_T,FCLK,'0','0'); IOL0_T <= ((iobs/TS_FSM_FFd1) + OR (NOT iobs/IOL1 AND NOT IOL0 AND NOT nADoutLE1) + OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND + nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (nVPA_FSB_OBUF.EXP) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19) AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18) AND @@ -836,18 +827,6 @@ IOL0_T <= ((iobs/TS_FSM_FFd1) NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16) AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND cs/nOverlay AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (iobs/IORW1.EXP) - OR (NOT iobs/IOL1 AND NOT IOL0 AND NOT nADoutLE1) - OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND - nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20) AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (nLDS_FSB AND NOT IOL0 AND nADoutLE1) OR (NOT nLDS_FSB AND IOL0 AND nADoutLE1) @@ -865,17 +844,22 @@ IONPReady_D <= ((NOT iobs/Sent AND NOT IONPReady) NOT nWE_FSB AND NOT IONPReady)); FDCPE_IORDREQ: FDCPE port map (IORDREQ,IORDREQ_D,FCLK,'0','0'); -IORDREQ_D <= ((iobs/Clear1.EXP) +IORDREQ_D <= ((NOT iobs/IORW1 AND NOT iobs/TS_FSM_FFd2 AND NOT nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/TS_FSM_FFd2 AND + nADoutLE1) + OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND + nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND cs/nOverlay AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2) OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr) OR (iobs/TS_FSM_FFd2 AND NOT IORDREQ) OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT nWE_FSB AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT iobs/IORW1 AND NOT iobs/TS_FSM_FFd2 AND NOT nADoutLE1) - OR (iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2)); + OR (NOT nWE_FSB AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1)); FTCPE_IOU0: FTCPE port map (IOU0,IOU0_T,FCLK,'0','0'); IOU0_T <= ((iobs/TS_FSM_FFd1) - OR (nBERR_FSB_OBUF.EXP) + OR (nAoutOE_OBUF.EXP) OR (NOT iobs/IOU1 AND NOT IOU0 AND NOT nADoutLE1) OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND nADoutLE1) @@ -885,12 +869,16 @@ IOU0_T <= ((iobs/TS_FSM_FFd1) NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18) AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(17) AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16) AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND cs/nOverlay AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (nUDS_FSB AND NOT IOU0 AND nADoutLE1) OR (NOT nUDS_FSB AND IOU0 AND nADoutLE1) @@ -931,31 +919,11 @@ IOWRREQ_D <= ((A_FSB(22) AND A_FSB(21) AND NOT iobs/Sent AND NOT nWE_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1)); FDCPE_QoSReady: FDCPE port map (QoSReady,QoSReady_D,FCLK,'0','0'); -QoSReady_D <= ((ram/RS_FSM_FFd4.EXP) - OR (A_FSB(22) AND A_FSB(21) AND - NOT $OpTx$$OpTx$FX_DC$350_INV$537) - OR (A_FSB(22) AND A_FSB(19) AND - NOT $OpTx$$OpTx$FX_DC$350_INV$537) - OR (A_FSB(22) AND A_FSB(18) AND - NOT $OpTx$$OpTx$FX_DC$350_INV$537) - OR (A_FSB(22) AND A_FSB(15) AND - NOT $OpTx$$OpTx$FX_DC$350_INV$537) - OR (A_FSB(22) AND A_FSB(12) AND - NOT $OpTx$$OpTx$FX_DC$350_INV$537) - OR (A_FSB(22) AND NOT A_FSB(17) AND - NOT $OpTx$$OpTx$FX_DC$350_INV$537) - OR (A_FSB(22) AND NOT A_FSB(16) AND - NOT $OpTx$$OpTx$FX_DC$350_INV$537) - OR (A_FSB(22) AND NOT A_FSB(13) AND - NOT $OpTx$$OpTx$FX_DC$350_INV$537) - OR (A_FSB(22) AND NOT A_FSB(14) AND - NOT $OpTx$$OpTx$FX_DC$350_INV$537) - OR (A_FSB(23) AND NOT $OpTx$$OpTx$FX_DC$350_INV$537) - OR (NOT cnt/LTimer(0) AND NOT cnt/LTimer(1)) - OR (NOT nWE_FSB AND NOT $OpTx$$OpTx$FX_DC$350_INV$537) - OR (QoSReady AND NOT $OpTx$$OpTx$FX_DC$350_INV$537) - OR (A_FSB(22) AND A_FSB(20) AND - NOT $OpTx$$OpTx$FX_DC$350_INV$537)); +QoSReady_D <= ((QoSReady AND fsb/ASrf) + OR (NOT cnt/Wait(0) AND NOT cnt/Wait(1) AND NOT cnt/Wait(2) AND + NOT cnt/Wait(3) AND cnt/Wait(4)) + OR (NOT cnt/QS(0) AND NOT cnt/QS(1)) + OR (QoSReady AND NOT nAS_FSB)); RA(0) <= ((ram/RASEL AND A_FSB(1)) @@ -1010,16 +978,16 @@ RAMReady_D <= ((RefUrg AND NOT ram/RefDone AND nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd6 AND NOT fsb/ASrf) OR (A_FSB(23) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd5 AND - NOT ram/RS_FSM_FFd6 AND NOT ram/BACTr) + NOT ram/RS_FSM_FFd6 AND NOT BACTr) OR (A_FSB(23) AND RefReq AND NOT ram/RefDone AND NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd5 AND - NOT ram/RS_FSM_FFd6 AND NOT ram/BACTr AND fsb/ASrf) + NOT ram/RS_FSM_FFd6 AND NOT BACTr AND fsb/ASrf) OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd5 AND - NOT ram/RS_FSM_FFd6 AND NOT ram/BACTr) + NOT ram/RS_FSM_FFd6 AND NOT BACTr) OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd5 AND - NOT ram/RS_FSM_FFd6 AND NOT ram/BACTr AND fsb/ASrf) + NOT ram/RS_FSM_FFd6 AND NOT BACTr AND fsb/ASrf) OR (NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd6) OR (A_FSB(23) AND RefUrg AND NOT ram/RefDone AND @@ -1045,241 +1013,158 @@ FDCPE_cnt/Er0: FDCPE port map (cnt/Er(0),E,FCLK,'0','0'); FDCPE_cnt/Er1: FDCPE port map (cnt/Er(1),cnt/Er(0),FCLK,'0','0'); FTCPE_cnt/IS_FSM_FFd1: FTCPE port map (cnt/IS_FSM_FFd1,cnt/IS_FSM_FFd1_T,FCLK,'0','0'); -cnt/IS_FSM_FFd1_T <= (cnt/TimerTC AND NOT cnt/IS_FSM_FFd1 AND cnt/IS_FSM_FFd2 AND - NOT cnt/Er(0) AND cnt/nIPL2r AND cnt/Er(1) AND cnt/LTimerTC); +cnt/IS_FSM_FFd1_T <= (cnt/TimerTC AND cnt/LTimerTC AND NOT cnt/IS_FSM_FFd1 AND + cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/nIPL2r AND cnt/Er(1)); FTCPE_cnt/IS_FSM_FFd2: FTCPE port map (cnt/IS_FSM_FFd2,cnt/IS_FSM_FFd2_T,FCLK,'0','0'); -cnt/IS_FSM_FFd2_T <= ((cnt/TimerTC AND cnt/IS_FSM_FFd1 AND cnt/IS_FSM_FFd2 AND - NOT cnt/Er(0) AND cnt/Er(1) AND cnt/LTimerTC) - OR (cnt/TimerTC AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND - NOT cnt/Er(0) AND cnt/Er(1) AND cnt/LTimerTC)); +cnt/IS_FSM_FFd2_T <= ((cnt/TimerTC AND cnt/LTimerTC AND cnt/IS_FSM_FFd1 AND + cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1)) + OR (cnt/TimerTC AND cnt/LTimerTC AND NOT cnt/IS_FSM_FFd1 AND + NOT cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); -FDCPE_cnt/LTimer0: FDCPE port map (cnt/LTimer(0),cnt/LTimer_D(0),FCLK,'0','0'); -cnt/LTimer_D(0) <= ((nAoutOE_OBUF.EXP) - OR (NOT cnt/LTimer(0) AND cnt/LTimer(10) AND - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT cnt/LTimer(0) AND cnt/LTimer(8) AND - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT cnt/LTimer(0) AND cnt/LTimer(9) AND - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT cnt/LTimer(0) AND cnt/LTimer(11) AND - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND - NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND - A_FSB(8) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (cnt/LTimer(1).EXP) - OR (NOT cnt/LTimer(0) AND cnt/LTimer(3) AND - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT cnt/LTimer(0) AND cnt/LTimer(4) AND - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT cnt/LTimer(0) AND cnt/LTimer(5) AND - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT cnt/LTimer(0) AND cnt/LTimer(6) AND - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT cnt/LTimer(0) AND cnt/LTimer(7) AND - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (cnt/LTimer(0) AND - NOT cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT cnt/LTimer(0) AND cnt/LTimer(1) AND - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT cnt/LTimer(0) AND cnt/LTimer(2) AND - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT cnt/LTimer(0) AND NOT cnt/IS_FSM_FFd1 AND - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT cnt/LTimer(0) AND cnt/IS_FSM_FFd2 AND - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2)); +FTCPE_cnt/LTimer0: FTCPE port map (cnt/LTimer(0),'1',FCLK,'0','0',cnt/LTimer_CE(0)); +cnt/LTimer_CE(0) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); -FDCPE_cnt/LTimer1: FDCPE port map (cnt/LTimer(1),cnt/LTimer_D(1),FCLK,'0','0'); -cnt/LTimer_D(1) <= (($OpTx$$OpTx$FX_DC$350_INV$537.EXP) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND - NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND - A_FSB(9) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND - NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND - A_FSB(8) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND - NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(8) AND - fsb/ASrf AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND - NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND - A_FSB(9) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND - NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND - A_FSB(8) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT cnt/LTimer(0) AND NOT cnt/LTimer(1)) - OR (NOT cnt/LTimer(1) AND - NOT cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (cnt/LTimer(0) AND cnt/LTimer(1) AND - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2)); +FTCPE_cnt/LTimer1: FTCPE port map (cnt/LTimer(1),cnt/LTimer(0),FCLK,'0','0',cnt/LTimer_CE(1)); +cnt/LTimer_CE(1) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); -FTCPE_cnt/LTimer2: FTCPE port map (cnt/LTimer(2),cnt/LTimer_T(2),FCLK,'0','0'); -cnt/LTimer_T(2) <= ((cnt/LTimer(2) AND cnt/IS_FSM_FFd1 AND - NOT cnt/IS_FSM_FFd2) - OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/TimerTC AND - NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) - OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/TimerTC AND - cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); +FTCPE_cnt/LTimer2: FTCPE port map (cnt/LTimer(2),cnt/LTimer_T(2),FCLK,'0','0',cnt/LTimer_CE(2)); +cnt/LTimer_T(2) <= (cnt/LTimer(0) AND cnt/LTimer(1)); +cnt/LTimer_CE(2) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); -FTCPE_cnt/LTimer3: FTCPE port map (cnt/LTimer(3),cnt/LTimer_T(3),FCLK,'0','0'); -cnt/LTimer_T(3) <= ((cnt/LTimer(3) AND cnt/IS_FSM_FFd1 AND - NOT cnt/IS_FSM_FFd2) - OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND - cnt/TimerTC AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) - OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND - cnt/TimerTC AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); +FTCPE_cnt/LTimer3: FTCPE port map (cnt/LTimer(3),cnt/LTimer_T(3),FCLK,'0','0',cnt/LTimer_CE(3)); +cnt/LTimer_T(3) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2)); +cnt/LTimer_CE(3) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); -FTCPE_cnt/LTimer4: FTCPE port map (cnt/LTimer(4),cnt/LTimer_T(4),FCLK,'0','0'); -cnt/LTimer_T(4) <= ((cnt/LTimer(4) AND cnt/IS_FSM_FFd1 AND - NOT cnt/IS_FSM_FFd2) - OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND - cnt/LTimer(3) AND cnt/TimerTC AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND - cnt/Er(1)) - OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND - cnt/LTimer(3) AND cnt/TimerTC AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND - cnt/Er(1))); +FTCPE_cnt/LTimer4: FTCPE port map (cnt/LTimer(4),cnt/LTimer_T(4),FCLK,'0','0',cnt/LTimer_CE(4)); +cnt/LTimer_T(4) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND + cnt/LTimer(3)); +cnt/LTimer_CE(4) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); -FTCPE_cnt/LTimer5: FTCPE port map (cnt/LTimer(5),cnt/LTimer_T(5),FCLK,'0','0'); -cnt/LTimer_T(5) <= ((cnt/LTimer(5) AND cnt/IS_FSM_FFd1 AND - NOT cnt/IS_FSM_FFd2) - OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND - cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/TimerTC AND NOT cnt/IS_FSM_FFd1 AND - NOT cnt/Er(0) AND cnt/Er(1)) - OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND - cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/TimerTC AND cnt/IS_FSM_FFd2 AND - NOT cnt/Er(0) AND cnt/Er(1))); +FTCPE_cnt/LTimer5: FTCPE port map (cnt/LTimer(5),cnt/LTimer_T(5),FCLK,'0','0',cnt/LTimer_CE(5)); +cnt/LTimer_T(5) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND + cnt/LTimer(3) AND cnt/LTimer(4)); +cnt/LTimer_CE(5) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); -FTCPE_cnt/LTimer6: FTCPE port map (cnt/LTimer(6),cnt/LTimer_T(6),FCLK,'0','0'); -cnt/LTimer_T(6) <= ((cnt/LTimer(6) AND cnt/IS_FSM_FFd1 AND - NOT cnt/IS_FSM_FFd2) - OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND - cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/TimerTC AND - NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) - OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND - cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/TimerTC AND - cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); +FTCPE_cnt/LTimer6: FTCPE port map (cnt/LTimer(6),cnt/LTimer_T(6),FCLK,'0','0',cnt/LTimer_CE(6)); +cnt/LTimer_T(6) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND + cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5)); +cnt/LTimer_CE(6) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); -FTCPE_cnt/LTimer7: FTCPE port map (cnt/LTimer(7),cnt/LTimer_T(7),FCLK,'0','0'); -cnt/LTimer_T(7) <= ((cnt/LTimer(7) AND cnt/IS_FSM_FFd1 AND - NOT cnt/IS_FSM_FFd2) - OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND +FTCPE_cnt/LTimer7: FTCPE port map (cnt/LTimer(7),cnt/LTimer_T(7),FCLK,'0','0',cnt/LTimer_CE(7)); +cnt/LTimer_T(7) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND + cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6)); +cnt/LTimer_CE(7) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); + +FTCPE_cnt/LTimer8: FTCPE port map (cnt/LTimer(8),cnt/LTimer_T(8),FCLK,'0','0',cnt/LTimer_CE(8)); +cnt/LTimer_T(8) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND - cnt/TimerTC AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) - OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND - cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND - cnt/TimerTC AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); + cnt/LTimer(7)); +cnt/LTimer_CE(8) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); -FTCPE_cnt/LTimer8: FTCPE port map (cnt/LTimer(8),cnt/LTimer_T(8),FCLK,'0','0'); -cnt/LTimer_T(8) <= ((cnt/LTimer(8) AND cnt/IS_FSM_FFd1 AND - NOT cnt/IS_FSM_FFd2) - OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND +FTCPE_cnt/LTimer9: FTCPE port map (cnt/LTimer(9),cnt/LTimer_T(9),FCLK,'0','0',cnt/LTimer_CE(9)); +cnt/LTimer_T(9) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND - cnt/LTimer(7) AND cnt/TimerTC AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND - cnt/Er(1)) - OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND - cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND - cnt/LTimer(7) AND cnt/TimerTC AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND - cnt/Er(1))); + cnt/LTimer(7) AND cnt/LTimer(8)); +cnt/LTimer_CE(9) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); -FTCPE_cnt/LTimer9: FTCPE port map (cnt/LTimer(9),cnt/LTimer_T(9),FCLK,'0','0'); -cnt/LTimer_T(9) <= ((cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND +FTCPE_cnt/LTimer10: FTCPE port map (cnt/LTimer(10),cnt/LTimer_T(10),FCLK,'0','0',cnt/LTimer_CE(10)); +cnt/LTimer_T(10) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND - cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/TimerTC AND cnt/IS_FSM_FFd2 AND - NOT cnt/Er(0) AND cnt/Er(1)) - OR (cnt/LTimer(9) AND cnt/IS_FSM_FFd1 AND - NOT cnt/IS_FSM_FFd2) - OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND - cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND - cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/TimerTC AND NOT cnt/IS_FSM_FFd1 AND - NOT cnt/Er(0) AND cnt/Er(1))); + cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9)); +cnt/LTimer_CE(10) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); -FTCPE_cnt/LTimer10: FTCPE port map (cnt/LTimer(10),cnt/LTimer_T(10),FCLK,'0','0'); -cnt/LTimer_T(10) <= ((cnt/LTimer(10) AND cnt/IS_FSM_FFd1 AND - NOT cnt/IS_FSM_FFd2) - OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND - cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND - cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9) AND cnt/TimerTC AND - NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) - OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND - cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND - cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9) AND cnt/TimerTC AND - cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); - -FTCPE_cnt/LTimer11: FTCPE port map (cnt/LTimer(11),cnt/LTimer_T(11),FCLK,'0','0'); -cnt/LTimer_T(11) <= ((cnt/LTimer(11) AND cnt/IS_FSM_FFd1 AND - NOT cnt/IS_FSM_FFd2) - OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(10) AND +FTCPE_cnt/LTimer11: FTCPE port map (cnt/LTimer(11),cnt/LTimer_T(11),FCLK,'0','0',cnt/LTimer_CE(11)); +cnt/LTimer_T(11) <= (cnt/LTimer(0) AND cnt/LTimer(10) AND cnt/LTimer(1) AND cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND - cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9) AND - cnt/TimerTC AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) - OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(10) AND - cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND - cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9) AND - cnt/TimerTC AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); + cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9)); +cnt/LTimer_CE(11) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); -FDCPE_cnt/LTimerTC: FDCPE port map (cnt/LTimerTC,cnt/LTimerTC_D,FCLK,'0','0'); -cnt/LTimerTC_D <= (NOT cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(10) AND +FDCPE_cnt/LTimerTC: FDCPE port map (cnt/LTimerTC,cnt/LTimerTC_D,FCLK,'0','0',cnt/LTimerTC_CE); +cnt/LTimerTC_D <= (NOT cnt/LTimer(0) AND cnt/LTimer(10) AND cnt/LTimer(1) AND cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9) AND cnt/LTimer(11)); +cnt/LTimerTC_CE <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); +FDCPE_cnt/QS0: FDCPE port map (cnt/QS(0),cnt/QS_D(0),FCLK,'0','0'); +cnt/QS_D(0) <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND + A_FSB(13) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND + NOT nWE_FSB AND NOT nAS_FSB AND A_FSB(8)) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND + A_FSB(13) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND + NOT nWE_FSB AND NOT nAS_FSB AND A_FSB(9)) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND + A_FSB(13) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND + NOT nWE_FSB AND NOT nAS_FSB AND A_FSB(8)) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND + A_FSB(13) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND + NOT nWE_FSB AND A_FSB(9) AND fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND + A_FSB(13) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND + NOT nWE_FSB AND A_FSB(8) AND fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND + A_FSB(13) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND + NOT nWE_FSB AND A_FSB(9) AND fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND + A_FSB(13) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND + NOT nWE_FSB AND A_FSB(8) AND fsb/ASrf) + OR (cnt/QS(0) AND NOT cnt/TimerTC) + OR (cnt/QS(0) AND cnt/Er(0)) + OR (cnt/QS(0) AND NOT cnt/Er(1)) + OR (NOT cnt/QS(0) AND cnt/QS(1) AND cnt/TimerTC AND NOT cnt/Er(0) AND + cnt/Er(1)) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND + A_FSB(13) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND + NOT nWE_FSB AND NOT nAS_FSB AND A_FSB(9))); -cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +FDCPE_cnt/QS1: FDCPE port map (cnt/QS(1),cnt/QS_D(1),FCLK,'0','0'); +cnt/QS_D(1) <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND - NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(9) AND - fsb/ASrf) + A_FSB(13) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND + NOT nWE_FSB AND A_FSB(9) AND fsb/ASrf) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND - NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(9) AND - fsb/ASrf) + A_FSB(13) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND + NOT nWE_FSB AND A_FSB(8) AND fsb/ASrf) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND - NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(8) AND - fsb/ASrf) + A_FSB(13) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND + NOT nWE_FSB AND A_FSB(9) AND fsb/ASrf) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND - NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(8) AND - fsb/ASrf) + A_FSB(13) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND + NOT nWE_FSB AND A_FSB(8) AND fsb/ASrf) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND - NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND - A_FSB(9)) - OR (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)) - OR (NOT cnt/LTimer(0) AND NOT cnt/LTimer(1) AND NOT cnt/LTimer(10) AND - NOT cnt/LTimer(2) AND NOT cnt/LTimer(3) AND NOT cnt/LTimer(4) AND NOT cnt/LTimer(5) AND - NOT cnt/LTimer(6) AND NOT cnt/LTimer(7) AND NOT cnt/LTimer(8) AND NOT cnt/LTimer(9) AND - NOT cnt/LTimer(11) AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2) + A_FSB(13) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND + NOT nWE_FSB AND NOT nAS_FSB AND A_FSB(9)) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND - NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND - A_FSB(9)) + A_FSB(13) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND + NOT nWE_FSB AND NOT nAS_FSB AND A_FSB(8)) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND - NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND - A_FSB(8)) + A_FSB(13) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND + NOT nWE_FSB AND NOT nAS_FSB AND A_FSB(9)) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND - NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND - A_FSB(8))); + A_FSB(13) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND + NOT nWE_FSB AND NOT nAS_FSB AND A_FSB(8)) + OR (NOT cnt/QS(0) AND NOT cnt/QS(1)) + OR (NOT cnt/QS(1) AND NOT cnt/TimerTC) + OR (NOT cnt/QS(1) AND cnt/Er(0)) + OR (NOT cnt/QS(1) AND NOT cnt/Er(1)) + OR (cnt/QS(0) AND cnt/QS(1) AND cnt/TimerTC AND NOT cnt/Er(0) AND + cnt/Er(1))); FTCPE_cnt/Timer0: FTCPE port map (cnt/Timer(0),cnt/Timer_T(0),FCLK,'0','0',cnt/Timer_CE(0)); cnt/Timer_T(0) <= (NOT cnt/Timer(0) AND cnt/TimerTC AND NOT cnt/Er(0) AND @@ -1315,32 +1200,41 @@ cnt/TimerTC_D <= (cnt/Timer(0) AND NOT cnt/Timer(1) AND NOT cnt/Timer(2) AND cnt/Timer(3)); cnt/TimerTC_CE <= (NOT cnt/Er(0) AND cnt/Er(1)); -FTCPE_cnt/WS0: FTCPE port map (cnt/WS(0),cnt/WS_T(0),FCLK,'0','0'); -cnt/WS_T(0) <= (nAS_FSB AND NOT cnt/WS(0) AND NOT fsb/ASrf); +FTCPE_cnt/Wait0: FTCPE port map (cnt/Wait(0),cnt/Wait_T(0),FCLK,'0','0'); +cnt/Wait_T(0) <= (nAS_FSB AND NOT cnt/Wait(0) AND NOT fsb/ASrf); -FDCPE_cnt/WS1: FDCPE port map (cnt/WS(1),cnt/WS_D(1),FCLK,'0','0'); -cnt/WS_D(1) <= ((nAS_FSB AND NOT fsb/ASrf) - OR (cnt/WS(0) AND cnt/WS(1)) - OR (NOT cnt/WS(0) AND NOT cnt/WS(1))); +FDCPE_cnt/Wait1: FDCPE port map (cnt/Wait(1),cnt/Wait_D(1),FCLK,'0','0'); +cnt/Wait_D(1) <= ((nAS_FSB AND NOT fsb/ASrf) + OR (cnt/Wait(0) AND cnt/Wait(1)) + OR (NOT cnt/Wait(0) AND NOT cnt/Wait(1))); -FTCPE_cnt/WS2: FTCPE port map (cnt/WS(2),cnt/WS_T(2),FCLK,'0','0'); -cnt/WS_T(2) <= ((nAS_FSB AND cnt/WS(2) AND NOT fsb/ASrf) - OR (cnt/WS(0) AND cnt/WS(1) AND fsb/ASrf) - OR (NOT nAS_FSB AND cnt/WS(0) AND cnt/WS(1))); +FTCPE_cnt/Wait2: FTCPE port map (cnt/Wait(2),cnt/Wait_T(2),FCLK,'0','0'); +cnt/Wait_T(2) <= ((nAS_FSB AND cnt/Wait(2) AND NOT fsb/ASrf) + OR (NOT nAS_FSB AND cnt/Wait(0) AND cnt/Wait(1)) + OR (cnt/Wait(0) AND cnt/Wait(1) AND fsb/ASrf)); -FTCPE_cnt/WS3: FTCPE port map (cnt/WS(3),cnt/WS_T(3),FCLK,'0','0'); -cnt/WS_T(3) <= ((nAS_FSB AND cnt/WS(3) AND NOT fsb/ASrf) - OR (NOT nAS_FSB AND cnt/WS(0) AND cnt/WS(1) AND cnt/WS(2)) - OR (cnt/WS(0) AND cnt/WS(1) AND cnt/WS(2) AND fsb/ASrf)); +FTCPE_cnt/Wait3: FTCPE port map (cnt/Wait(3),cnt/Wait_T(3),FCLK,'0','0'); +cnt/Wait_T(3) <= ((nAS_FSB AND cnt/Wait(3) AND NOT fsb/ASrf) + OR (NOT nAS_FSB AND cnt/Wait(0) AND cnt/Wait(1) AND + cnt/Wait(2)) + OR (cnt/Wait(0) AND cnt/Wait(1) AND cnt/Wait(2) AND + fsb/ASrf)); + +FTCPE_cnt/Wait4: FTCPE port map (cnt/Wait(4),cnt/Wait_T(4),FCLK,'0','0'); +cnt/Wait_T(4) <= ((nAS_FSB AND cnt/Wait(4) AND NOT fsb/ASrf) + OR (NOT nAS_FSB AND cnt/Wait(0) AND cnt/Wait(1) AND + cnt/Wait(2) AND cnt/Wait(3)) + OR (cnt/Wait(0) AND cnt/Wait(1) AND cnt/Wait(2) AND + cnt/Wait(3) AND fsb/ASrf)); FDCPE_cnt/nIPL2r: FDCPE port map (cnt/nIPL2r,nIPL2,FCLK,'0','0'); FTCPE_cs/nOverlay: FTCPE port map (cs/nOverlay,cs/nOverlay_T,FCLK,'0','0'); -cs/nOverlay_T <= ((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND +cs/nOverlay_T <= ((NOT nRES.PIN AND cs/nOverlay AND nAS_FSB AND NOT fsb/ASrf) + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND NOT cs/nOverlay AND NOT nAS_FSB) OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND - NOT cs/nOverlay AND fsb/ASrf) - OR (NOT nRES.PIN AND cs/nOverlay AND nAS_FSB AND NOT fsb/ASrf)); + NOT cs/nOverlay AND fsb/ASrf)); FDCPE_fsb/ASrf: FDCPE port map (fsb/ASrf,NOT nAS_FSB,NOT FCLK,'0','0'); @@ -1439,37 +1333,34 @@ FDCPE_iobs/IODONEr: FDCPE port map (iobs/IODONEr,IODONE,FCLK,'0','0'); FDCPE_iobs/IOL1: FDCPE port map (iobs/IOL1,NOT nLDS_FSB,FCLK,'0','0',iobs/Load1); FTCPE_iobs/IORW1: FTCPE port map (iobs/IORW1,iobs/IORW1_T,FCLK,'0','0'); -iobs/IORW1_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND - NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd1 AND - fsb/ASrf AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND - NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd2 AND - fsb/ASrf AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND - NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd1 AND - fsb/ASrf AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND - NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd2 AND - fsb/ASrf AND nADoutLE1) +iobs/IORW1_T <= ((cs/nOverlay.EXP) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND iobs/TS_FSM_FFd1 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND + NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd2 AND + fsb/ASrf AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND + NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND + iobs/TS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND + NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd1 AND + fsb/ASrf AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND + NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd2 AND + fsb/ASrf AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND iobs/TS_FSM_FFd2 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND - iobs/TS_FSM_FFd1 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND - NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND iobs/TS_FSM_FFd2 AND nADoutLE1)); FDCPE_iobs/IOU1: FDCPE port map (iobs/IOU1,NOT nUDS_FSB,FCLK,'0','0',iobs/Load1); @@ -1477,11 +1368,11 @@ FDCPE_iobs/IOU1: FDCPE port map (iobs/IOU1,NOT nUDS_FSB,FCLK,'0','0',iobs/Load1) FDCPE_iobs/Load1: FDCPE port map (iobs/Load1,iobs/Load1_D,FCLK,'0','0'); iobs/Load1_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND - NOT iobs/Sent AND NOT nWE_FSB AND iobs/TS_FSM_FFd1 AND fsb/ASrf AND + NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd1 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND - NOT iobs/Sent AND NOT nWE_FSB AND iobs/TS_FSM_FFd2 AND fsb/ASrf AND + NOT iobs/Sent AND NOT nWE_FSB AND iobs/TS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND @@ -1489,11 +1380,11 @@ iobs/Load1_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AN nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND - NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd1 AND + NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd2 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND - NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd2 AND + NOT iobs/Sent AND NOT nWE_FSB AND iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND @@ -1509,26 +1400,26 @@ iobs/Load1_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AN nADoutLE1)); FTCPE_iobs/Sent: FTCPE port map (iobs/Sent,iobs/Sent_T,FCLK,'0','0'); -iobs/Sent_T <= ((A_FSB(22) AND A_FSB(21) AND NOT iobs/Sent AND +iobs/Sent_T <= ((A_FSB(22) AND NOT iobs/Sent AND NOT cs/nOverlay AND + NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND + NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND + NOT iobs/Sent AND NOT nWE_FSB AND fsb/ASrf AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND + NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND + NOT iobs/Sent AND NOT nWE_FSB AND fsb/ASrf AND nADoutLE1) + OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/Sent AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Sent AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) OR (A_FSB(22) AND NOT iobs/Sent AND NOT cs/nOverlay AND NOT nAS_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (A_FSB(22) AND NOT iobs/Sent AND NOT cs/nOverlay AND - NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND - NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND - NOT iobs/Sent AND NOT nWE_FSB AND fsb/ASrf AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND - NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND - NOT iobs/Sent AND NOT nWE_FSB AND fsb/ASrf AND nADoutLE1) OR (iobs/Sent AND nAS_FSB AND NOT fsb/ASrf) OR (A_FSB(23) AND NOT iobs/Sent AND NOT nAS_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) @@ -1544,20 +1435,29 @@ iobs/TS_FSM_FFd1_D <= ((iobs/TS_FSM_FFd2) OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr)); FDCPE_iobs/TS_FSM_FFd2: FDCPE port map (iobs/TS_FSM_FFd2,iobs/TS_FSM_FFd2_D,FCLK,'0','0'); -iobs/TS_FSM_FFd2_D <= ((nRAS_OBUF.EXP) - OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND - nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND +iobs/TS_FSM_FFd2_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20) AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(17) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16) AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB AND + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(13) AND NOT A_FSB(14) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND cs/nOverlay AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2) OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr) - OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1)); + OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND + nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1)); nADoutLE0 <= (NOT ALE0M AND NOT ALE0S); @@ -1582,8 +1482,8 @@ nAoutOE_D <= ((NOT nBR_IOB AND cnt/IS_FSM_FFd1 AND cnt/IS_FSM_FFd2) OR (cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND NOT nAoutOE)); FDCPE_nBERR_FSB: FDCPE port map (nBERR_FSB,nBERR_FSB_D,FCLK,'0','0'); -nBERR_FSB_D <= ((NOT IOBERR AND nBERR_FSB) - OR (NOT iobs/Sent AND nBERR_FSB) +nBERR_FSB_D <= ((NOT iobs/Sent AND nBERR_FSB) + OR (NOT IOBERR AND nBERR_FSB) OR (nAS_FSB AND NOT fsb/ASrf)); FTCPE_nBR_IOB: FTCPE port map (nBR_IOB,nBR_IOB_T,FCLK,'0','0'); @@ -1600,7 +1500,7 @@ nCAS_D <= ((ram/RS_FSM_FFd1) OR (ram/RefDone AND ram/RS_FSM_FFd4) OR (ram/RefDone AND ram/RS_FSM_FFd7) OR (NOT RefUrg AND NOT RefReq AND ram/RS_FSM_FFd8) - OR (NOT RefUrg AND ram/RS_FSM_FFd8 AND ram/BACTr) + OR (NOT RefUrg AND ram/RS_FSM_FFd8 AND BACTr) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT RefUrg AND ram/RS_FSM_FFd8) OR (NOT RefUrg AND nAS_FSB AND ram/RS_FSM_FFd8 AND NOT fsb/ASrf) @@ -1612,17 +1512,17 @@ nCAS_D <= ((ram/RS_FSM_FFd1) OR (ram/DTACKr AND ram/RS_FSM_FFd5)); FDCPE_nDTACK_FSB: FDCPE port map (nDTACK_FSB,nDTACK_FSB_D,FCLK,'0','0'); -nDTACK_FSB_D <= ((NOT A_FSB(22) AND NOT IONPReady AND NOT RAMReady) +nDTACK_FSB_D <= ((NOT QoSReady) + OR (NOT A_FSB(22) AND NOT IONPReady AND NOT RAMReady) OR (A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16)) OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND - A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND NOT nWE_FSB AND NOT IONPReady AND - NOT nADoutLE1) + A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND NOT iobs/Sent AND NOT nWE_FSB AND + NOT IONPReady AND NOT nADoutLE1) OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND - A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND NOT nWE_FSB AND NOT IONPReady AND - NOT nADoutLE1) + A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND NOT iobs/Sent AND NOT nWE_FSB AND + NOT IONPReady AND NOT nADoutLE1) OR (A_FSB(23) AND NOT IONPReady) - OR (NOT IONPReady AND NOT QoSReady) OR (nAS_FSB AND NOT fsb/ASrf) OR (A_FSB(22) AND A_FSB(21) AND NOT IONPReady) OR (A_FSB(22) AND A_FSB(20) AND NOT IONPReady)); @@ -1631,9 +1531,11 @@ FDCPE_nDinLE: FDCPE port map (nDinLE,nDinLE_D,NOT C16M,'0','0'); nDinLE_D <= (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4); -nDinOE <= NOT (((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB) - OR (A_FSB(22) AND A_FSB(21) AND nWE_FSB AND NOT nAS_FSB) - OR (A_FSB(22) AND A_FSB(20) AND nWE_FSB AND NOT nAS_FSB))); +nDinOE <= NOT (((A_FSB(23) AND cs/nOverlay AND nWE_FSB AND NOT nAS_FSB) + OR (A_FSB(22) AND A_FSB(21) AND cs/nOverlay AND nWE_FSB AND + NOT nAS_FSB) + OR (A_FSB(22) AND A_FSB(20) AND cs/nOverlay AND nWE_FSB AND + NOT nAS_FSB))); nDoutOE <= NOT (((iobm/DoutOE AND NOT nAoutOE) @@ -1653,7 +1555,7 @@ nLDS_IOB_OE <= NOT nAoutOE; FDCPE_nOE: FDCPE port map (nOE,nOE_D,FCLK,'0','0'); nOE_D <= ((NOT nWE_FSB) OR (nAS_FSB AND NOT fsb/ASrf) - OR (ram/DTACKr AND ram/BACTr)); + OR (ram/DTACKr AND BACTr)); nRAMLWE <= NOT ((NOT nWE_FSB AND NOT nLDS_FSB AND ram/RASEL)); @@ -1676,8 +1578,8 @@ FDCPE_nRESout: FDCPE port map (nRESout,nRESout_D,FCLK,'0','0'); nRESout_D <= (cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2); -nROMCS <= NOT (((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20)) - OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND NOT cs/nOverlay))); +nROMCS <= NOT (((NOT cs/nOverlay) + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20)))); nROMWE <= NOT ((NOT nWE_FSB AND NOT nAS_FSB)); @@ -1702,14 +1604,11 @@ nVMA_IOB_OE <= NOT nAoutOE; FDCPE_nVPA_FSB: FDCPE port map (nVPA_FSB,nVPA_FSB_D,FCLK,'0',nAS_FSB); nVPA_FSB_D <= ((A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND IONPReady AND - NOT nAS_FSB) + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND QoSReady AND + IONPReady AND NOT nAS_FSB) OR (A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND IONPReady AND - fsb/ASrf)); - -FDCPE_ram/BACTr: FDCPE port map (ram/BACTr,ram/BACTr_D,FCLK,'0','0'); -ram/BACTr_D <= (nAS_FSB AND NOT fsb/ASrf); + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND QoSReady AND + IONPReady AND fsb/ASrf)); FDCPE_ram/DTACKr: FDCPE port map (ram/DTACKr,NOT nDTACK_FSB,FCLK,'0','0'); @@ -1724,13 +1623,13 @@ FDCPE_ram/RASEN: FDCPE port map (ram/RASEN,ram/RASEN_D,FCLK,'0','0'); ram/RASEN_D <= ((RefUrg AND NOT ram/RefDone AND nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT fsb/ASrf) OR (A_FSB(23) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT BACTr) OR (A_FSB(23) AND RefReq AND NOT ram/RefDone AND - NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) + NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT BACTr AND fsb/ASrf) OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT BACTr) OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND - NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) + NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT BACTr AND fsb/ASrf) OR (NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1) OR (A_FSB(23) AND RefUrg AND NOT ram/RefDone AND @@ -1779,35 +1678,35 @@ ram/RS_FSM_FFd6_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT n ram/RS_FSM_FFd8 AND ram/RASEN AND fsb/ASrf)); FDCPE_ram/RS_FSM_FFd7: FDCPE port map (ram/RS_FSM_FFd7,ram/RS_FSM_FFd7_D,FCLK,'0','0'); -ram/RS_FSM_FFd7_D <= ((A_FSB(23) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND - ram/RS_FSM_FFd8 AND NOT ram/BACTr) +ram/RS_FSM_FFd7_D <= ((RefUrg AND NOT ram/RefDone AND nAS_FSB AND + ram/RS_FSM_FFd8 AND NOT fsb/ASrf) + OR (A_FSB(23) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND + ram/RS_FSM_FFd8 AND NOT BACTr) OR (A_FSB(23) AND RefReq AND NOT ram/RefDone AND - ram/RS_FSM_FFd8 AND NOT ram/BACTr AND fsb/ASrf) + ram/RS_FSM_FFd8 AND NOT BACTr AND fsb/ASrf) OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND - ram/RS_FSM_FFd8 AND NOT ram/BACTr) + ram/RS_FSM_FFd8 AND NOT BACTr) OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND - ram/RS_FSM_FFd8 AND NOT ram/BACTr AND fsb/ASrf) + ram/RS_FSM_FFd8 AND NOT BACTr AND fsb/ASrf) OR (RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd4) OR (A_FSB(23) AND RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd8) OR (A_FSB(22) AND RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd8) OR (RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd8 AND - NOT ram/RASEN) - OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND - ram/RS_FSM_FFd8 AND NOT fsb/ASrf)); + NOT ram/RASEN)); FDCPE_ram/RS_FSM_FFd8: FDCPE port map (ram/RS_FSM_FFd8,ram/RS_FSM_FFd8_D,FCLK,'0','0'); ram/RS_FSM_FFd8_D <= ((A_FSB(23) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT BACTr) OR (A_FSB(23) AND RefReq AND NOT ram/RefDone AND - NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) + NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT BACTr AND fsb/ASrf) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT ram/RS_FSM_FFd4 AND ram/RASEN AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf) OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT BACTr) OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND - NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) + NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT BACTr AND fsb/ASrf) OR (RefUrg AND NOT ram/RefDone AND NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd1) OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND diff --git a/cpld/XC95144XL/WarpSE.syr b/cpld/XC95144XL/WarpSE.syr index c97d57b..c44a736 100644 --- a/cpld/XC95144XL/WarpSE.syr +++ b/cpld/XC95144XL/WarpSE.syr @@ -3,14 +3,14 @@ Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. --> Parameter TMPDIR set to xst/projnav.tmp -Total REAL time to Xst completion: 1.00 secs -Total CPU time to Xst completion: 0.31 secs +Total REAL time to Xst completion: 0.00 secs +Total CPU time to Xst completion: 0.09 secs --> Parameter xsthdpdir set to xst -Total REAL time to Xst completion: 1.00 secs -Total CPU time to Xst completion: 0.33 secs +Total REAL time to Xst completion: 0.00 secs +Total CPU time to Xst completion: 0.09 secs --> Reading design: WarpSE.prj @@ -164,7 +164,6 @@ Synthesizing Unit . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . - Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . @@ -173,7 +172,7 @@ Synthesizing Unit . Found 1-bit register for signal . Summary: inferred 1 Finite State Machine(s). - inferred 9 D-type flip-flop(s). + inferred 8 D-type flip-flop(s). Unit synthesized. @@ -251,12 +250,17 @@ Unit synthesized. Synthesizing Unit . Related source file is "../CNT.v". +WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +WARNING:Xst:646 - Signal is assigned but never used. This unconnected signal will be trimmed during the optimization process. Found finite state machine for signal . ----------------------------------------------------------------------- | States | 4 | | Transitions | 8 | | Inputs | 2 | - | Outputs | 5 | + | Outputs | 4 | | Clock | CLK (rising_edge) | | Power Up State | 00 | | Encoding | automatic | @@ -268,20 +272,19 @@ Synthesizing Unit . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . - Found 2-bit adder for signal <$add0000> created at line 67. - Found 12-bit adder for signal <$add0001> created at line 68. + Found 2-bit register for signal . Found 2-bit register for signal . - Found 12-bit register for signal . + Found 12-bit up counter for signal . Found 1-bit register for signal . Found 1-bit register for signal . + Found 2-bit up counter for signal . Found 4-bit up counter for signal . Found 1-bit register for signal . - Found 4-bit up counter for signal . + Found 5-bit up counter for signal . Summary: inferred 1 Finite State Machine(s). - inferred 2 Counter(s). - inferred 11 D-type flip-flop(s). - inferred 2 Adder/Subtractor(s). + inferred 4 Counter(s). + inferred 9 D-type flip-flop(s). Unit synthesized. @@ -289,9 +292,11 @@ Synthesizing Unit . Related source file is "../FSB.v". Found 1-bit register for signal . Found 1-bit register for signal . + Found 1-bit register for signal . Found 1-bit register for signal . + Found 3-bit register for signal . Summary: - inferred 3 D-type flip-flop(s). + inferred 4 D-type flip-flop(s). Unit synthesized. @@ -300,6 +305,7 @@ Synthesizing Unit . WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +WARNING:Xst:653 - Signal is used but never assigned. This sourceless signal will be automatically connected to value 0. Found 1-bit tristate buffer for signal . Found 1-bit tristate buffer for signal . Found 1-bit tristate buffer for signal . @@ -314,14 +320,15 @@ Unit synthesized. HDL Synthesis Report Macro Statistics -# Adders/Subtractors : 2 - 12-bit adder : 1 - 2-bit adder : 1 -# Counters : 3 - 4-bit up counter : 3 -# Registers : 68 - 1-bit register : 67 - 2-bit register : 1 +# Counters : 5 + 12-bit up counter : 1 + 2-bit up counter : 1 + 4-bit up counter : 2 + 5-bit up counter : 1 +# Registers : 58 + 1-bit register : 55 + 2-bit register : 2 + 3-bit register : 1 # Tristates : 5 1-bit tristate buffer : 5 @@ -384,19 +391,21 @@ Advanced HDL Synthesis Report Macro Statistics # FSMs : 4 -# Adders/Subtractors : 2 - 12-bit adder : 1 - 2-bit adder : 1 -# Counters : 3 - 4-bit up counter : 3 -# Registers : 48 - Flip-Flops : 48 +# Counters : 5 + 12-bit up counter : 1 + 2-bit up counter : 1 + 4-bit up counter : 2 + 5-bit up counter : 1 +# Registers : 46 + Flip-Flops : 46 ========================================================================= ========================================================================= * Low Level Synthesis * ========================================================================= +WARNING:Xst:2677 - Node of sequential type is unconnected in block . +WARNING:Xst:2677 - Node of sequential type is unconnected in block . Optimizing unit ... @@ -437,16 +446,20 @@ Optimizing unit ... implementation constraint: INIT=r : IOS_FSM_FFd5 Optimizing unit ... - implementation constraint: INIT=r : Timer_1 - implementation constraint: INIT=r : IS_FSM_FFd2 implementation constraint: INIT=r : IS_FSM_FFd1 - implementation constraint: INIT=r : Timer_2 - implementation constraint: INIT=r : Timer_0 - implementation constraint: INIT=r : WS_3 - implementation constraint: INIT=r : WS_0 - implementation constraint: INIT=r : WS_1 - implementation constraint: INIT=r : WS_2 + implementation constraint: INIT=r : Wait_4 + implementation constraint: INIT=r : Wait_0 + implementation constraint: INIT=r : Wait_1 + implementation constraint: INIT=r : Wait_2 + implementation constraint: INIT=r : Wait_3 implementation constraint: INIT=r : Timer_3 + implementation constraint: INIT=r : IS_FSM_FFd2 + implementation constraint: INIT=r : Timer_0 + implementation constraint: INIT=r : Timer_1 + implementation constraint: INIT=r : Timer_2 +WARNING:Xst:2677 - Node of sequential type is unconnected in block . +WARNING:Xst:2677 - Node of sequential type is unconnected in block . +WARNING:Xst:2677 - Node of sequential type is unconnected in block . ========================================================================= * Partition Report * @@ -478,24 +491,24 @@ Design Statistics # IOs : 75 Cell Usage : -# BELS : 672 -# AND2 : 211 -# AND3 : 29 -# AND4 : 12 -# AND5 : 2 -# AND7 : 2 -# AND8 : 4 +# BELS : 618 +# AND2 : 197 +# AND3 : 25 +# AND4 : 9 +# AND5 : 3 +# AND7 : 1 +# AND8 : 3 # GND : 6 -# INV : 262 -# OR2 : 105 +# INV : 232 +# OR2 : 101 # OR3 : 14 # OR4 : 4 # VCC : 1 -# XOR2 : 20 -# FlipFlops/Latches : 100 -# FD : 68 +# XOR2 : 22 +# FlipFlops/Latches : 103 +# FD : 67 # FDC : 2 -# FDCE : 29 +# FDCE : 33 # FDP : 1 # IO Buffers : 70 # IBUF : 35 @@ -505,14 +518,14 @@ Cell Usage : ========================================================================= -Total REAL time to Xst completion: 6.00 secs -Total CPU time to Xst completion: 5.44 secs +Total REAL time to Xst completion: 5.00 secs +Total CPU time to Xst completion: 4.87 secs --> -Total memory usage is 266948 kilobytes +Total memory usage is 267300 kilobytes Number of errors : 0 ( 0 filtered) -Number of warnings : 3 ( 0 filtered) +Number of warnings : 14 ( 0 filtered) Number of infos : 0 ( 0 filtered) diff --git a/cpld/XC95144XL/WarpSE.tspec b/cpld/XC95144XL/WarpSE.tspec index 35ca749..50dc197 100644 --- a/cpld/XC95144XL/WarpSE.tspec +++ b/cpld/XC95144XL/WarpSE.tspec @@ -20,20 +20,22 @@ AUTO_TS_P2P:FROM:FCLK:TO:nBERR_FSB:1 AUTO_TS_F2P:FROM:nBERR_FSB_OBUF.Q:TO:nBERR_FSB:1 AUTO_TS_P2P:FROM:FCLK:TO:nVPA_FSB:1 AUTO_TS_F2P:FROM:nVPA_FSB_OBUF.Q:TO:nVPA_FSB:1 -AUTO_TS_P2P:FROM:nAS_FSB:TO:nRAS:1 AUTO_TS_P2P:FROM:FCLK:TO:nRAS:1 AUTO_TS_P2P:FROM:A_FSB<23>:TO:nRAS:1 AUTO_TS_P2P:FROM:A_FSB<22>:TO:nRAS:1 -AUTO_TS_F2P:FROM:ram/RAMEN.Q:TO:nRAS:1 +AUTO_TS_P2P:FROM:nAS_FSB:TO:nRAS:1 AUTO_TS_F2P:FROM:ram/RASrf.Q:TO:nRAS:1 AUTO_TS_F2P:FROM:ram/RASrr.Q:TO:nRAS:1 AUTO_TS_F2P:FROM:cs/nOverlay.Q:TO:nRAS:1 +AUTO_TS_F2P:FROM:ram/RASEN.Q:TO:nRAS:1 AUTO_TS_P2P:FROM:FCLK:TO:nBR_IOB:1 AUTO_TS_F2P:FROM:nBR_IOB_OBUF.Q:TO:nBR_IOB:1 AUTO_TS_P2P:FROM:A_FSB<20>:TO:RA<3>:1 AUTO_TS_P2P:FROM:FCLK:TO:RA<3>:1 AUTO_TS_P2P:FROM:A_FSB<19>:TO:RA<3>:1 AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<3>:1 +AUTO_TS_P2P:FROM:FCLK:TO:nDTACK_FSB:1 +AUTO_TS_F2P:FROM:nDTACK_FSB_OBUF.Q:TO:nDTACK_FSB:1 AUTO_TS_P2P:FROM:A_FSB<9>:TO:RA<0>:1 AUTO_TS_P2P:FROM:FCLK:TO:RA<0>:1 AUTO_TS_P2P:FROM:A_FSB<1>:TO:RA<0>:1 @@ -74,8 +76,6 @@ AUTO_TS_P2P:FROM:A_FSB<15>:TO:RA<9>:1 AUTO_TS_P2P:FROM:FCLK:TO:RA<9>:1 AUTO_TS_P2P:FROM:A_FSB<8>:TO:RA<9>:1 AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<9>:1 -AUTO_TS_P2P:FROM:nWE_FSB:TO:nOE:1 -AUTO_TS_P2P:FROM:nAS_FSB:TO:nOE:1 AUTO_TS_P2P:FROM:nWE_FSB:TO:nROMWE:1 AUTO_TS_P2P:FROM:nAS_FSB:TO:nROMWE:1 AUTO_TS_P2P:FROM:C16M:TO:nADoutLE0:1 @@ -84,10 +84,10 @@ AUTO_TS_F2P:FROM:ALE0M.Q:TO:nADoutLE0:1 AUTO_TS_F2P:FROM:ALE0S.Q:TO:nADoutLE0:1 AUTO_TS_P2P:FROM:FCLK:TO:nCAS:1 AUTO_TS_F2P:FROM:nCAS_OBUF.Q:TO:nCAS:1 -AUTO_TS_P2P:FROM:FCLK:TO:nDTACK_FSB:1 -AUTO_TS_F2P:FROM:nDTACK_FSB_OBUF.Q:TO:nDTACK_FSB:1 AUTO_TS_P2P:FROM:C16M:TO:nDinLE:1 AUTO_TS_F2P:FROM:nDinLE_OBUF.Q:TO:nDinLE:1 +AUTO_TS_P2P:FROM:FCLK:TO:nOE:1 +AUTO_TS_F2P:FROM:nOE_OBUF.Q:TO:nOE:1 AUTO_TS_P2P:FROM:A_FSB<20>:TO:RA<11>:1 AUTO_TS_P2P:FROM:FCLK:TO:RA<11>:1 AUTO_TS_P2P:FROM:A_FSB<19>:TO:RA<11>:1 @@ -96,12 +96,14 @@ AUTO_TS_P2P:FROM:FCLK:TO:nADoutLE1:1 AUTO_TS_F2P:FROM:nADoutLE1_OBUF.Q:TO:nADoutLE1:1 AUTO_TS_P2P:FROM:FCLK:TO:nAoutOE:1 AUTO_TS_F2P:FROM:nAoutOE_OBUF.Q:TO:nAoutOE:1 -AUTO_TS_P2P:FROM:A_FSB<23>:TO:nDinOE:1 -AUTO_TS_P2P:FROM:nWE_FSB:TO:nDinOE:1 -AUTO_TS_P2P:FROM:nAS_FSB:TO:nDinOE:1 AUTO_TS_P2P:FROM:A_FSB<22>:TO:nDinOE:1 AUTO_TS_P2P:FROM:A_FSB<21>:TO:nDinOE:1 +AUTO_TS_P2P:FROM:nWE_FSB:TO:nDinOE:1 +AUTO_TS_P2P:FROM:nAS_FSB:TO:nDinOE:1 +AUTO_TS_P2P:FROM:FCLK:TO:nDinOE:1 AUTO_TS_P2P:FROM:A_FSB<20>:TO:nDinOE:1 +AUTO_TS_P2P:FROM:A_FSB<23>:TO:nDinOE:1 +AUTO_TS_F2P:FROM:cs/nOverlay.Q:TO:nDinOE:1 AUTO_TS_P2P:FROM:FCLK:TO:nDoutOE:1 AUTO_TS_P2P:FROM:C16M:TO:nDoutOE:1 AUTO_TS_F2P:FROM:nAoutOE_OBUF.Q:TO:nDoutOE:1 @@ -110,35 +112,25 @@ AUTO_TS_F2P:FROM:iobm/IORDREQr.Q:TO:nDoutOE:1 AUTO_TS_F2P:FROM:iobm/IOWRREQr.Q:TO:nDoutOE:1 AUTO_TS_F2P:FROM:iobm/IOS0.Q:TO:nDoutOE:1 AUTO_TS_P2P:FROM:nWE_FSB:TO:nRAMLWE:1 -AUTO_TS_P2P:FROM:nAS_FSB:TO:nRAMLWE:1 AUTO_TS_P2P:FROM:FCLK:TO:nRAMLWE:1 AUTO_TS_P2P:FROM:nLDS_FSB:TO:nRAMLWE:1 -AUTO_TS_F2P:FROM:ram/RAMEN.Q:TO:nRAMLWE:1 +AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:nRAMLWE:1 AUTO_TS_P2P:FROM:nWE_FSB:TO:nRAMUWE:1 -AUTO_TS_P2P:FROM:nAS_FSB:TO:nRAMUWE:1 AUTO_TS_P2P:FROM:FCLK:TO:nRAMUWE:1 AUTO_TS_P2P:FROM:nUDS_FSB:TO:nRAMUWE:1 -AUTO_TS_F2P:FROM:ram/RAMEN.Q:TO:nRAMUWE:1 +AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:nRAMUWE:1 +AUTO_TS_P2P:FROM:FCLK:TO:nROMCS:1 AUTO_TS_P2P:FROM:A_FSB<23>:TO:nROMCS:1 AUTO_TS_P2P:FROM:A_FSB<22>:TO:nROMCS:1 AUTO_TS_P2P:FROM:A_FSB<21>:TO:nROMCS:1 AUTO_TS_P2P:FROM:A_FSB<20>:TO:nROMCS:1 -AUTO_TS_P2P:FROM:FCLK:TO:nROMCS:1 AUTO_TS_F2P:FROM:cs/nOverlay.Q:TO:nROMCS:1 -AUTO_TS_F2F:FROM:cnt/Timer<0>.Q:TO:RefUrg.D:1 -AUTO_TS_F2F:FROM:cnt/Timer<1>.Q:TO:RefUrg.D:1 -AUTO_TS_F2F:FROM:cnt/Timer<2>.Q:TO:RefUrg.D:1 -AUTO_TS_F2F:FROM:cnt/Timer<3>.Q:TO:RefUrg.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:RefUrg.D:1 -AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:RefUrg.CE:1 -AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:RefUrg.CE:1 -AUTO_TS_P2F:FROM:FCLK:TO:RefUrg.CE:1 AUTO_TS_F2F:FROM:cnt/IS_FSM_FFd1.Q:TO:cnt/LTimer<0>.D:1 AUTO_TS_F2F:FROM:cnt/IS_FSM_FFd2.Q:TO:cnt/LTimer<0>.D:1 AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:cnt/LTimer<0>.D:1 +AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/LTimer<0>.D:1 AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<0>.D:1 AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<0>.D:1 -AUTO_TS_F2F:FROM:RefUrg.Q:TO:cnt/LTimer<0>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<0>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<0>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<0>.D:1 @@ -161,21 +153,40 @@ AUTO_TS_P2F:FROM:A_FSB<17>:TO:cnt/LTimer<0>.D:1 AUTO_TS_P2F:FROM:A_FSB<16>:TO:cnt/LTimer<0>.D:1 AUTO_TS_P2F:FROM:A_FSB<15>:TO:cnt/LTimer<0>.D:1 AUTO_TS_P2F:FROM:A_FSB<13>:TO:cnt/LTimer<0>.D:1 -AUTO_TS_P2F:FROM:A_FSB<12>:TO:cnt/LTimer<0>.D:1 AUTO_TS_P2F:FROM:A_FSB<14>:TO:cnt/LTimer<0>.D:1 +AUTO_TS_P2F:FROM:A_FSB<12>:TO:cnt/LTimer<0>.D:1 AUTO_TS_P2F:FROM:A_FSB<11>:TO:cnt/LTimer<0>.D:1 AUTO_TS_P2F:FROM:A_FSB<10>:TO:cnt/LTimer<0>.D:1 AUTO_TS_P2F:FROM:nWE_FSB:TO:cnt/LTimer<0>.D:1 -AUTO_TS_P2F:FROM:A_FSB<9>:TO:cnt/LTimer<0>.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<0>.D:1 AUTO_TS_P2F:FROM:A_FSB<8>:TO:cnt/LTimer<0>.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<0>.D:1 +AUTO_TS_P2F:FROM:A_FSB<9>:TO:cnt/LTimer<0>.D:1 AUTO_TS_P2F:FROM:nAS_FSB:TO:cnt/LTimer<0>.D:1 +AUTO_TS_F2F:FROM:iobs/Sent.Q:TO:iobs/Sent.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:iobs/Sent.D:1 +AUTO_TS_F2F:FROM:iobs/TS_FSM_FFd1.Q:TO:iobs/Sent.D:1 +AUTO_TS_F2F:FROM:iobs/TS_FSM_FFd2.Q:TO:iobs/Sent.D:1 +AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:iobs/Sent.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay.Q:TO:iobs/Sent.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:iobs/Sent.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:iobs/Sent.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:iobs/Sent.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:iobs/Sent.D:1 +AUTO_TS_P2F:FROM:A_FSB<20>:TO:iobs/Sent.D:1 +AUTO_TS_P2F:FROM:A_FSB<19>:TO:iobs/Sent.D:1 +AUTO_TS_P2F:FROM:A_FSB<18>:TO:iobs/Sent.D:1 +AUTO_TS_P2F:FROM:A_FSB<17>:TO:iobs/Sent.D:1 +AUTO_TS_P2F:FROM:A_FSB<16>:TO:iobs/Sent.D:1 +AUTO_TS_P2F:FROM:A_FSB<13>:TO:iobs/Sent.D:1 +AUTO_TS_P2F:FROM:nWE_FSB:TO:iobs/Sent.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:iobs/Sent.D:1 +AUTO_TS_P2F:FROM:A_FSB<14>:TO:iobs/Sent.D:1 AUTO_TS_F2F:FROM:cnt/IS_FSM_FFd1.Q:TO:cnt/LTimer<1>.D:1 AUTO_TS_F2F:FROM:cnt/IS_FSM_FFd2.Q:TO:cnt/LTimer<1>.D:1 AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:cnt/LTimer<1>.D:1 +AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/LTimer<1>.D:1 AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<1>.D:1 AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<1>.D:1 -AUTO_TS_F2F:FROM:RefUrg.Q:TO:cnt/LTimer<1>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<1>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<1>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<1>.D:1 @@ -188,6 +199,7 @@ AUTO_TS_F2F:FROM:cnt/LTimer<7>.Q:TO:cnt/LTimer<1>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<8>.Q:TO:cnt/LTimer<1>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<9>.Q:TO:cnt/LTimer<1>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<11>.Q:TO:cnt/LTimer<1>.D:1 +AUTO_TS_P2F:FROM:A_FSB<12>:TO:cnt/LTimer<1>.D:1 AUTO_TS_P2F:FROM:A_FSB<23>:TO:cnt/LTimer<1>.D:1 AUTO_TS_P2F:FROM:A_FSB<22>:TO:cnt/LTimer<1>.D:1 AUTO_TS_P2F:FROM:A_FSB<21>:TO:cnt/LTimer<1>.D:1 @@ -196,53 +208,59 @@ AUTO_TS_P2F:FROM:A_FSB<19>:TO:cnt/LTimer<1>.D:1 AUTO_TS_P2F:FROM:A_FSB<18>:TO:cnt/LTimer<1>.D:1 AUTO_TS_P2F:FROM:A_FSB<17>:TO:cnt/LTimer<1>.D:1 AUTO_TS_P2F:FROM:A_FSB<16>:TO:cnt/LTimer<1>.D:1 -AUTO_TS_P2F:FROM:A_FSB<14>:TO:cnt/LTimer<1>.D:1 -AUTO_TS_P2F:FROM:nWE_FSB:TO:cnt/LTimer<1>.D:1 AUTO_TS_P2F:FROM:A_FSB<15>:TO:cnt/LTimer<1>.D:1 AUTO_TS_P2F:FROM:A_FSB<13>:TO:cnt/LTimer<1>.D:1 -AUTO_TS_P2F:FROM:A_FSB<12>:TO:cnt/LTimer<1>.D:1 +AUTO_TS_P2F:FROM:A_FSB<14>:TO:cnt/LTimer<1>.D:1 AUTO_TS_P2F:FROM:A_FSB<11>:TO:cnt/LTimer<1>.D:1 AUTO_TS_P2F:FROM:A_FSB<10>:TO:cnt/LTimer<1>.D:1 +AUTO_TS_P2F:FROM:nWE_FSB:TO:cnt/LTimer<1>.D:1 AUTO_TS_P2F:FROM:nAS_FSB:TO:cnt/LTimer<1>.D:1 AUTO_TS_P2F:FROM:A_FSB<9>:TO:cnt/LTimer<1>.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<1>.D:1 AUTO_TS_P2F:FROM:A_FSB<8>:TO:cnt/LTimer<1>.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<1>.D:1 AUTO_TS_F2F:FROM:cnt/Timer<0>.Q:TO:cnt/Timer<0>.D:1 +AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/Timer<0>.D:1 AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/Timer<0>.D:1 AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/Timer<0>.D:1 -AUTO_TS_F2F:FROM:RefUrg.Q:TO:cnt/Timer<0>.D:1 AUTO_TS_P2F:FROM:FCLK:TO:cnt/Timer<0>.D:1 AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/Timer<0>.CE:1 AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/Timer<0>.CE:1 AUTO_TS_P2F:FROM:FCLK:TO:cnt/Timer<0>.CE:1 -AUTO_TS_F2F:FROM:iobs/Sent.Q:TO:iobs/Sent.D:1 -AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:iobs/Sent.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:iobs/Sent.D:1 -AUTO_TS_F2F:FROM:iobs/TS_FSM_FFd1.Q:TO:iobs/Sent.D:1 -AUTO_TS_F2F:FROM:iobs/TS_FSM_FFd2.Q:TO:iobs/Sent.D:1 -AUTO_TS_F2F:FROM:cs/nOverlay.Q:TO:iobs/Sent.D:1 -AUTO_TS_P2F:FROM:A_FSB<23>:TO:iobs/Sent.D:1 -AUTO_TS_P2F:FROM:A_FSB<22>:TO:iobs/Sent.D:1 -AUTO_TS_P2F:FROM:A_FSB<21>:TO:iobs/Sent.D:1 -AUTO_TS_P2F:FROM:A_FSB<20>:TO:iobs/Sent.D:1 -AUTO_TS_P2F:FROM:A_FSB<19>:TO:iobs/Sent.D:1 -AUTO_TS_P2F:FROM:A_FSB<18>:TO:iobs/Sent.D:1 -AUTO_TS_P2F:FROM:A_FSB<17>:TO:iobs/Sent.D:1 -AUTO_TS_P2F:FROM:A_FSB<16>:TO:iobs/Sent.D:1 -AUTO_TS_P2F:FROM:A_FSB<13>:TO:iobs/Sent.D:1 -AUTO_TS_P2F:FROM:nWE_FSB:TO:iobs/Sent.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:iobs/Sent.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:iobs/Sent.D:1 -AUTO_TS_P2F:FROM:A_FSB<14>:TO:iobs/Sent.D:1 +AUTO_TS_F2F:FROM:cnt/Timer<1>.Q:TO:cnt/Timer<1>.D:1 +AUTO_TS_F2F:FROM:cnt/Timer<0>.Q:TO:cnt/Timer<1>.D:1 +AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/Timer<1>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/Timer<1>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/Timer<1>.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/Timer<1>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/Timer<1>.CE:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/Timer<1>.CE:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/Timer<1>.CE:1 +AUTO_TS_F2F:FROM:cnt/Timer<0>.Q:TO:cnt/Timer<2>.D:1 +AUTO_TS_F2F:FROM:cnt/Timer<2>.Q:TO:cnt/Timer<2>.D:1 +AUTO_TS_F2F:FROM:cnt/Timer<1>.Q:TO:cnt/Timer<2>.D:1 +AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/Timer<2>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/Timer<2>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/Timer<2>.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/Timer<2>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/Timer<2>.CE:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/Timer<2>.CE:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/Timer<2>.CE:1 +AUTO_TS_F2F:FROM:cnt/Timer<1>.Q:TO:RefUrg.D:1 +AUTO_TS_F2F:FROM:cnt/Timer<2>.Q:TO:RefUrg.D:1 +AUTO_TS_F2F:FROM:cnt/Timer<3>.Q:TO:RefUrg.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:RefUrg.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:RefUrg.CE:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:RefUrg.CE:1 +AUTO_TS_P2F:FROM:FCLK:TO:RefUrg.CE:1 AUTO_TS_F2F:FROM:cnt/IS_FSM_FFd1.Q:TO:cnt/LTimer<10>.D:1 AUTO_TS_F2F:FROM:cnt/IS_FSM_FFd2.Q:TO:cnt/LTimer<10>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<10>.Q:TO:cnt/LTimer<10>.D:1 -AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<10>.D:1 -AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<10>.D:1 -AUTO_TS_F2F:FROM:RefUrg.Q:TO:cnt/LTimer<10>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<10>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<10>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<10>.D:1 +AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/LTimer<10>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<10>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<10>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<10>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimer<10>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<5>.Q:TO:cnt/LTimer<10>.D:1 @@ -254,54 +272,54 @@ AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<10>.D:1 AUTO_TS_F2F:FROM:cnt/IS_FSM_FFd1.Q:TO:cnt/LTimer<2>.D:1 AUTO_TS_F2F:FROM:cnt/IS_FSM_FFd2.Q:TO:cnt/LTimer<2>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<2>.D:1 -AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<2>.D:1 -AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<2>.D:1 -AUTO_TS_F2F:FROM:RefUrg.Q:TO:cnt/LTimer<2>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<2>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<2>.D:1 +AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/LTimer<2>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<2>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<2>.D:1 AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<2>.D:1 AUTO_TS_F2F:FROM:cnt/IS_FSM_FFd1.Q:TO:cnt/LTimer<3>.D:1 AUTO_TS_F2F:FROM:cnt/IS_FSM_FFd2.Q:TO:cnt/LTimer<3>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<3>.D:1 -AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<3>.D:1 -AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<3>.D:1 -AUTO_TS_F2F:FROM:RefUrg.Q:TO:cnt/LTimer<3>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<3>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<3>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<3>.D:1 +AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/LTimer<3>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<3>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<3>.D:1 AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<3>.D:1 AUTO_TS_F2F:FROM:cnt/IS_FSM_FFd1.Q:TO:cnt/LTimer<4>.D:1 AUTO_TS_F2F:FROM:cnt/IS_FSM_FFd2.Q:TO:cnt/LTimer<4>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimer<4>.D:1 -AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<4>.D:1 -AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<4>.D:1 -AUTO_TS_F2F:FROM:RefUrg.Q:TO:cnt/LTimer<4>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<4>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<4>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<4>.D:1 +AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/LTimer<4>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<4>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<4>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<4>.D:1 AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<4>.D:1 AUTO_TS_F2F:FROM:cnt/IS_FSM_FFd1.Q:TO:cnt/LTimer<5>.D:1 AUTO_TS_F2F:FROM:cnt/IS_FSM_FFd2.Q:TO:cnt/LTimer<5>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<5>.Q:TO:cnt/LTimer<5>.D:1 -AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<5>.D:1 -AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<5>.D:1 -AUTO_TS_F2F:FROM:RefUrg.Q:TO:cnt/LTimer<5>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<5>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<5>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<5>.D:1 +AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/LTimer<5>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<5>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<5>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<5>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimer<5>.D:1 AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<5>.D:1 AUTO_TS_F2F:FROM:cnt/IS_FSM_FFd1.Q:TO:cnt/LTimer<6>.D:1 AUTO_TS_F2F:FROM:cnt/IS_FSM_FFd2.Q:TO:cnt/LTimer<6>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<6>.Q:TO:cnt/LTimer<6>.D:1 -AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<6>.D:1 -AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<6>.D:1 -AUTO_TS_F2F:FROM:RefUrg.Q:TO:cnt/LTimer<6>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<6>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<6>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<6>.D:1 +AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/LTimer<6>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<6>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<6>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<6>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimer<6>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<5>.Q:TO:cnt/LTimer<6>.D:1 @@ -309,12 +327,12 @@ AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<6>.D:1 AUTO_TS_F2F:FROM:cnt/IS_FSM_FFd1.Q:TO:cnt/LTimer<7>.D:1 AUTO_TS_F2F:FROM:cnt/IS_FSM_FFd2.Q:TO:cnt/LTimer<7>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<7>.Q:TO:cnt/LTimer<7>.D:1 -AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<7>.D:1 -AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<7>.D:1 -AUTO_TS_F2F:FROM:RefUrg.Q:TO:cnt/LTimer<7>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<7>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<7>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<7>.D:1 +AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/LTimer<7>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<7>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<7>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<7>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimer<7>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<5>.Q:TO:cnt/LTimer<7>.D:1 @@ -323,70 +341,55 @@ AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<7>.D:1 AUTO_TS_F2F:FROM:cnt/IS_FSM_FFd1.Q:TO:cnt/LTimer<8>.D:1 AUTO_TS_F2F:FROM:cnt/IS_FSM_FFd2.Q:TO:cnt/LTimer<8>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<8>.Q:TO:cnt/LTimer<8>.D:1 -AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<8>.D:1 -AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<8>.D:1 -AUTO_TS_F2F:FROM:RefUrg.Q:TO:cnt/LTimer<8>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<8>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<8>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<8>.D:1 +AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/LTimer<8>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<8>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<8>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<8>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimer<8>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<5>.Q:TO:cnt/LTimer<8>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<6>.Q:TO:cnt/LTimer<8>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<7>.Q:TO:cnt/LTimer<8>.D:1 AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<8>.D:1 -AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<9>.D:1 -AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<9>.D:1 -AUTO_TS_F2F:FROM:RefUrg.Q:TO:cnt/LTimer<9>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<9>.D:1 +AUTO_TS_F2F:FROM:cnt/IS_FSM_FFd1.Q:TO:cnt/LTimer<9>.D:1 AUTO_TS_F2F:FROM:cnt/IS_FSM_FFd2.Q:TO:cnt/LTimer<9>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<9>.Q:TO:cnt/LTimer<9>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<9>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<9>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<9>.D:1 +AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/LTimer<9>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<9>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<9>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<9>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimer<9>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<5>.Q:TO:cnt/LTimer<9>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<6>.Q:TO:cnt/LTimer<9>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<7>.Q:TO:cnt/LTimer<9>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<8>.Q:TO:cnt/LTimer<9>.D:1 -AUTO_TS_F2F:FROM:cnt/IS_FSM_FFd1.Q:TO:cnt/LTimer<9>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<9>.Q:TO:cnt/LTimer<9>.D:1 AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<9>.D:1 -AUTO_TS_F2F:FROM:cnt/Timer<0>.Q:TO:cnt/Timer<1>.D:1 -AUTO_TS_F2F:FROM:cnt/Timer<1>.Q:TO:cnt/Timer<1>.D:1 -AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/Timer<1>.D:1 -AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/Timer<1>.D:1 -AUTO_TS_F2F:FROM:RefUrg.Q:TO:cnt/Timer<1>.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:cnt/Timer<1>.D:1 -AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/Timer<1>.CE:1 -AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/Timer<1>.CE:1 -AUTO_TS_P2F:FROM:FCLK:TO:cnt/Timer<1>.CE:1 -AUTO_TS_F2F:FROM:cnt/Timer<0>.Q:TO:cnt/Timer<2>.D:1 -AUTO_TS_F2F:FROM:cnt/Timer<2>.Q:TO:cnt/Timer<2>.D:1 -AUTO_TS_F2F:FROM:cnt/Timer<1>.Q:TO:cnt/Timer<2>.D:1 -AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/Timer<2>.D:1 -AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/Timer<2>.D:1 -AUTO_TS_F2F:FROM:RefUrg.Q:TO:cnt/Timer<2>.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:cnt/Timer<2>.D:1 -AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/Timer<2>.CE:1 -AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/Timer<2>.CE:1 -AUTO_TS_P2F:FROM:FCLK:TO:cnt/Timer<2>.CE:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd7.Q:TO:ram/RAMEN.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd8.Q:TO:ram/RAMEN.D:1 -AUTO_TS_F2F:FROM:RefUrg.Q:TO:ram/RAMEN.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/RAMEN.D:1 -AUTO_TS_F2F:FROM:ram/RefDone.Q:TO:ram/RAMEN.D:1 -AUTO_TS_F2F:FROM:ram/RAMEN.Q:TO:ram/RAMEN.D:1 -AUTO_TS_F2F:FROM:ram/Once.Q:TO:ram/RAMEN.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd4.Q:TO:ram/RAMEN.D:1 -AUTO_TS_F2F:FROM:RefReq.Q:TO:ram/RAMEN.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:ram/RAMEN.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/RAMEN.D:1 -AUTO_TS_F2F:FROM:RefUrg.Q:TO:ram/RefDone.D:1 -AUTO_TS_F2F:FROM:RefReq.Q:TO:ram/RefDone.D:1 -AUTO_TS_F2F:FROM:ram/RefDone.Q:TO:ram/RefDone.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RefDone.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RefDone.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:ram/RefDone.D:1 +AUTO_TS_F2F:FROM:cnt/Timer<0>.Q:TO:cnt/Timer<3>.D:1 +AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/Timer<3>.D:1 +AUTO_TS_F2F:FROM:cnt/Timer<1>.Q:TO:cnt/Timer<3>.D:1 +AUTO_TS_F2F:FROM:cnt/Timer<2>.Q:TO:cnt/Timer<3>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/Timer<3>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/Timer<3>.D:1 +AUTO_TS_F2F:FROM:cnt/Timer<3>.Q:TO:cnt/Timer<3>.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/Timer<3>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/Timer<3>.CE:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/Timer<3>.CE:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/Timer<3>.CE:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:cs/nOverlay.D:1 +AUTO_TS_F2F:FROM:nRESout.Q:TO:cs/nOverlay.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay.Q:TO:cs/nOverlay.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:cs/nOverlay.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cs/nOverlay.D:1 +AUTO_TS_P2F:FROM:nRES:TO:cs/nOverlay.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:cs/nOverlay.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:cs/nOverlay.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:cs/nOverlay.D:1 +AUTO_TS_P2F:FROM:A_FSB<20>:TO:cs/nOverlay.D:1 AUTO_TS_F2F:FROM:cnt/Timer<0>.Q:TO:RefReq.D:1 AUTO_TS_F2F:FROM:cnt/Timer<1>.Q:TO:RefReq.D:1 AUTO_TS_F2F:FROM:cnt/Timer<2>.Q:TO:RefReq.D:1 @@ -395,15 +398,43 @@ AUTO_TS_P2F:FROM:FCLK:TO:RefReq.D:1 AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:RefReq.CE:1 AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:RefReq.CE:1 AUTO_TS_P2F:FROM:FCLK:TO:RefReq.CE:1 +AUTO_TS_F2F:FROM:cnt/Credits<0>.Q:TO:cnt/Credits<0>.D:1 +AUTO_TS_F2F:FROM:WS.Q:TO:cnt/Credits<0>.D:1 +AUTO_TS_F2F:FROM:cnt/C8Mr<0>.Q:TO:cnt/Credits<0>.D:1 +AUTO_TS_F2F:FROM:cnt/C8Mr<1>.Q:TO:cnt/Credits<0>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/Credits<0>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/Credits<0>.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/Credits<0>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/Credits<1>.D:1 +AUTO_TS_F2F:FROM:cnt/Credits<0>.Q:TO:cnt/Credits<1>.D:1 +AUTO_TS_F2F:FROM:WS.Q:TO:cnt/Credits<1>.D:1 +AUTO_TS_F2F:FROM:cnt/C8Mr<1>.Q:TO:cnt/Credits<1>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/Credits<1>.D:1 +AUTO_TS_F2F:FROM:cnt/C8Mr<0>.Q:TO:cnt/Credits<1>.D:1 +AUTO_TS_F2F:FROM:cnt/Credits<1>.Q:TO:cnt/Credits<1>.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/Credits<1>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/Credits<6>.D:1 +AUTO_TS_F2F:FROM:cnt/Credits<0>.Q:TO:cnt/Credits<6>.D:1 +AUTO_TS_F2F:FROM:cnt/Credits<1>.Q:TO:cnt/Credits<6>.D:1 +AUTO_TS_F2F:FROM:cnt/Credits<2>.Q:TO:cnt/Credits<6>.D:1 +AUTO_TS_F2F:FROM:WS.Q:TO:cnt/Credits<6>.D:1 +AUTO_TS_F2F:FROM:cnt/C8Mr<1>.Q:TO:cnt/Credits<6>.D:1 +AUTO_TS_F2F:FROM:cnt/C8Mr<0>.Q:TO:cnt/Credits<6>.D:1 +AUTO_TS_F2F:FROM:cnt/Credits<3>.Q:TO:cnt/Credits<6>.D:1 +AUTO_TS_F2F:FROM:cnt/Credits<4>.Q:TO:cnt/Credits<6>.D:1 +AUTO_TS_F2F:FROM:cnt/Credits<5>.Q:TO:cnt/Credits<6>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/Credits<6>.D:1 +AUTO_TS_F2F:FROM:cnt/Credits<6>.Q:TO:cnt/Credits<6>.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/Credits<6>.D:1 AUTO_TS_F2F:FROM:cnt/IS_FSM_FFd1.Q:TO:cnt/LTimer<11>.D:1 AUTO_TS_F2F:FROM:cnt/IS_FSM_FFd2.Q:TO:cnt/LTimer<11>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<11>.Q:TO:cnt/LTimer<11>.D:1 -AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<11>.D:1 -AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<11>.D:1 -AUTO_TS_F2F:FROM:RefUrg.Q:TO:cnt/LTimer<11>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<11>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<11>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<11>.D:1 +AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/LTimer<11>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<11>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<11>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<10>.Q:TO:cnt/LTimer<11>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<11>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimer<11>.D:1 @@ -413,29 +444,82 @@ AUTO_TS_F2F:FROM:cnt/LTimer<7>.Q:TO:cnt/LTimer<11>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<8>.Q:TO:cnt/LTimer<11>.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<9>.Q:TO:cnt/LTimer<11>.D:1 AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<11>.D:1 -AUTO_TS_F2F:FROM:cnt/Timer<0>.Q:TO:cnt/Timer<3>.D:1 -AUTO_TS_F2F:FROM:cnt/Timer<1>.Q:TO:cnt/Timer<3>.D:1 -AUTO_TS_F2F:FROM:cnt/Timer<2>.Q:TO:cnt/Timer<3>.D:1 -AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/Timer<3>.D:1 -AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/Timer<3>.D:1 -AUTO_TS_F2F:FROM:RefUrg.Q:TO:cnt/Timer<3>.D:1 -AUTO_TS_F2F:FROM:cnt/Timer<3>.Q:TO:cnt/Timer<3>.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:cnt/Timer<3>.D:1 -AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/Timer<3>.CE:1 -AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/Timer<3>.CE:1 -AUTO_TS_P2F:FROM:FCLK:TO:cnt/Timer<3>.CE:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:cs/nOverlay.D:1 -AUTO_TS_F2F:FROM:nRESout.Q:TO:cs/nOverlay.D:1 -AUTO_TS_F2F:FROM:cs/nOverlay.Q:TO:cs/nOverlay.D:1 -AUTO_TS_F2F:FROM:cs/ODCSr.Q:TO:cs/nOverlay.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:cs/nOverlay.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:cs/nOverlay.D:1 -AUTO_TS_P2F:FROM:nRES:TO:cs/nOverlay.D:1 +AUTO_TS_F2F:FROM:cnt/Timer<0>.Q:TO:cnt/TimerTC.D:1 +AUTO_TS_F2F:FROM:cnt/Timer<1>.Q:TO:cnt/TimerTC.D:1 +AUTO_TS_F2F:FROM:cnt/Timer<2>.Q:TO:cnt/TimerTC.D:1 +AUTO_TS_F2F:FROM:cnt/Timer<3>.Q:TO:cnt/TimerTC.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/TimerTC.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/TimerTC.CE:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/TimerTC.CE:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/TimerTC.CE:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:QoSReady.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:QoSReady.D:1 +AUTO_TS_F2F:FROM:cnt/Credits<7>.Q:TO:QoSReady.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:QoSReady.D:1 +AUTO_TS_F2F:FROM:QoSReady.Q:TO:QoSReady.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:QoSReady.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:QoSReady.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/Credits<2>.D:1 +AUTO_TS_F2F:FROM:cnt/Credits<0>.Q:TO:cnt/Credits<2>.D:1 +AUTO_TS_F2F:FROM:WS.Q:TO:cnt/Credits<2>.D:1 +AUTO_TS_F2F:FROM:cnt/C8Mr<1>.Q:TO:cnt/Credits<2>.D:1 +AUTO_TS_F2F:FROM:cnt/C8Mr<0>.Q:TO:cnt/Credits<2>.D:1 +AUTO_TS_F2F:FROM:cnt/Credits<1>.Q:TO:cnt/Credits<2>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/Credits<2>.D:1 +AUTO_TS_F2F:FROM:cnt/Credits<2>.Q:TO:cnt/Credits<2>.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/Credits<2>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/Credits<3>.D:1 +AUTO_TS_F2F:FROM:cnt/Credits<0>.Q:TO:cnt/Credits<3>.D:1 +AUTO_TS_F2F:FROM:cnt/Credits<1>.Q:TO:cnt/Credits<3>.D:1 +AUTO_TS_F2F:FROM:cnt/Credits<2>.Q:TO:cnt/Credits<3>.D:1 +AUTO_TS_F2F:FROM:WS.Q:TO:cnt/Credits<3>.D:1 +AUTO_TS_F2F:FROM:cnt/C8Mr<1>.Q:TO:cnt/Credits<3>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/Credits<3>.D:1 +AUTO_TS_F2F:FROM:cnt/C8Mr<0>.Q:TO:cnt/Credits<3>.D:1 +AUTO_TS_F2F:FROM:cnt/Credits<3>.Q:TO:cnt/Credits<3>.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/Credits<3>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/Credits<4>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/Credits<4>.D:1 +AUTO_TS_F2F:FROM:cnt/Credits<0>.Q:TO:cnt/Credits<4>.D:1 +AUTO_TS_F2F:FROM:cnt/Credits<1>.Q:TO:cnt/Credits<4>.D:1 +AUTO_TS_F2F:FROM:cnt/Credits<2>.Q:TO:cnt/Credits<4>.D:1 +AUTO_TS_F2F:FROM:WS.Q:TO:cnt/Credits<4>.D:1 +AUTO_TS_F2F:FROM:cnt/C8Mr<1>.Q:TO:cnt/Credits<4>.D:1 +AUTO_TS_F2F:FROM:cnt/Credits<3>.Q:TO:cnt/Credits<4>.D:1 +AUTO_TS_F2F:FROM:cnt/C8Mr<0>.Q:TO:cnt/Credits<4>.D:1 +AUTO_TS_F2F:FROM:cnt/Credits<4>.Q:TO:cnt/Credits<4>.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/Credits<4>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/Credits<5>.D:1 +AUTO_TS_F2F:FROM:cnt/Credits<0>.Q:TO:cnt/Credits<5>.D:1 +AUTO_TS_F2F:FROM:cnt/Credits<1>.Q:TO:cnt/Credits<5>.D:1 +AUTO_TS_F2F:FROM:cnt/Credits<2>.Q:TO:cnt/Credits<5>.D:1 +AUTO_TS_F2F:FROM:WS.Q:TO:cnt/Credits<5>.D:1 +AUTO_TS_F2F:FROM:cnt/C8Mr<1>.Q:TO:cnt/Credits<5>.D:1 +AUTO_TS_F2F:FROM:cnt/Credits<3>.Q:TO:cnt/Credits<5>.D:1 +AUTO_TS_F2F:FROM:cnt/Credits<4>.Q:TO:cnt/Credits<5>.D:1 +AUTO_TS_F2F:FROM:cnt/C8Mr<0>.Q:TO:cnt/Credits<5>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/Credits<5>.D:1 +AUTO_TS_F2F:FROM:cnt/Credits<5>.Q:TO:cnt/Credits<5>.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/Credits<5>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/Credits<7>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/Credits<7>.D:1 +AUTO_TS_F2F:FROM:cnt/Credits<0>.Q:TO:cnt/Credits<7>.D:1 +AUTO_TS_F2F:FROM:cnt/Credits<1>.Q:TO:cnt/Credits<7>.D:1 +AUTO_TS_F2F:FROM:cnt/Credits<2>.Q:TO:cnt/Credits<7>.D:1 +AUTO_TS_F2F:FROM:WS.Q:TO:cnt/Credits<7>.D:1 +AUTO_TS_F2F:FROM:cnt/C8Mr<1>.Q:TO:cnt/Credits<7>.D:1 +AUTO_TS_F2F:FROM:cnt/Credits<6>.Q:TO:cnt/Credits<7>.D:1 +AUTO_TS_F2F:FROM:cnt/Credits<3>.Q:TO:cnt/Credits<7>.D:1 +AUTO_TS_F2F:FROM:cnt/Credits<4>.Q:TO:cnt/Credits<7>.D:1 +AUTO_TS_F2F:FROM:cnt/Credits<5>.Q:TO:cnt/Credits<7>.D:1 +AUTO_TS_F2F:FROM:cnt/C8Mr<0>.Q:TO:cnt/Credits<7>.D:1 +AUTO_TS_F2F:FROM:cnt/Credits<7>.Q:TO:cnt/Credits<7>.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/Credits<7>.D:1 AUTO_TS_F2F:FROM:iobs/Sent.Q:TO:iobs/IORW1.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:iobs/IORW1.D:1 AUTO_TS_F2F:FROM:iobs/TS_FSM_FFd1.Q:TO:iobs/IORW1.D:1 AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:iobs/IORW1.D:1 AUTO_TS_F2F:FROM:iobs/IORW1.Q:TO:iobs/IORW1.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:iobs/IORW1.D:1 AUTO_TS_F2F:FROM:iobs/TS_FSM_FFd2.Q:TO:iobs/IORW1.D:1 AUTO_TS_P2F:FROM:A_FSB<23>:TO:iobs/IORW1.D:1 AUTO_TS_P2F:FROM:A_FSB<22>:TO:iobs/IORW1.D:1 @@ -447,9 +531,17 @@ AUTO_TS_P2F:FROM:A_FSB<17>:TO:iobs/IORW1.D:1 AUTO_TS_P2F:FROM:A_FSB<16>:TO:iobs/IORW1.D:1 AUTO_TS_P2F:FROM:A_FSB<13>:TO:iobs/IORW1.D:1 AUTO_TS_P2F:FROM:nWE_FSB:TO:iobs/IORW1.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:iobs/IORW1.D:1 AUTO_TS_P2F:FROM:FCLK:TO:iobs/IORW1.D:1 AUTO_TS_P2F:FROM:A_FSB<14>:TO:iobs/IORW1.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:iobs/IORW1.D:1 +AUTO_TS_F2F:FROM:RefUrg.Q:TO:ram/RefDone.D:1 +AUTO_TS_F2F:FROM:RefReq.Q:TO:ram/RefDone.D:1 +AUTO_TS_F2F:FROM:ram/RefDone.Q:TO:ram/RefDone.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RefDone.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd7.Q:TO:ram/RefDone.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RefDone.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RefDone.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:ram/RefDone.D:1 AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:IONPReady.D:1 AUTO_TS_F2F:FROM:iobs/Sent.Q:TO:IONPReady.D:1 AUTO_TS_F2F:FROM:IONPReady.Q:TO:IONPReady.D:1 @@ -471,15 +563,6 @@ AUTO_TS_F2F:FROM:iobs/Load1.Q:TO:iobs/IOL1.CE:1 AUTO_TS_P2F:FROM:FCLK:TO:iobs/IOL1.CE:1 AUTO_TS_F2F:FROM:iobs/Load1.Q:TO:iobs/IOU1.CE:1 AUTO_TS_P2F:FROM:FCLK:TO:iobs/IOU1.CE:1 -AUTO_TS_F2F:FROM:ram/RAMEN.Q:TO:ram/Once.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd8.Q:TO:ram/Once.D:1 -AUTO_TS_F2F:FROM:cs/nOverlay.Q:TO:ram/Once.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/Once.D:1 -AUTO_TS_F2F:FROM:ram/Once.Q:TO:ram/Once.D:1 -AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/Once.D:1 -AUTO_TS_P2F:FROM:A_FSB<22>:TO:ram/Once.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/Once.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:ram/Once.D:1 AUTO_TS_F2F:FROM:nBERR_FSB_OBUF.Q:TO:nBERR_FSB_OBUF.D:1 AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:nBERR_FSB_OBUF.D:1 AUTO_TS_F2F:FROM:iobs/Sent.Q:TO:nBERR_FSB_OBUF.D:1 @@ -487,9 +570,9 @@ AUTO_TS_F2F:FROM:IOBERR.Q:TO:nBERR_FSB_OBUF.D:1 AUTO_TS_P2F:FROM:C8M:TO:nBERR_FSB_OBUF.D:1 AUTO_TS_P2F:FROM:FCLK:TO:nBERR_FSB_OBUF.D:1 AUTO_TS_P2F:FROM:nAS_FSB:TO:nBERR_FSB_OBUF.D:1 -AUTO_TS_F2F:FROM:IONPReady.Q:TO:nVPA_FSB_OBUF.D:1 -AUTO_TS_F2F:FROM:QoSReady.Q:TO:nVPA_FSB_OBUF.D:1 AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:nVPA_FSB_OBUF.D:1 +AUTO_TS_F2F:FROM:QoSReady.Q:TO:nVPA_FSB_OBUF.D:1 +AUTO_TS_F2F:FROM:IONPReady.Q:TO:nVPA_FSB_OBUF.D:1 AUTO_TS_P2F:FROM:A_FSB<23>:TO:nVPA_FSB_OBUF.D:1 AUTO_TS_P2F:FROM:A_FSB<22>:TO:nVPA_FSB_OBUF.D:1 AUTO_TS_P2F:FROM:A_FSB<21>:TO:nVPA_FSB_OBUF.D:1 @@ -498,17 +581,36 @@ AUTO_TS_P2F:FROM:A_FSB<19>:TO:nVPA_FSB_OBUF.D:1 AUTO_TS_P2F:FROM:A_FSB<18>:TO:nVPA_FSB_OBUF.D:1 AUTO_TS_P2F:FROM:A_FSB<17>:TO:nVPA_FSB_OBUF.D:1 AUTO_TS_P2F:FROM:A_FSB<16>:TO:nVPA_FSB_OBUF.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:nVPA_FSB_OBUF.D:1 AUTO_TS_P2F:FROM:FCLK:TO:nVPA_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:nVPA_FSB_OBUF.D:1 AUTO_TS_F2F:FROM:cnt/IS_FSM_FFd1.Q:TO:nBR_IOB_OBUF.D:1 AUTO_TS_F2F:FROM:cnt/IS_FSM_FFd2.Q:TO:nBR_IOB_OBUF.D:1 AUTO_TS_F2F:FROM:nBR_IOB_OBUF.Q:TO:nBR_IOB_OBUF.D:1 AUTO_TS_F2F:FROM:cnt/nIPL2r.Q:TO:nBR_IOB_OBUF.D:1 AUTO_TS_P2F:FROM:FCLK:TO:nBR_IOB_OBUF.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd7.Q:TO:ram/RASEL.D:1 -AUTO_TS_F2F:FROM:ram/RAMEN.Q:TO:ram/RASEL.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd8.Q:TO:ram/RASEL.D:1 +AUTO_TS_F2F:FROM:QoSReady.Q:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_F2F:FROM:iobs/Sent.Q:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_F2F:FROM:IONPReady.Q:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_F2F:FROM:RAMReady.Q:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<20>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<19>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<18>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<17>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<16>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<13>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:nWE_FSB:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<14>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd6.Q:TO:ram/RASEL.D:1 AUTO_TS_F2F:FROM:cs/nOverlay.Q:TO:ram/RASEL.D:1 +AUTO_TS_F2F:FROM:ram/RASEN.Q:TO:ram/RASEL.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd8.Q:TO:ram/RASEL.D:1 AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/RASEL.D:1 AUTO_TS_P2F:FROM:FCLK:TO:ram/RASEL.D:1 AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/RASEL.D:1 @@ -521,63 +623,79 @@ AUTO_TS_P2F:FROM:FCLK:TO:iobs/TS_FSM_FFd1.D:1 AUTO_TS_F2F:FROM:iobs/TS_FSM_FFd2.Q:TO:iobs/TS_FSM_FFd2.D:1 AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:iobs/TS_FSM_FFd2.D:1 AUTO_TS_F2F:FROM:cs/nOverlay.Q:TO:iobs/TS_FSM_FFd2.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:iobs/TS_FSM_FFd2.D:1 AUTO_TS_F2F:FROM:iobs/TS_FSM_FFd1.Q:TO:iobs/TS_FSM_FFd2.D:1 AUTO_TS_F2F:FROM:iobs/IOACTr.Q:TO:iobs/TS_FSM_FFd2.D:1 AUTO_TS_F2F:FROM:iobs/Sent.Q:TO:iobs/TS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:iobs/TS_FSM_FFd2.D:1 AUTO_TS_P2F:FROM:A_FSB<23>:TO:iobs/TS_FSM_FFd2.D:1 AUTO_TS_P2F:FROM:A_FSB<22>:TO:iobs/TS_FSM_FFd2.D:1 -AUTO_TS_P2F:FROM:A_FSB<19>:TO:iobs/TS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:iobs/TS_FSM_FFd2.D:1 AUTO_TS_P2F:FROM:FCLK:TO:iobs/TS_FSM_FFd2.D:1 -AUTO_TS_P2F:FROM:A_FSB<18>:TO:iobs/TS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:A_FSB<20>:TO:iobs/TS_FSM_FFd2.D:1 AUTO_TS_P2F:FROM:A_FSB<17>:TO:iobs/TS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:A_FSB<16>:TO:iobs/TS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:A_FSB<19>:TO:iobs/TS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:A_FSB<18>:TO:iobs/TS_FSM_FFd2.D:1 AUTO_TS_P2F:FROM:A_FSB<13>:TO:iobs/TS_FSM_FFd2.D:1 AUTO_TS_P2F:FROM:A_FSB<14>:TO:iobs/TS_FSM_FFd2.D:1 -AUTO_TS_P2F:FROM:A_FSB<21>:TO:iobs/TS_FSM_FFd2.D:1 -AUTO_TS_P2F:FROM:A_FSB<20>:TO:iobs/TS_FSM_FFd2.D:1 AUTO_TS_P2F:FROM:nAS_FSB:TO:iobs/TS_FSM_FFd2.D:1 -AUTO_TS_P2F:FROM:A_FSB<16>:TO:iobs/TS_FSM_FFd2.D:1 AUTO_TS_P2F:FROM:nWE_FSB:TO:iobs/TS_FSM_FFd2.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd4.Q:TO:ram/RS_FSM_FFd8.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd8.D:1 -AUTO_TS_F2F:FROM:ram/RAMEN.Q:TO:ram/RS_FSM_FFd8.D:1 -AUTO_TS_F2F:FROM:cs/nOverlay.Q:TO:ram/RS_FSM_FFd8.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd8.Q:TO:ram/RS_FSM_FFd8.D:1 -AUTO_TS_F2F:FROM:RefUrg.Q:TO:ram/RS_FSM_FFd8.D:1 -AUTO_TS_F2F:FROM:ram/RefDone.Q:TO:ram/RS_FSM_FFd8.D:1 AUTO_TS_F2F:FROM:RefReq.Q:TO:ram/RS_FSM_FFd8.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:ram/RS_FSM_FFd8.D:1 +AUTO_TS_F2F:FROM:ram/RefDone.Q:TO:ram/RS_FSM_FFd8.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd8.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd4.Q:TO:ram/RS_FSM_FFd8.D:1 +AUTO_TS_F2F:FROM:BACTr.Q:TO:ram/RS_FSM_FFd8.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd8.D:1 +AUTO_TS_F2F:FROM:RefUrg.Q:TO:ram/RS_FSM_FFd8.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd8.Q:TO:ram/RS_FSM_FFd8.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay.Q:TO:ram/RS_FSM_FFd8.D:1 +AUTO_TS_F2F:FROM:ram/RASEN.Q:TO:ram/RS_FSM_FFd8.D:1 AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/RS_FSM_FFd8.D:1 -AUTO_TS_P2F:FROM:A_FSB<22>:TO:ram/RS_FSM_FFd8.D:1 AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/RS_FSM_FFd8.D:1 -AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/IS_FSM_FFd1.D:1 -AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/IS_FSM_FFd1.D:1 -AUTO_TS_F2F:FROM:RefUrg.Q:TO:cnt/IS_FSM_FFd1.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:ram/RS_FSM_FFd8.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:ram/RS_FSM_FFd8.D:1 AUTO_TS_F2F:FROM:cnt/IS_FSM_FFd1.Q:TO:cnt/IS_FSM_FFd1.D:1 AUTO_TS_F2F:FROM:cnt/IS_FSM_FFd2.Q:TO:cnt/IS_FSM_FFd1.D:1 +AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/IS_FSM_FFd1.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/IS_FSM_FFd1.D:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/IS_FSM_FFd1.D:1 AUTO_TS_F2F:FROM:cnt/nIPL2r.Q:TO:cnt/IS_FSM_FFd1.D:1 AUTO_TS_F2F:FROM:cnt/LTimerTC.Q:TO:cnt/IS_FSM_FFd1.D:1 AUTO_TS_P2F:FROM:FCLK:TO:cnt/IS_FSM_FFd1.D:1 -AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/IS_FSM_FFd2.D:1 -AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/IS_FSM_FFd2.D:1 -AUTO_TS_F2F:FROM:RefUrg.Q:TO:cnt/IS_FSM_FFd2.D:1 AUTO_TS_F2F:FROM:cnt/IS_FSM_FFd1.Q:TO:cnt/IS_FSM_FFd2.D:1 AUTO_TS_F2F:FROM:cnt/IS_FSM_FFd2.Q:TO:cnt/IS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/IS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/IS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/IS_FSM_FFd2.D:1 AUTO_TS_F2F:FROM:cnt/LTimerTC.Q:TO:cnt/IS_FSM_FFd2.D:1 AUTO_TS_P2F:FROM:FCLK:TO:cnt/IS_FSM_FFd2.D:1 -AUTO_TS_F2F:FROM:ram/RAMEN.Q:TO:ram/RS_FSM_FFd7.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd8.Q:TO:ram/RS_FSM_FFd7.D:1 -AUTO_TS_F2F:FROM:cs/nOverlay.Q:TO:ram/RS_FSM_FFd7.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd7.D:1 -AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/RS_FSM_FFd7.D:1 -AUTO_TS_P2F:FROM:A_FSB<22>:TO:ram/RS_FSM_FFd7.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/RS_FSM_FFd7.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:ram/RS_FSM_FFd7.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd5.Q:TO:ram/RS_FSM_FFd4.D:1 +AUTO_TS_F2F:FROM:ram/DTACKr.Q:TO:ram/RS_FSM_FFd4.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:ram/RS_FSM_FFd4.D:1 +AUTO_TS_F2F:FROM:RefReq.Q:TO:ram/RASEN.D:1 +AUTO_TS_F2F:FROM:ram/RefDone.Q:TO:ram/RASEN.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RASEN.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/RASEN.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd4.Q:TO:ram/RASEN.D:1 +AUTO_TS_F2F:FROM:BACTr.Q:TO:ram/RASEN.D:1 +AUTO_TS_F2F:FROM:RefUrg.Q:TO:ram/RASEN.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd8.Q:TO:ram/RASEN.D:1 +AUTO_TS_F2F:FROM:ram/RASEN.Q:TO:ram/RASEN.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:ram/RASEN.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/RASEN.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/RASEN.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:ram/RASEN.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd1.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:ram/RS_FSM_FFd1.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:BACTr.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:BACTr.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:BACTr.D:1 AUTO_TS_F2F:FROM:iobs/Sent.Q:TO:iobs/Load1.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:iobs/Load1.D:1 AUTO_TS_F2F:FROM:iobs/TS_FSM_FFd1.Q:TO:iobs/Load1.D:1 AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:iobs/Load1.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:iobs/Load1.D:1 AUTO_TS_F2F:FROM:iobs/TS_FSM_FFd2.Q:TO:iobs/Load1.D:1 +AUTO_TS_P2F:FROM:nWE_FSB:TO:iobs/Load1.D:1 AUTO_TS_P2F:FROM:A_FSB<23>:TO:iobs/Load1.D:1 AUTO_TS_P2F:FROM:A_FSB<22>:TO:iobs/Load1.D:1 AUTO_TS_P2F:FROM:A_FSB<21>:TO:iobs/Load1.D:1 @@ -587,14 +705,35 @@ AUTO_TS_P2F:FROM:A_FSB<18>:TO:iobs/Load1.D:1 AUTO_TS_P2F:FROM:A_FSB<17>:TO:iobs/Load1.D:1 AUTO_TS_P2F:FROM:A_FSB<16>:TO:iobs/Load1.D:1 AUTO_TS_P2F:FROM:A_FSB<13>:TO:iobs/Load1.D:1 -AUTO_TS_P2F:FROM:nWE_FSB:TO:iobs/Load1.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:iobs/Load1.D:1 AUTO_TS_P2F:FROM:FCLK:TO:iobs/Load1.D:1 AUTO_TS_P2F:FROM:A_FSB<14>:TO:iobs/Load1.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:iobs/Load1.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:cnt/WS<0>.D:1 -AUTO_TS_F2F:FROM:cnt/WS<0>.Q:TO:cnt/WS<0>.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:cnt/WS<0>.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:cnt/WS<0>.D:1 +AUTO_TS_F2F:FROM:nDTACK_FSB_OBUF.Q:TO:ram/DTACKr.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:ram/DTACKr.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd6.Q:TO:ram/RS_FSM_FFd5.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd5.Q:TO:ram/RS_FSM_FFd5.D:1 +AUTO_TS_F2F:FROM:ram/DTACKr.Q:TO:ram/RS_FSM_FFd5.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:ram/RS_FSM_FFd5.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay.Q:TO:ram/RS_FSM_FFd6.D:1 +AUTO_TS_F2F:FROM:ram/RASEN.Q:TO:ram/RS_FSM_FFd6.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd8.Q:TO:ram/RS_FSM_FFd6.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd6.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/RS_FSM_FFd6.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:ram/RS_FSM_FFd6.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/RS_FSM_FFd6.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:ram/RS_FSM_FFd6.D:1 +AUTO_TS_F2F:FROM:RefReq.Q:TO:ram/RS_FSM_FFd7.D:1 +AUTO_TS_F2F:FROM:ram/RefDone.Q:TO:ram/RS_FSM_FFd7.D:1 +AUTO_TS_F2F:FROM:BACTr.Q:TO:ram/RS_FSM_FFd7.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd8.Q:TO:ram/RS_FSM_FFd7.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd7.D:1 +AUTO_TS_F2F:FROM:RefUrg.Q:TO:ram/RS_FSM_FFd7.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd4.Q:TO:ram/RS_FSM_FFd7.D:1 +AUTO_TS_F2F:FROM:ram/RASEN.Q:TO:ram/RS_FSM_FFd7.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/RS_FSM_FFd7.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/RS_FSM_FFd7.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:ram/RS_FSM_FFd7.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:ram/RS_FSM_FFd7.D:1 AUTO_TS_F2F:FROM:iobs/TS_FSM_FFd1.Q:TO:IOL0.D:1 AUTO_TS_F2F:FROM:iobs/TS_FSM_FFd2.Q:TO:IOL0.D:1 AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:IOL0.D:1 @@ -604,9 +743,9 @@ AUTO_TS_F2F:FROM:iobs/IOL1.Q:TO:IOL0.D:1 AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:IOL0.D:1 AUTO_TS_F2F:FROM:iobs/Sent.Q:TO:IOL0.D:1 AUTO_TS_P2F:FROM:FCLK:TO:IOL0.D:1 +AUTO_TS_P2F:FROM:A_FSB<19>:TO:IOL0.D:1 AUTO_TS_P2F:FROM:A_FSB<23>:TO:IOL0.D:1 AUTO_TS_P2F:FROM:A_FSB<22>:TO:IOL0.D:1 -AUTO_TS_P2F:FROM:A_FSB<19>:TO:IOL0.D:1 AUTO_TS_P2F:FROM:A_FSB<18>:TO:IOL0.D:1 AUTO_TS_P2F:FROM:A_FSB<17>:TO:IOL0.D:1 AUTO_TS_P2F:FROM:A_FSB<16>:TO:IOL0.D:1 @@ -639,34 +778,16 @@ AUTO_TS_P2F:FROM:A_FSB<20>:TO:IOU0.D:1 AUTO_TS_P2F:FROM:nAS_FSB:TO:IOU0.D:1 AUTO_TS_P2F:FROM:nWE_FSB:TO:IOU0.D:1 AUTO_TS_P2F:FROM:nUDS_FSB:TO:IOU0.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:cnt/WS<1>.D:1 -AUTO_TS_F2F:FROM:cnt/WS<0>.Q:TO:cnt/WS<1>.D:1 -AUTO_TS_F2F:FROM:cnt/WS<1>.Q:TO:cnt/WS<1>.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:cnt/WS<1>.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:cnt/WS<1>.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:cnt/WS<2>.D:1 -AUTO_TS_F2F:FROM:cnt/WS<2>.Q:TO:cnt/WS<2>.D:1 -AUTO_TS_F2F:FROM:cnt/WS<0>.Q:TO:cnt/WS<2>.D:1 -AUTO_TS_F2F:FROM:cnt/WS<1>.Q:TO:cnt/WS<2>.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:cnt/WS<2>.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:cnt/WS<2>.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd6.Q:TO:ram/RS_FSM_FFd3.D:1 +AUTO_TS_F2F:FROM:BACTr.Q:TO:WS.D:1 +AUTO_TS_F2F:FROM:fsb/BACTr<1>.Q:TO:WS.D:1 +AUTO_TS_F2F:FROM:fsb/BACTr<2>.Q:TO:WS.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:WS.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:WS.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:WS.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:ram/RS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd7.Q:TO:ram/RS_FSM_FFd3.D:1 AUTO_TS_P2F:FROM:FCLK:TO:ram/RS_FSM_FFd3.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd5.Q:TO:ram/RS_FSM_FFd4.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd4.D:1 -AUTO_TS_F2F:FROM:RefUrg.Q:TO:ram/RS_FSM_FFd4.D:1 -AUTO_TS_F2F:FROM:ram/RefDone.Q:TO:ram/RS_FSM_FFd4.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:ram/RS_FSM_FFd4.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd7.Q:TO:ram/RS_FSM_FFd5.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:ram/RS_FSM_FFd5.D:1 -AUTO_TS_F2F:FROM:RefUrg.Q:TO:ram/RS_FSM_FFd6.D:1 -AUTO_TS_F2F:FROM:ram/RefDone.Q:TO:ram/RS_FSM_FFd6.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd5.Q:TO:ram/RS_FSM_FFd6.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd6.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd8.Q:TO:ram/RS_FSM_FFd6.D:1 -AUTO_TS_F2F:FROM:RefReq.Q:TO:ram/RS_FSM_FFd6.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:ram/RS_FSM_FFd6.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/RS_FSM_FFd6.D:1 AUTO_TS_F2F:FROM:iobs/TS_FSM_FFd2.Q:TO:IORDREQ.D:1 AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:IORDREQ.D:1 AUTO_TS_F2F:FROM:iobs/IORW1.Q:TO:IORDREQ.D:1 @@ -705,133 +826,90 @@ AUTO_TS_P2F:FROM:A_FSB<16>:TO:IOWRREQ.D:1 AUTO_TS_P2F:FROM:A_FSB<13>:TO:IOWRREQ.D:1 AUTO_TS_P2F:FROM:A_FSB<14>:TO:IOWRREQ.D:1 AUTO_TS_P2F:FROM:A_FSB<23>:TO:IOWRREQ.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:QoSReady.D:1 -AUTO_TS_F2F:FROM:cnt/WS<0>.Q:TO:QoSReady.D:1 -AUTO_TS_F2F:FROM:cnt/WS<1>.Q:TO:QoSReady.D:1 -AUTO_TS_F2F:FROM:cnt/WS<2>.Q:TO:QoSReady.D:1 -AUTO_TS_F2F:FROM:cnt/WS<3>.Q:TO:QoSReady.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:QoSReady.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:QoSReady.D:1 -AUTO_TS_F2F:FROM:QoSReady.Q:TO:QoSReady.D:1 -AUTO_TS_P2F:FROM:A_FSB<22>:TO:QoSReady.D:1 -AUTO_TS_P2F:FROM:A_FSB<17>:TO:QoSReady.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:QoSReady.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:QoSReady.D:1 -AUTO_TS_P2F:FROM:A_FSB<16>:TO:QoSReady.D:1 -AUTO_TS_P2F:FROM:A_FSB<13>:TO:QoSReady.D:1 -AUTO_TS_P2F:FROM:A_FSB<14>:TO:QoSReady.D:1 -AUTO_TS_P2F:FROM:A_FSB<10>:TO:QoSReady.D:1 -AUTO_TS_P2F:FROM:A_FSB<11>:TO:QoSReady.D:1 -AUTO_TS_P2F:FROM:A_FSB<8>:TO:QoSReady.D:1 -AUTO_TS_P2F:FROM:A_FSB<9>:TO:QoSReady.D:1 -AUTO_TS_P2F:FROM:A_FSB<21>:TO:QoSReady.D:1 -AUTO_TS_P2F:FROM:A_FSB<19>:TO:QoSReady.D:1 -AUTO_TS_P2F:FROM:A_FSB<18>:TO:QoSReady.D:1 -AUTO_TS_P2F:FROM:A_FSB<15>:TO:QoSReady.D:1 -AUTO_TS_P2F:FROM:A_FSB<12>:TO:QoSReady.D:1 -AUTO_TS_P2F:FROM:A_FSB<23>:TO:QoSReady.D:1 -AUTO_TS_P2F:FROM:nWE_FSB:TO:QoSReady.D:1 -AUTO_TS_P2F:FROM:A_FSB<20>:TO:QoSReady.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd8.Q:TO:RAMReady.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd4.Q:TO:RAMReady.D:1 -AUTO_TS_F2F:FROM:RAMReady.Q:TO:RAMReady.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:RAMReady.D:1 -AUTO_TS_F2F:FROM:RefUrg.Q:TO:RAMReady.D:1 -AUTO_TS_F2F:FROM:ram/RefDone.Q:TO:RAMReady.D:1 -AUTO_TS_F2F:FROM:RefReq.Q:TO:RAMReady.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:RAMReady.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:RAMReady.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:cnt/WS<3>.D:1 -AUTO_TS_F2F:FROM:cnt/WS<3>.Q:TO:cnt/WS<3>.D:1 -AUTO_TS_F2F:FROM:cnt/WS<0>.Q:TO:cnt/WS<3>.D:1 -AUTO_TS_F2F:FROM:cnt/WS<1>.Q:TO:cnt/WS<3>.D:1 -AUTO_TS_F2F:FROM:cnt/WS<2>.Q:TO:cnt/WS<3>.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:cnt/WS<3>.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:cnt/WS<3>.D:1 -AUTO_TS_F2F:FROM:iobs/TS_FSM_FFd1.Q:TO:iobs/Clear1.D:1 -AUTO_TS_F2F:FROM:iobs/TS_FSM_FFd2.Q:TO:iobs/Clear1.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:iobs/Clear1.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd2.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:ram/RS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:BACTr.Q:TO:fsb/BACTr<1>.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:fsb/BACTr<1>.D:1 AUTO_TS_F2F:FROM:iobs/TS_FSM_FFd2.Q:TO:ALE0S.D:1 AUTO_TS_P2F:FROM:FCLK:TO:ALE0S.D:1 -AUTO_TS_F2F:FROM:iobs/Clear1.Q:TO:IOPWReady.D:1 -AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:IOPWReady.D:1 -AUTO_TS_F2F:FROM:iobs/Load1.Q:TO:IOPWReady.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:IOPWReady.D:1 +AUTO_TS_F2F:FROM:RefReq.Q:TO:RAMReady.D:1 +AUTO_TS_F2F:FROM:ram/RefDone.Q:TO:RAMReady.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd1.Q:TO:RAMReady.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd4.Q:TO:RAMReady.D:1 +AUTO_TS_F2F:FROM:BACTr.Q:TO:RAMReady.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd5.Q:TO:RAMReady.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd6.Q:TO:RAMReady.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:RAMReady.D:1 +AUTO_TS_F2F:FROM:RefUrg.Q:TO:RAMReady.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd8.Q:TO:RAMReady.D:1 +AUTO_TS_F2F:FROM:ram/RASEN.Q:TO:RAMReady.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:RAMReady.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:RAMReady.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:RAMReady.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:RAMReady.D:1 +AUTO_TS_F2F:FROM:cnt/C8Mr<0>.Q:TO:cnt/C8Mr<1>.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/C8Mr<1>.D:1 AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/Er<1>.D:1 AUTO_TS_P2F:FROM:FCLK:TO:cnt/Er<1>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<9>.Q:TO:cnt/LTimerTC.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimerTC.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimerTC.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimerTC.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<10>.Q:TO:cnt/LTimerTC.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimerTC.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimerTC.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<5>.Q:TO:cnt/LTimerTC.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<6>.Q:TO:cnt/LTimerTC.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<7>.Q:TO:cnt/LTimerTC.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<8>.Q:TO:cnt/LTimerTC.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<9>.Q:TO:cnt/LTimerTC.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<10>.Q:TO:cnt/LTimerTC.D:1 AUTO_TS_F2F:FROM:cnt/LTimer<11>.Q:TO:cnt/LTimerTC.D:1 AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimerTC.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:cs/ODCSr.D:1 -AUTO_TS_P2F:FROM:A_FSB<23>:TO:cs/ODCSr.D:1 -AUTO_TS_P2F:FROM:A_FSB<22>:TO:cs/ODCSr.D:1 -AUTO_TS_P2F:FROM:A_FSB<21>:TO:cs/ODCSr.D:1 -AUTO_TS_P2F:FROM:A_FSB<20>:TO:cs/ODCSr.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:cs/ODCSr.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:cs/ODCSr.D:1 +AUTO_TS_F2F:FROM:fsb/BACTr<1>.Q:TO:fsb/BACTr<2>.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:fsb/BACTr<2>.D:1 +AUTO_TS_F2F:FROM:iobs/TS_FSM_FFd1.Q:TO:iobs/Clear1.D:1 +AUTO_TS_F2F:FROM:iobs/TS_FSM_FFd2.Q:TO:iobs/Clear1.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:iobs/Clear1.D:1 AUTO_TS_F2F:FROM:cnt/IS_FSM_FFd1.Q:TO:nRESout.D:1 AUTO_TS_F2F:FROM:cnt/IS_FSM_FFd2.Q:TO:nRESout.D:1 AUTO_TS_P2F:FROM:FCLK:TO:nRESout.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd7.Q:TO:ram/CAS.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd6.Q:TO:ram/CAS.D:1 -AUTO_TS_F2F:FROM:RefUrg.Q:TO:ram/CAS.D:1 -AUTO_TS_F2F:FROM:ram/RefDone.Q:TO:ram/CAS.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd5.Q:TO:ram/CAS.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/CAS.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd8.Q:TO:ram/CAS.D:1 -AUTO_TS_F2F:FROM:RefReq.Q:TO:ram/CAS.D:1 -AUTO_TS_F2F:FROM:ram/RAMEN.Q:TO:ram/CAS.D:1 -AUTO_TS_F2F:FROM:cs/nOverlay.Q:TO:ram/CAS.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:ram/CAS.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/CAS.D:1 -AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/CAS.D:1 -AUTO_TS_P2F:FROM:A_FSB<22>:TO:ram/CAS.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd7.Q:TO:ram/RASrf.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd6.Q:TO:ram/RASrf.D:1 AUTO_TS_P2F:FROM:FCLK:TO:ram/RASrf.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RASrr.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd6.Q:TO:ram/RASrr.D:1 -AUTO_TS_F2F:FROM:ram/RAMEN.Q:TO:ram/RASrr.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd7.Q:TO:ram/RASrr.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/RASrr.D:1 +AUTO_TS_F2F:FROM:RefUrg.Q:TO:ram/RASrr.D:1 +AUTO_TS_F2F:FROM:ram/RefDone.Q:TO:ram/RASrr.D:1 AUTO_TS_F2F:FROM:ram/RS_FSM_FFd8.Q:TO:ram/RASrr.D:1 AUTO_TS_F2F:FROM:cs/nOverlay.Q:TO:ram/RASrr.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/RASrr.D:1 +AUTO_TS_F2F:FROM:ram/RASEN.Q:TO:ram/RASrr.D:1 +AUTO_TS_F2F:FROM:RefReq.Q:TO:ram/RASrr.D:1 +AUTO_TS_F2F:FROM:BACTr.Q:TO:ram/RASrr.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd4.Q:TO:ram/RASrr.D:1 AUTO_TS_P2F:FROM:FCLK:TO:ram/RASrr.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/RASrr.D:1 AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/RASrr.D:1 AUTO_TS_P2F:FROM:A_FSB<22>:TO:ram/RASrr.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/RASrr.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd1.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:ram/RS_FSM_FFd1.D:1 -AUTO_TS_F2F:FROM:ram/CAS.Q:TO:nCAS_OBUF.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd1.Q:TO:nCAS_OBUF.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd2.Q:TO:nCAS_OBUF.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd3.Q:TO:nCAS_OBUF.D:1 +AUTO_TS_F2F:FROM:RefUrg.Q:TO:nCAS_OBUF.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd4.Q:TO:nCAS_OBUF.D:1 +AUTO_TS_F2F:FROM:ram/RefDone.Q:TO:nCAS_OBUF.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd7.Q:TO:nCAS_OBUF.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd8.Q:TO:nCAS_OBUF.D:1 +AUTO_TS_F2F:FROM:RefReq.Q:TO:nCAS_OBUF.D:1 +AUTO_TS_F2F:FROM:BACTr.Q:TO:nCAS_OBUF.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:nCAS_OBUF.D:1 +AUTO_TS_F2F:FROM:ram/RASEN.Q:TO:nCAS_OBUF.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd5.Q:TO:nCAS_OBUF.D:1 +AUTO_TS_F2F:FROM:ram/DTACKr.Q:TO:nCAS_OBUF.D:1 AUTO_TS_P2F:FROM:FCLK:TO:nCAS_OBUF.D:1 -AUTO_TS_F2F:FROM:IONPReady.Q:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_F2F:FROM:RAMReady.Q:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_F2F:FROM:IOPWReady.Q:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_F2F:FROM:QoSReady.Q:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_P2F:FROM:A_FSB<22>:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_P2F:FROM:A_FSB<23>:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_P2F:FROM:A_FSB<21>:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_P2F:FROM:A_FSB<20>:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_P2F:FROM:A_FSB<19>:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_P2F:FROM:A_FSB<18>:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_P2F:FROM:A_FSB<17>:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_P2F:FROM:A_FSB<16>:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_P2F:FROM:A_FSB<13>:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_P2F:FROM:nWE_FSB:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_P2F:FROM:A_FSB<14>:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:nCAS_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:nCAS_OBUF.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:nCAS_OBUF.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:nOE_OBUF.D:1 +AUTO_TS_F2F:FROM:BACTr.Q:TO:nOE_OBUF.D:1 +AUTO_TS_F2F:FROM:ram/DTACKr.Q:TO:nOE_OBUF.D:1 +AUTO_TS_P2F:FROM:nWE_FSB:TO:nOE_OBUF.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:nOE_OBUF.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:nOE_OBUF.D:1 AUTO_TS_F2F:FROM:iobs/Load1.Q:TO:nADoutLE1_OBUF.D:1 AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:nADoutLE1_OBUF.D:1 AUTO_TS_F2F:FROM:iobs/Clear1.Q:TO:nADoutLE1_OBUF.D:1 @@ -1030,6 +1108,7 @@ AUTO_TS_P2F:FROM:nUDS_FSB:TO:iobs/IOU1.D:1 AUTO_TS_P2F:FROM:nAS_FSB:TO:nVPA_FSB_OBUF.SETF:1 AUTO_TS_P2F:FROM:C16M:TO:C16M_IBUF/FCLK:1 AUTO_TS_P2F:FROM:C8M:TO:iobm/C8Mr.D:1 +AUTO_TS_P2F:FROM:C8M:TO:cnt/C8Mr<0>.D:1 AUTO_TS_P2F:FROM:E:TO:cnt/Er<0>.D:1 AUTO_TS_P2F:FROM:nIPL2:TO:cnt/nIPL2r.D:1 AUTO_TS_P2F:FROM:E:TO:iobm/Er.D:1 diff --git a/cpld/XC95144XL/WarpSE.vm6 b/cpld/XC95144XL/WarpSE.vm6 index 01289a3..a6fdd20 100644 --- a/cpld/XC95144XL/WarpSE.vm6 +++ b/cpld/XC95144XL/WarpSE.vm6 @@ -8,823 +8,475 @@ NETWORK | WarpSE | 0 | 0 | 16391 INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_23_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<23> | 10909 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<23> | 10277 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_22_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<22> | 10910 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<22> | 10278 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_21_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<21> | 10911 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<21> | 10279 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_20_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<20> | 10912 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<20> | 10280 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_19_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<19> | 10913 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<19> | 10281 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10067 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_18_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<18> | 10914 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<18> | 10282 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_17_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<17> | 10915 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<17> | 10283 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10069 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_16_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<16> | 10916 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<16> | 10284 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10070 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_15_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<15> | 10917 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<15> | 10285 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_15_IBUF | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 10071 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_13_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<13> | 10918 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<13> | 10286 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_12_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<12> | 10919 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_12_IBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | C8M_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 3 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | C8M | 10920 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | C8M | 10287 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | C8M_IBUF | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 10073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 3 | 5 | II_FCLK -NODE | C8M_IBUF/FCLK | 10708 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 3 | 5 | II_FCLK +NODE | C8M_IBUF/FCLK | 10074 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV -NODE | C8M_IBUF/FCLK- | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV MACROCELL_INSTANCE | PrldLow+Tff+PinTrst+OptxMapped | nVMA_IOBout | WarpSE_COPY_0_COPY_0 | 2155893760 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOBout | 10711 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM +NODE | nVMA_IOBout | 10077 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 10779 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 10150 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 10781 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 10152 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 10787 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 10156 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 10788 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 10157 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 10792 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +NODE | IOACT | 10163 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/VPAr | 10823 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPAr.Q | iobm/VPAr | 1 | 0 | MC_UIM +NODE | iobm/VPAr | 10195 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPAr.Q | iobm/VPAr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10235 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK | 10708 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 3 | 5 | II_FCLK +NODE | C8M_IBUF/FCLK | 10074 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nVMA_IOBout$Q | 10710 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 0 | 0 | MC_Q +NODE | nVMA_IOBout$Q | 10076 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nVMA_IOBout | 10711 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM +NODE | nVMA_IOBout | 10077 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | nVMA_IOBout$OE | 10712 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.BUFOE.OUT | nVMA_IOBout | 2 | 0 | MC_OE +NODE | nVMA_IOBout$OE | 10078 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.BUFOE.OUT | nVMA_IOBout | 2 | 0 | MC_OE SIGNAL_INSTANCE | nVMA_IOBout.SI | nVMA_IOBout | 0 | 8 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOBout | 10711 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM +NODE | nVMA_IOBout | 10077 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 10779 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 10150 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 10781 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 10152 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 10787 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 10156 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 10788 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 10157 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 10792 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +NODE | IOACT | 10163 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/VPAr | 10823 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPAr.Q | iobm/VPAr | 1 | 0 | MC_UIM +NODE | iobm/VPAr | 10195 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPAr.Q | iobm/VPAr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10235 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nVMA_IOBout.D1 | 10945 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nVMA_IOBout.D1 | 10313 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nVMA_IOBout.D2 | 10946 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nVMA_IOBout.D2 | 10314 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 2 | 9 | MC_SI_D2 SPPTERM | 5 | IV_FALSE | nVMA_IOBout | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<3> SPPTERM | 7 | IV_TRUE | nVMA_IOBout | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<2> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<3> | IV_TRUE | IOACT | IV_TRUE | iobm/VPAr OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | nVMA_IOBout.TRST | 10948 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nVMA_IOBout.TRST | 10316 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE SRFF_INSTANCE | nVMA_IOBout.REG | nVMA_IOBout | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nVMA_IOBout.D | 10944 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.XOR | 0 | 7 | ALU_F +NODE | nVMA_IOBout.D | 10312 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK | 10708 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 3 | 5 | II_FCLK +NODE | C8M_IBUF/FCLK | 10074 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nVMA_IOBout.Q | 10949 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.REG | 0 | 8 | SRFF_Q +NODE | nVMA_IOBout.Q | 10317 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | nVMA_IOBout.BUFOE | nVMA_IOBout | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | nVMA_IOBout.TRST | 10948 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nVMA_IOBout.TRST | 10316 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | nVMA_IOBout.BUFOE.OUT | 10947 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.BUFOE | 0 | 10 | BUF_OUT +NODE | nVMA_IOBout.BUFOE.OUT | 10315 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.BUFOE | 0 | 10 | BUF_OUT INPUT_INSTANCE | 0 | 0 | NULL | C16M_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 2 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | C16M | 10921 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | C16M | 10288 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 3 | 5 | II_FCLK -NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV -NODE | C16M_IBUF/FCLK- | 10714 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 10080 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV MACROCELL_INSTANCE | PrldLow+PinTrst+OptxMapped+Ce | nAS_IOBout | WarpSE_COPY_0_COPY_0 | 2424325120 | 10 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10777 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10148 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10778 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10149 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10780 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10151 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10782 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10783 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10154 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10776 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10147 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10806 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10821 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10193 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10235 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 10714 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 10080 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nAS_IOBout$Q | 10715 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 0 | 0 | MC_Q +NODE | nAS_IOBout$Q | 10081 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nAS_IOBout | 10716 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM +NODE | nAS_IOBout | 10082 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | nAS_IOBout$OE | 10717 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.BUFOE.OUT | nAS_IOBout | 2 | 0 | MC_OE +NODE | nAS_IOBout$OE | 10083 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.BUFOE.OUT | nAS_IOBout | 2 | 0 | MC_OE SIGNAL_INSTANCE | nAS_IOBout.SI | nAS_IOBout | 0 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10777 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10148 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10778 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10149 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10780 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10151 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10782 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10783 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10154 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10776 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10147 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10806 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10821 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10193 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10235 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nAS_IOBout.D1 | 10951 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nAS_IOBout.D1 | 10319 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nAS_IOBout.D2 | 10952 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nAS_IOBout.D2 | 10320 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 2 | 9 | MC_SI_D2 SPPTERM | 5 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 SPPTERM | 5 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/C8Mr | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_FALSE | iobm/IORDREQr | IV_FALSE | iobm/IOWRREQr OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | nAS_IOBout.TRST | 10954 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nAS_IOBout.TRST | 10322 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE SRFF_INSTANCE | nAS_IOBout.REG | nAS_IOBout | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nAS_IOBout.D | 10950 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.XOR | 0 | 7 | ALU_F +NODE | nAS_IOBout.D | 10318 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 10714 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 10080 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nAS_IOBout.Q | 10955 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.REG | 0 | 8 | SRFF_Q +NODE | nAS_IOBout.Q | 10323 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | nAS_IOBout.BUFOE | nAS_IOBout | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | nAS_IOBout.TRST | 10954 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nAS_IOBout.TRST | 10322 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | nAS_IOBout.BUFOE.OUT | 10953 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.BUFOE | 0 | 10 | BUF_OUT +NODE | nAS_IOBout.BUFOE.OUT | 10321 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.BUFOE | 0 | 10 | BUF_OUT MACROCELL_INSTANCE | Inv+PrldLow+PinTrst+OptxMapped+Ce | nLDS_IOBout | WarpSE_COPY_0_COPY_0 | 2424325376 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10782 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10148 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 10802 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 10173 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10780 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10777 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10151 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_IOBout | 10719 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 1 | 0 | MC_UIM +NODE | nLDS_IOBout | 10085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10783 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10154 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DoutOE.EXP | 11533 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.EXP | iobm/DoutOE | 4 | 0 | MC_EXPORT +NODE | iobm/DoutOE.EXP | 10918 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.EXP | iobm/DoutOE | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10235 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 10714 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 10080 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nLDS_IOBout$Q | 10718 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 0 | 0 | MC_Q +NODE | nLDS_IOBout$Q | 10084 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nLDS_IOBout | 10719 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 1 | 0 | MC_UIM +NODE | nLDS_IOBout | 10085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | nLDS_IOBout$OE | 10720 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.BUFOE.OUT | nLDS_IOBout | 2 | 0 | MC_OE +NODE | nLDS_IOBout$OE | 10086 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.BUFOE.OUT | nLDS_IOBout | 2 | 0 | MC_OE SIGNAL_INSTANCE | nLDS_IOBout.SI | nLDS_IOBout | 0 | 8 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10782 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10148 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 10802 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 10173 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10780 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10777 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10151 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_IOBout | 10719 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 1 | 0 | MC_UIM +NODE | nLDS_IOBout | 10085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10783 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10154 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DoutOE.EXP | 11533 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.EXP | iobm/DoutOE | 4 | 0 | MC_EXPORT +NODE | iobm/DoutOE.EXP | 10918 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.EXP | iobm/DoutOE | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10235 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nLDS_IOBout.D1 | 10957 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nLDS_IOBout.D1 | 10325 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nLDS_IOBout.D2 | 10958 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nLDS_IOBout.D2 | 10326 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/DoutOE.EXP SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | IOL0 SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd4 | IV_TRUE | IOL0 SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd5 | IV_TRUE | IOL0 SPPTERM | 3 | IV_FALSE | nLDS_IOBout | IV_TRUE | iobm/IOS_FSM_FFd6 | IV_TRUE | IOL0 OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | nLDS_IOBout.TRST | 10960 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nLDS_IOBout.TRST | 10328 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE SRFF_INSTANCE | nLDS_IOBout.REG | nLDS_IOBout | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nLDS_IOBout.D | 10956 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.XOR | 0 | 7 | ALU_F +NODE | nLDS_IOBout.D | 10324 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 10714 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 10080 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nLDS_IOBout.Q | 10961 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.REG | 0 | 8 | SRFF_Q +NODE | nLDS_IOBout.Q | 10329 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | nLDS_IOBout.BUFOE | nLDS_IOBout | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | nLDS_IOBout.TRST | 10960 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nLDS_IOBout.TRST | 10328 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | nLDS_IOBout.BUFOE.OUT | 10959 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.BUFOE | 0 | 10 | BUF_OUT +NODE | nLDS_IOBout.BUFOE.OUT | 10327 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.BUFOE | 0 | 10 | BUF_OUT MACROCELL_INSTANCE | Inv+PrldLow+PinTrst+OptxMapped+Ce | nUDS_IOBout | WarpSE_COPY_0_COPY_0 | 2424325376 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10777 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10148 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 10803 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | IOU0 | 10174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10782 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10780 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10151 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_IOBout | 10722 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 1 | 0 | MC_UIM +NODE | nUDS_IOBout | 10088 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10783 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10154 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE.EXP | 11534 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.EXP | IODONE | 4 | 0 | MC_EXPORT +NODE | IODONE.EXP | 10919 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.EXP | IODONE | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10235 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 10714 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 10080 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nUDS_IOBout$Q | 10721 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 0 | 0 | MC_Q +NODE | nUDS_IOBout$Q | 10087 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nUDS_IOBout | 10722 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 1 | 0 | MC_UIM +NODE | nUDS_IOBout | 10088 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | nUDS_IOBout$OE | 10723 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.BUFOE.OUT | nUDS_IOBout | 2 | 0 | MC_OE +NODE | nUDS_IOBout$OE | 10089 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.BUFOE.OUT | nUDS_IOBout | 2 | 0 | MC_OE SIGNAL_INSTANCE | nUDS_IOBout.SI | nUDS_IOBout | 0 | 8 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10777 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10148 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 10803 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | IOU0 | 10174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10782 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10780 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10151 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_IOBout | 10722 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 1 | 0 | MC_UIM +NODE | nUDS_IOBout | 10088 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10783 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10154 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE.EXP | 11534 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.EXP | IODONE | 4 | 0 | MC_EXPORT +NODE | IODONE.EXP | 10919 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.EXP | IODONE | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10235 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nUDS_IOBout.D1 | 10963 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nUDS_IOBout.D1 | 10331 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nUDS_IOBout.D2 | 10964 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nUDS_IOBout.D2 | 10332 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | IODONE.EXP SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | IOU0 SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd4 | IV_TRUE | IOU0 SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd5 | IV_TRUE | IOU0 SPPTERM | 3 | IV_FALSE | nUDS_IOBout | IV_TRUE | iobm/IOS_FSM_FFd6 | IV_TRUE | IOU0 OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | nUDS_IOBout.TRST | 10966 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nUDS_IOBout.TRST | 10334 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE SRFF_INSTANCE | nUDS_IOBout.REG | nUDS_IOBout | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nUDS_IOBout.D | 10962 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.XOR | 0 | 7 | ALU_F +NODE | nUDS_IOBout.D | 10330 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 10714 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 10080 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nUDS_IOBout.Q | 10967 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.REG | 0 | 8 | SRFF_Q +NODE | nUDS_IOBout.Q | 10335 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | nUDS_IOBout.BUFOE | nUDS_IOBout | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | nUDS_IOBout.TRST | 10966 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nUDS_IOBout.TRST | 10334 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | nUDS_IOBout.BUFOE.OUT | 10965 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.BUFOE | 0 | 10 | BUF_OUT +NODE | nUDS_IOBout.BUFOE.OUT | 10333 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.BUFOE | 0 | 10 | BUF_OUT INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_14_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<14> | 10922 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<14> | 10289 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10090 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_12_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<12> | 10290 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 10091 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_11_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<11> | 10923 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<11> | 10291 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_11_IBUF | 10725 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 10092 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_10_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<10> | 10924 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<10> | 10292 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_10_IBUF | 10726 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 10093 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | FCLK_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 2 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | FCLK | 10925 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | FCLK | 10293 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 3 | 5 | II_FCLK -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV -NODE | FCLK_IBUF/FCLK- | 10728 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV - -INPUT_INSTANCE | 0 | 0 | NULL | nWE_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nWE_FSB | 10928 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | FCLK_IBUF/FCLK- | 10095 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV INPUT_INSTANCE | 0 | 0 | NULL | nAS_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nAS_FSB | 10931 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nAS_FSB | 10299 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_8_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_INSTANCE | 0 | 0 | NULL | nWE_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<8> | 10936 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nWE_FSB | 10296 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_8_IBUF | 10826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_9_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<9> | 10935 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_9_IBUF | 10825 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/LTimer<0> | WarpSE_COPY_0_COPY_0 | 2155873280 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10907 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP12_.EXP | 11495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP15_.EXP | 11501 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/LTimer<0>.SI | cnt/LTimer<0> | 0 | 8 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10907 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP12_.EXP | 11495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP15_.EXP | 11501 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<0>.D1 | 10969 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<0>.D2 | 10970 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP12_.EXP -SPPTERM | 1 | IV_TRUE | EXP15_.EXP -SPPTERM | 2 | IV_TRUE | cnt/LTimer<0> | IV_FALSE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_FALSE | cnt/IS_FSM_FFd1 | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/IS_FSM_FFd2 | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM - -SRFF_INSTANCE | cnt/LTimer<0>.REG | cnt/LTimer<0> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<0>.D | 10968 | ? | 0 | 0 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<0>.Q | 10971 | ? | 0 | 0 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | cnt/LTimer<1> | WarpSE_COPY_0_COPY_0 | 2155873536 | 25 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10907 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10725 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10726 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10825 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP14_.EXP | 11499 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/LTimer<1>.EXP | 11500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.EXP | cnt/LTimer<1> | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | cnt/LTimer<1>.SI | cnt/LTimer<1> | 0 | 24 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10907 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10725 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10726 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10825 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP14_.EXP | 11499 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<1>.D1 | 10973 | ? | 0 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<1>.D2 | 10974 | ? | 0 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP14_.EXP -SPPTERM | 2 | IV_FALSE | cnt/LTimer<0> | IV_FALSE | cnt/LTimer<1> -SPPTERM | 2 | IV_FALSE | cnt/LTimer<1> | IV_FALSE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -SPPTERM | 3 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/LTimer<1>.EXP | 11493 | ? | 0 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM - -SRFF_INSTANCE | cnt/LTimer<1>.REG | cnt/LTimer<1> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<1>.D | 10972 | ? | 0 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<1>.Q | 10975 | ? | 0 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | cnt/Timer<0> | WarpSE_COPY_0_COPY_0 | 2155877632 | 5 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 10731 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/Timer<0> | 10731 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/Timer<0>.SI | cnt/Timer<0> | 0 | 4 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 10731 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/Timer<0>.D1 | 10977 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/Timer<0>.D2 | 10978 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_FALSE | cnt/Timer<0> | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/Timer<0>.CE | 10979 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> - -SRFF_INSTANCE | cnt/Timer<0>.REG | cnt/Timer<0> | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/Timer<0>.D | 10976 | ? | 0 | 0 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/Timer<0>.CE | 10979 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/Timer<0>.Q | 10980 | ? | 0 | 0 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | cnt/Timer<1> | WarpSE_COPY_0_COPY_0 | 2155873536 | 6 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 10731 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 10732 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/Timer<1> | 10732 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/Timer<1>.SI | cnt/Timer<1> | 0 | 5 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 10731 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 10732 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/Timer<1>.D1 | 10982 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/Timer<1>.D2 | 10983 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> -SPPTERM | 2 | IV_FALSE | cnt/Timer<0> | IV_FALSE | cnt/Timer<1> -SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/Timer<1>.CE | 10984 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> - -SRFF_INSTANCE | cnt/Timer<1>.REG | cnt/Timer<1> | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/Timer<1>.D | 10981 | ? | 0 | 0 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/Timer<1>.CE | 10984 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/Timer<1>.Q | 10985 | ? | 0 | 0 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | cnt/Timer<2> | WarpSE_COPY_0_COPY_0 | 2155873536 | 7 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 10731 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 10733 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 10732 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/Timer<2> | 10733 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/Timer<2>.SI | cnt/Timer<2> | 0 | 6 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 10731 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 10733 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 10732 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/Timer<2>.D1 | 10987 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/Timer<2>.D2 | 10988 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | cnt/Timer<0> | IV_FALSE | cnt/Timer<2> -SPPTERM | 2 | IV_FALSE | cnt/Timer<1> | IV_FALSE | cnt/Timer<2> -SPPTERM | 3 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> | IV_TRUE | cnt/Timer<2> -SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/Timer<2>.CE | 10989 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> - -SRFF_INSTANCE | cnt/Timer<2>.REG | cnt/Timer<2> | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/Timer<2>.D | 10986 | ? | 0 | 0 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/Timer<2>.CE | 10989 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/Timer<2>.Q | 10990 | ? | 0 | 0 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.REG | 0 | 8 | SRFF_Q +NODE | nWE_FSB_IBUF | 10125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/Sent | WarpSE_COPY_0_COPY_0 | 2155877376 | 13 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10145 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10146 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORDREQ.EXP | 11485 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.EXP | IORDREQ | 4 | 0 | MC_EXPORT +NODE | EXP20_.EXP | 10928 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nROMWE_OBUF.EXP | 11486 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT +NODE | nRESout.EXP | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.EXP | nRESout | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/Sent.SI | iobs/Sent | 0 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10145 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10146 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORDREQ.EXP | 11485 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.EXP | IORDREQ | 4 | 0 | MC_EXPORT +NODE | EXP20_.EXP | 10928 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nROMWE_OBUF.EXP | 11486 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT +NODE | nRESout.EXP | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.EXP | nRESout | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/Sent.D1 | 10992 | ? | 0 | 4096 | iobs/Sent | NULL | NULL | iobs/Sent.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/Sent.D1 | 10337 | ? | 0 | 4096 | iobs/Sent | NULL | NULL | iobs/Sent.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/Sent.D2 | 10993 | ? | 0 | 4096 | iobs/Sent | NULL | NULL | iobs/Sent.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | IORDREQ.EXP -SPPTERM | 1 | IV_TRUE | nROMWE_OBUF.EXP +SIGNAL | NODE | iobs/Sent.D2 | 10338 | ? | 0 | 4096 | iobs/Sent | NULL | NULL | iobs/Sent.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP20_.EXP +SPPTERM | 1 | IV_TRUE | nRESout.EXP SPPTERM | 3 | IV_TRUE | iobs/Sent | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 @@ -833,2655 +485,3152 @@ SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iob SRFF_INSTANCE | iobs/Sent.REG | iobs/Sent | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/Sent.D | 10991 | ? | 0 | 0 | iobs/Sent | NULL | NULL | iobs/Sent.XOR | 0 | 7 | ALU_F +NODE | iobs/Sent.D | 10336 | ? | 0 | 0 | iobs/Sent | NULL | NULL | iobs/Sent.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/Sent.Q | 10994 | ? | 0 | 0 | iobs/Sent | NULL | NULL | iobs/Sent.REG | 0 | 8 | SRFF_Q +NODE | iobs/Sent.Q | 10339 | ? | 0 | 0 | iobs/Sent | NULL | NULL | iobs/Sent.REG | 0 | 8 | SRFF_Q + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_9_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<9> | 10303 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 10196 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_8_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<8> | 10304 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 10197 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/QS<0> | WarpSE_COPY_0_COPY_0 | 2155873280 | 25 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/QS<0> | 10097 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/QS<0>.Q | cnt/QS<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 10124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/QS<1> | 10098 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/QS<1>.Q | cnt/QS<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10067 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10069 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10070 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 10071 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10090 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 10091 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 10092 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 10093 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 10196 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | QoSReady.EXP | 10858 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | QoSReady.EXP | QoSReady | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nROMWE_OBUF.EXP | 10859 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/QS<0> | 10097 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/QS<0>.Q | cnt/QS<0> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/QS<0>.SI | cnt/QS<0> | 0 | 24 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/QS<0> | 10097 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/QS<0>.Q | cnt/QS<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 10124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/QS<1> | 10098 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/QS<1>.Q | cnt/QS<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10067 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10069 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10070 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 10071 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10090 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 10091 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 10092 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 10093 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 10196 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | QoSReady.EXP | 10858 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | QoSReady.EXP | QoSReady | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nROMWE_OBUF.EXP | 10859 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/QS<0>.D1 | 10341 | ? | 0 | 4096 | cnt/QS<0> | NULL | NULL | cnt/QS<0>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/QS<0>.D2 | 10342 | ? | 0 | 4096 | cnt/QS<0> | NULL | NULL | cnt/QS<0>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | QoSReady.EXP +SPPTERM | 1 | IV_TRUE | nROMWE_OBUF.EXP +SPPTERM | 2 | IV_TRUE | cnt/QS<0> | IV_FALSE | cnt/TimerTC +SPPTERM | 2 | IV_TRUE | cnt/QS<0> | IV_TRUE | cnt/Er<0> +SPPTERM | 2 | IV_TRUE | cnt/QS<0> | IV_FALSE | cnt/Er<1> +SPPTERM | 5 | IV_FALSE | cnt/QS<0> | IV_TRUE | cnt/QS<1> | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +SPPTERM | 17 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | A_FSB_9_IBUF + +SRFF_INSTANCE | cnt/QS<0>.REG | cnt/QS<0> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/QS<0>.D | 10340 | ? | 0 | 0 | cnt/QS<0> | NULL | NULL | cnt/QS<0>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/QS<0>.Q | 10343 | ? | 0 | 0 | cnt/QS<0> | NULL | NULL | cnt/QS<0>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | cnt/QS<1> | WarpSE_COPY_0_COPY_0 | 2155873536 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/QS<0> | 10097 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/QS<0>.Q | cnt/QS<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/QS<1> | 10098 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/QS<1>.Q | cnt/QS<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 10124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0>.EXP | 10854 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.EXP | cnt/LTimer<0> | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf.EXP | 10860 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.EXP | fsb/ASrf | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/QS<1> | 10098 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/QS<1>.Q | cnt/QS<1> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/QS<1>.SI | cnt/QS<1> | 0 | 7 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/QS<0> | 10097 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/QS<0>.Q | cnt/QS<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/QS<1> | 10098 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/QS<1>.Q | cnt/QS<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 10124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0>.EXP | 10854 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.EXP | cnt/LTimer<0> | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf.EXP | 10860 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.EXP | fsb/ASrf | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/QS<1>.D1 | 10345 | ? | 0 | 4096 | cnt/QS<1> | NULL | NULL | cnt/QS<1>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/QS<1>.D2 | 10346 | ? | 0 | 4096 | cnt/QS<1> | NULL | NULL | cnt/QS<1>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cnt/LTimer<0>.EXP +SPPTERM | 1 | IV_TRUE | fsb/ASrf.EXP +SPPTERM | 2 | IV_FALSE | cnt/QS<0> | IV_FALSE | cnt/QS<1> +SPPTERM | 2 | IV_FALSE | cnt/QS<1> | IV_FALSE | cnt/TimerTC +SPPTERM | 2 | IV_FALSE | cnt/QS<1> | IV_TRUE | cnt/Er<0> +SPPTERM | 2 | IV_FALSE | cnt/QS<1> | IV_FALSE | cnt/Er<1> +SPPTERM | 5 | IV_TRUE | cnt/QS<0> | IV_TRUE | cnt/QS<1> | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/QS<1>.REG | cnt/QS<1> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/QS<1>.D | 10344 | ? | 0 | 0 | cnt/QS<1> | NULL | NULL | cnt/QS<1>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/QS<1>.Q | 10347 | ? | 0 | 0 | cnt/QS<1> | NULL | NULL | cnt/QS<1>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | cnt/Timer<0> | WarpSE_COPY_0_COPY_0 | 2155877632 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<0> | 10099 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 10124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 10099 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/Timer<0>.SI | cnt/Timer<0> | 0 | 4 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<0> | 10099 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 10124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Timer<0>.D1 | 10349 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Timer<0>.D2 | 10350 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_FALSE | cnt/Timer<0> | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<0>.CE | 10351 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/Timer<0>.REG | cnt/Timer<0> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/Timer<0>.D | 10348 | ? | 0 | 0 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/Timer<0>.CE | 10351 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/Timer<0>.Q | 10352 | ? | 0 | 0 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | cnt/Timer<1> | WarpSE_COPY_0_COPY_0 | 2155873536 | 6 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<0> | 10099 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<1> | 10100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 10124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 10100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/Timer<1>.SI | cnt/Timer<1> | 0 | 5 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<0> | 10099 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<1> | 10100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 10124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Timer<1>.D1 | 10354 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Timer<1>.D2 | 10355 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> +SPPTERM | 2 | IV_FALSE | cnt/Timer<0> | IV_FALSE | cnt/Timer<1> +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<1>.CE | 10356 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/Timer<1>.REG | cnt/Timer<1> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/Timer<1>.D | 10353 | ? | 0 | 0 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/Timer<1>.CE | 10356 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/Timer<1>.Q | 10357 | ? | 0 | 0 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | cnt/Timer<2> | WarpSE_COPY_0_COPY_0 | 2155873536 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<0> | 10099 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<2> | 10101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<1> | 10100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 10124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 10101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/Timer<2>.SI | cnt/Timer<2> | 0 | 6 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<0> | 10099 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<2> | 10101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<1> | 10100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 10124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Timer<2>.D1 | 10359 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Timer<2>.D2 | 10360 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | cnt/Timer<0> | IV_FALSE | cnt/Timer<2> +SPPTERM | 2 | IV_FALSE | cnt/Timer<1> | IV_FALSE | cnt/Timer<2> +SPPTERM | 3 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> | IV_TRUE | cnt/Timer<2> +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<2>.CE | 10361 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/Timer<2>.REG | cnt/Timer<2> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/Timer<2>.D | 10358 | ? | 0 | 0 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/Timer<2>.CE | 10361 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/Timer<2>.Q | 10362 | ? | 0 | 0 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | nBERR_IOB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nBERR_IOB | 10926 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nBERR_IOB | 10294 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nBERR_IOB_IBUF | 10735 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 10102 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | IOBERR | WarpSE_COPY_0_COPY_0 | 2424308736 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 10735 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 10102 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_IOBout | 10716 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM +NODE | nAS_IOBout | 10082 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOBERR | 10736 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 10103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM SIGNAL_INSTANCE | IOBERR.SI | IOBERR | 0 | 2 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 10735 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 10102 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_IOBout | 10716 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM +NODE | nAS_IOBout | 10082 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOBERR.D1 | 10996 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOBERR.D1 | 10364 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOBERR.D2 | 10997 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOBERR.D2 | 10365 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nBERR_IOB_IBUF OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | IOBERR.RSTF | 10998 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | IOBERR.RSTF | 10366 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_TRUE | nAS_IOBout SRFF_INSTANCE | IOBERR.REG | IOBERR | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOBERR.D | 10995 | ? | 0 | 0 | IOBERR | NULL | NULL | IOBERR.XOR | 0 | 7 | ALU_F +NODE | IOBERR.D | 10363 | ? | 0 | 0 | IOBERR | NULL | NULL | IOBERR.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | IOBERR.RSTF | 10998 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | IOBERR.RSTF | 10366 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_TRUE | nAS_IOBout OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOBERR.Q | 10999 | ? | 0 | 0 | IOBERR | NULL | NULL | IOBERR.REG | 0 | 8 | SRFF_Q +NODE | IOBERR.Q | 10367 | ? | 0 | 0 | IOBERR | NULL | NULL | IOBERR.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | N01 | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nRES | 10897 | PIPO | 0 | 64 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES | 0 | 6 | OI_OUT +NODE | nRES | 10267 | PIPO | 0 | 64 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES | 0 | 6 | OI_OUT OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | N01 | 10737 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX +NODE | N01 | 10104 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | nDTACK_IOB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nDTACK_IOB | 10927 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nDTACK_IOB | 10295 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nDTACK_IOB_IBUF | 10738 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nDTACK_IOB_IBUF | 10105 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | IODONE | WarpSE_COPY_0_COPY_0 | 2424308736 | 13 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N01 | 10737 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX +NODE | N01 | 10104 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_IOB_IBUF | 10738 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nDTACK_IOB_IBUF | 10105 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOBout | 10711 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM +NODE | nVMA_IOBout | 10077 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 10779 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 10150 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 10781 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 10152 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 10787 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 10156 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 10788 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 10157 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_IOBout | 10716 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM +NODE | nAS_IOBout | 10082 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10776 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10147 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10778 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10149 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 10803 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | IOU0 | 10174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10806 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IODONE | 10739 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +NODE | IODONE | 10106 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | IODONE.EXP | 11534 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.EXP | IODONE | 4 | 0 | MC_EXPORT +NODE | IODONE.EXP | 10919 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.EXP | IODONE | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | IODONE.SI | IODONE | 0 | 12 | 4 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N01 | 10737 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX +NODE | N01 | 10104 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_IOB_IBUF | 10738 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nDTACK_IOB_IBUF | 10105 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOBout | 10711 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM +NODE | nVMA_IOBout | 10077 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 10779 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 10150 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 10781 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 10152 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 10787 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 10156 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 10788 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 10157 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_IOBout | 10716 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM +NODE | nAS_IOBout | 10082 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10776 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10147 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10778 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10149 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 10803 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | IOU0 | 10174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10806 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IODONE.D1 | 11001 | ? | 0 | 4096 | IODONE | NULL | NULL | IODONE.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IODONE.D1 | 10369 | ? | 0 | 4096 | IODONE | NULL | NULL | IODONE.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IODONE.D2 | 11002 | ? | 0 | 4096 | IODONE | NULL | NULL | IODONE.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IODONE.D2 | 10370 | ? | 0 | 4096 | IODONE | NULL | NULL | IODONE.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | N01 SPPTERM | 1 | IV_FALSE | nDTACK_IOB_IBUF SPPTERM | 5 | IV_FALSE | nVMA_IOBout | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<1> | IV_TRUE | iobm/ES<3> OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | IODONE.RSTF | 11003 | ? | 0 | 4096 | IODONE | NULL | NULL | IODONE.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | IODONE.RSTF | 10371 | ? | 0 | 4096 | IODONE | NULL | NULL | IODONE.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_TRUE | nAS_IOBout OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | IODONE.EXP | 11531 | ? | 0 | 0 | IODONE | NULL | NULL | IODONE.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | IODONE.EXP | 10916 | ? | 0 | 0 | IODONE | NULL | NULL | IODONE.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/C8Mr | IV_TRUE | IOU0 | IV_TRUE | iobm/IORDREQr SRFF_INSTANCE | IODONE.REG | IODONE | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IODONE.D | 11000 | ? | 0 | 0 | IODONE | NULL | NULL | IODONE.XOR | 0 | 7 | ALU_F +NODE | IODONE.D | 10368 | ? | 0 | 0 | IODONE | NULL | NULL | IODONE.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | IODONE.RSTF | 11003 | ? | 0 | 4096 | IODONE | NULL | NULL | IODONE.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | IODONE.RSTF | 10371 | ? | 0 | 4096 | IODONE | NULL | NULL | IODONE.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_TRUE | nAS_IOBout OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IODONE.Q | 11004 | ? | 0 | 0 | IODONE | NULL | NULL | IODONE.REG | 0 | 8 | SRFF_Q +NODE | IODONE.Q | 10372 | ? | 0 | 0 | IODONE | NULL | NULL | IODONE.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | RefUrg | WarpSE_COPY_0_COPY_0 | 2155873280 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 10732 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 10100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 10733 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 10101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<3> | 10750 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM +NODE | cnt/Timer<3> | 10109 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM SIGNAL_INSTANCE | RefUrg.SI | RefUrg | 0 | 5 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 10732 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 10100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 10733 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 10101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<3> | 10750 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM +NODE | cnt/Timer<3> | 10109 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RefUrg.D1 | 11006 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RefUrg.D1 | 10374 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RefUrg.D2 | 11007 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RefUrg.D2 | 10375 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_FALSE | cnt/Timer<1> | IV_FALSE | cnt/Timer<2> | IV_TRUE | cnt/Timer<3> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | RefUrg.CE | 11008 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | RefUrg.CE | 10376 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | RefUrg.REG | RefUrg | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RefUrg.D | 11005 | ? | 0 | 0 | RefUrg | NULL | NULL | RefUrg.XOR | 0 | 7 | ALU_F +NODE | RefUrg.D | 10373 | ? | 0 | 0 | RefUrg | NULL | NULL | RefUrg.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | RefUrg.CE | 11008 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | RefUrg.CE | 10376 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RefUrg.Q | 11009 | ? | 0 | 0 | RefUrg | NULL | NULL | RefUrg.REG | 0 | 8 | SRFF_Q +NODE | RefUrg.Q | 10377 | ? | 0 | 0 | RefUrg | NULL | NULL | RefUrg.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<10> | WarpSE_COPY_0_COPY_0 | 2155877376 | 36 | 2 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<0> | WarpSE_COPY_0_COPY_0 | 2155877376 | 22 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 10741 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 10065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | A_FSB_19_IBUF | 10067 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | A_FSB_18_IBUF | 10068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10747 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | A_FSB_17_IBUF | 10069 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10748 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | A_FSB_16_IBUF | 10070 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 10749 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | A_FSB_15_IBUF | 10071 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | A_FSB_13_IBUF | 10072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | A_FSB_14_IBUF | 10090 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | A_FSB_12_IBUF | 10091 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | A_FSB_11_IBUF | 10092 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 10093 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 10196 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10725 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10726 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10825 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 10197 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<10> | 10741 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10108 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/LTimer<10>.EXP | 11541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.EXP | cnt/LTimer<10> | 4 | 0 | MC_EXPORT +NODE | cnt/LTimer<0>.EXP | 10854 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.EXP | cnt/LTimer<0> | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | cnt/LTimer<10>.SI | cnt/LTimer<10> | 0 | 35 | 3 +SIGNAL_INSTANCE | cnt/LTimer<0>.SI | cnt/LTimer<0> | 0 | 21 | 4 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 10741 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 10065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | A_FSB_19_IBUF | 10067 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | A_FSB_18_IBUF | 10068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | A_FSB_17_IBUF | 10069 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10747 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | A_FSB_16_IBUF | 10070 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10748 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | A_FSB_15_IBUF | 10071 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 10749 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | A_FSB_13_IBUF | 10072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | A_FSB_14_IBUF | 10090 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | A_FSB_12_IBUF | 10091 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | A_FSB_11_IBUF | 10092 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 10093 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 10196 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10725 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10726 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10825 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 10197 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<10>.D1 | 11011 | ? | 0 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<0>.D1 | 10379 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<10>.D2 | 11012 | ? | 0 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 -SPPTERM | 14 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -SPPTERM | 14 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +SIGNAL | NODE | cnt/LTimer<0>.D2 | 10380 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 0 | IV_DC OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/LTimer<10>.EXP | 11538 | ? | 0 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 19 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | fsb/ASrf -SPPTERM | 19 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF +SIGNAL | NODE | cnt/LTimer<0>.EXP | 10847 | ? | 0 | 0 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 17 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | fsb/ASrf +SPPTERM | 17 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | fsb/ASrf +SPPTERM | 17 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | fsb/ASrf +SPPTERM | 17 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | fsb/ASrf +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<0>.CE | 10381 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -SRFF_INSTANCE | cnt/LTimer<10>.REG | cnt/LTimer<10> | 0 | 2 | 1 +SRFF_INSTANCE | cnt/LTimer<0>.REG | cnt/LTimer<0> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<10>.D | 11010 | ? | 0 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<0>.D | 10378 | ? | 0 | 0 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/LTimer<0>.CE | 10381 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<10>.Q | 11013 | ? | 0 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<2> | WarpSE_COPY_0_COPY_0 | 2155877376 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/LTimer<2>.SI | cnt/LTimer<2> | 0 | 8 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<2>.D1 | 11015 | ? | 0 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<2>.D2 | 11016 | ? | 0 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 -SPPTERM | 6 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -SPPTERM | 6 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> - -SRFF_INSTANCE | cnt/LTimer<2>.REG | cnt/LTimer<2> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<2>.D | 11014 | ? | 0 | 0 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<2>.Q | 11017 | ? | 0 | 0 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<3> | WarpSE_COPY_0_COPY_0 | 2155877376 | 10 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/LTimer<3>.SI | cnt/LTimer<3> | 0 | 9 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<3>.D1 | 11019 | ? | 0 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<3>.D2 | 11020 | ? | 0 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 -SPPTERM | 7 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -SPPTERM | 7 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> - -SRFF_INSTANCE | cnt/LTimer<3>.REG | cnt/LTimer<3> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<3>.D | 11018 | ? | 0 | 0 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<3>.Q | 11021 | ? | 0 | 0 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<4> | WarpSE_COPY_0_COPY_0 | 2155877376 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/LTimer<4>.SI | cnt/LTimer<4> | 0 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<4>.D1 | 11023 | ? | 0 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<4>.D2 | 11024 | ? | 0 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 -SPPTERM | 8 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -SPPTERM | 8 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> - -SRFF_INSTANCE | cnt/LTimer<4>.REG | cnt/LTimer<4> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<4>.D | 11022 | ? | 0 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<4>.Q | 11025 | ? | 0 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<5> | WarpSE_COPY_0_COPY_0 | 2155877376 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/LTimer<5>.SI | cnt/LTimer<5> | 0 | 11 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<5>.D1 | 11027 | ? | 0 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<5>.D2 | 11028 | ? | 0 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 -SPPTERM | 9 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -SPPTERM | 9 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> - -SRFF_INSTANCE | cnt/LTimer<5>.REG | cnt/LTimer<5> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<5>.D | 11026 | ? | 0 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<5>.Q | 11029 | ? | 0 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<6> | WarpSE_COPY_0_COPY_0 | 2155877376 | 13 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<6> | 10746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/LTimer<6>.SI | cnt/LTimer<6> | 0 | 12 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<6>.D1 | 11031 | ? | 0 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<6>.D2 | 11032 | ? | 0 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 -SPPTERM | 10 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -SPPTERM | 10 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> - -SRFF_INSTANCE | cnt/LTimer<6>.REG | cnt/LTimer<6> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<6>.D | 11030 | ? | 0 | 0 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<6>.Q | 11033 | ? | 0 | 0 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<7> | WarpSE_COPY_0_COPY_0 | 2155877376 | 14 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10747 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<7> | 10747 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/LTimer<7>.SI | cnt/LTimer<7> | 0 | 13 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10747 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<7>.D1 | 11035 | ? | 0 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<7>.D2 | 11036 | ? | 0 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 -SPPTERM | 11 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -SPPTERM | 11 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> - -SRFF_INSTANCE | cnt/LTimer<7>.REG | cnt/LTimer<7> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<7>.D | 11034 | ? | 0 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<7>.Q | 11037 | ? | 0 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<8> | WarpSE_COPY_0_COPY_0 | 2155877376 | 15 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10748 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10747 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<8> | 10748 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/LTimer<8>.SI | cnt/LTimer<8> | 0 | 14 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10748 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10747 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<8>.D1 | 11039 | ? | 0 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<8>.D2 | 11040 | ? | 0 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 -SPPTERM | 12 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -SPPTERM | 12 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> - -SRFF_INSTANCE | cnt/LTimer<8>.REG | cnt/LTimer<8> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<8>.D | 11038 | ? | 0 | 0 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<8>.Q | 11041 | ? | 0 | 0 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<9> | WarpSE_COPY_0_COPY_0 | 2155877376 | 35 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 10749 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10747 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10748 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10725 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10726 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10825 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_1_OBUF.EXP | 11540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.EXP | RA_1_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<9> | 10749 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/LTimer<9>.EXP | 11539 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.EXP | cnt/LTimer<9> | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | cnt/LTimer<9>.SI | cnt/LTimer<9> | 0 | 34 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 10749 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10747 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10748 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10725 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10726 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10825 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_1_OBUF.EXP | 11540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.EXP | RA_1_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<9>.D1 | 11043 | ? | 0 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<9>.D2 | 11044 | ? | 0 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | RA_1_OBUF.EXP -SPPTERM | 3 | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 -SPPTERM | 13 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/LTimer<9>.EXP | 11536 | ? | 0 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 19 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | fsb/ASrf -SPPTERM | 19 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | fsb/ASrf -SPPTERM | 19 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | cnt/LTimer<9>.REG | cnt/LTimer<9> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<9>.D | 11042 | ? | 0 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<9>.Q | 11045 | ? | 0 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<0>.Q | 10382 | ? | 0 | 0 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/Timer<3> | WarpSE_COPY_0_COPY_0 | 2155877376 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 10731 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 10099 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 10732 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 10100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 10733 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 10101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<3> | 10750 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM +NODE | cnt/Timer<3> | 10109 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/Timer<3> | 10750 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM +NODE | cnt/Timer<3> | 10109 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/Timer<3>.SI | cnt/Timer<3> | 0 | 7 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 10731 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 10099 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 10732 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 10100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 10733 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 10101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<3> | 10750 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM +NODE | cnt/Timer<3> | 10109 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/Timer<3>.D1 | 11047 | ? | 0 | 4096 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Timer<3>.D1 | 10384 | ? | 0 | 4096 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/Timer<3>.D2 | 11048 | ? | 0 | 4096 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Timer<3>.D2 | 10385 | ? | 0 | 4096 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 4 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> | IV_TRUE | cnt/Timer<2> | IV_FALSE | cnt/TimerTC SPPTERM | 4 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> | IV_TRUE | cnt/Timer<2> | IV_TRUE | cnt/Er<0> SPPTERM | 4 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> | IV_TRUE | cnt/Timer<2> | IV_FALSE | cnt/Er<1> SPPTERM | 4 | IV_TRUE | cnt/Timer<3> | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/Timer<3>.CE | 11049 | ? | 0 | 4096 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<3>.CE | 10386 | ? | 0 | 4096 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/Timer<3>.REG | cnt/Timer<3> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/Timer<3>.D | 11046 | ? | 0 | 0 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.XOR | 0 | 7 | ALU_F +NODE | cnt/Timer<3>.D | 10383 | ? | 0 | 0 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/Timer<3>.CE | 11049 | ? | 0 | 4096 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<3>.CE | 10386 | ? | 0 | 4096 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/Timer<3>.Q | 11050 | ? | 0 | 0 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.REG | 0 | 8 | SRFF_Q +NODE | cnt/Timer<3>.Q | 10387 | ? | 0 | 0 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cs/nOverlay | WarpSE_COPY_0_COPY_0 | 2155877376 | 19 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N01 | 10104 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 10110 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10067 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10069 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10070 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 10096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 10126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 10145 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10110 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | cs/nOverlay.EXP | 10874 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.EXP | cs/nOverlay | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | cs/nOverlay.SI | cs/nOverlay | 0 | 18 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N01 | 10104 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 10110 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10067 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10069 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10070 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 10096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 10126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 10145 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cs/nOverlay.D1 | 10389 | ? | 0 | 4096 | cs/nOverlay | NULL | NULL | cs/nOverlay.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cs/nOverlay.D2 | 10390 | ? | 0 | 4096 | cs/nOverlay | NULL | NULL | cs/nOverlay.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_FALSE | N01 | IV_TRUE | cs/nOverlay | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay | IV_TRUE | fsb/ASrf +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | cs/nOverlay.EXP | 10861 | ? | 0 | 0 | cs/nOverlay | NULL | NULL | cs/nOverlay.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 + +SRFF_INSTANCE | cs/nOverlay.REG | cs/nOverlay | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cs/nOverlay.D | 10388 | ? | 0 | 0 | cs/nOverlay | NULL | NULL | cs/nOverlay.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cs/nOverlay.Q | 10391 | ? | 0 | 0 | cs/nOverlay | NULL | NULL | cs/nOverlay.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | RefReq | WarpSE_COPY_0_COPY_0 | 2155873536 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 10731 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 10099 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 10732 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 10100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 10733 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 10101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<3> | 10750 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM +NODE | cnt/Timer<3> | 10109 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | RefReq | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | RefReq | 10111 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM SIGNAL_INSTANCE | RefReq.SI | RefReq | 0 | 6 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 10731 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 10099 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 10732 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 10100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 10733 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 10101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<3> | 10750 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM +NODE | cnt/Timer<3> | 10109 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RefReq.D1 | 11052 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RefReq.D1 | 10393 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RefReq.D2 | 11053 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RefReq.D2 | 10394 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 2 | 9 | MC_SI_D2 SPPTERM | 4 | IV_FALSE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> | IV_FALSE | cnt/Timer<2> | IV_TRUE | cnt/Timer<3> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | RefReq.CE | 11054 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | RefReq.CE | 10395 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | RefReq.REG | RefReq | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RefReq.D | 11051 | ? | 0 | 0 | RefReq | NULL | NULL | RefReq.XOR | 0 | 7 | ALU_F +NODE | RefReq.D | 10392 | ? | 0 | 0 | RefReq | NULL | NULL | RefReq.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | RefReq.CE | 11054 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | RefReq.CE | 10395 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RefReq.Q | 11055 | ? | 0 | 0 | RefReq | NULL | NULL | RefReq.REG | 0 | 8 | SRFF_Q +NODE | RefReq.Q | 10396 | ? | 0 | 0 | RefReq | NULL | NULL | RefReq.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<11> | WarpSE_COPY_0_COPY_0 | 2155877376 | 18 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<10> | WarpSE_COPY_0_COPY_0 | 2155877376 | 14 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 10752 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10108 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10113 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10114 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10116 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 10741 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 10118 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 10119 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 10120 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 10121 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10747 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10748 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 10749 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<11> | 10752 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 10112 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | cnt/LTimer<11>.SI | cnt/LTimer<11> | 0 | 17 | 2 +SIGNAL_INSTANCE | cnt/LTimer<10>.SI | cnt/LTimer<10> | 0 | 13 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 10752 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10108 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10113 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10114 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10116 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 10741 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 10118 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 10119 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 10120 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 10121 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10747 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10748 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 10749 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<11>.D1 | 11057 | ? | 0 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<10>.D1 | 10398 | ? | 0 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<11>.D2 | 11058 | ? | 0 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | cnt/LTimer<11> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 -SPPTERM | 15 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -SPPTERM | 15 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +SIGNAL | NODE | cnt/LTimer<10>.D2 | 10399 | ? | 0 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 10 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<10>.CE | 10400 | ? | 0 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -SRFF_INSTANCE | cnt/LTimer<11>.REG | cnt/LTimer<11> | 0 | 2 | 1 +SRFF_INSTANCE | cnt/LTimer<10>.REG | cnt/LTimer<10> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<11>.D | 11056 | ? | 0 | 0 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<10>.D | 10397 | ? | 0 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/LTimer<10>.CE | 10400 | ? | 0 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<11>.Q | 11059 | ? | 0 | 0 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<10>.Q | 10401 | ? | 0 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<1> | WarpSE_COPY_0_COPY_0 | 2155877376 | 17 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10108 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 10124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10067 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10069 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10070 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 10096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IONPReady | 10128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10090 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10113 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | cnt/LTimer<1>.EXP | 10856 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.EXP | cnt/LTimer<1> | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | cnt/LTimer<1>.SI | cnt/LTimer<1> | 0 | 16 | 4 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10108 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 10124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10067 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10069 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10070 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 10096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IONPReady | 10128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10090 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<1>.D1 | 10403 | ? | 0 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<1>.D2 | 10404 | ? | 0 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cnt/LTimer<0> +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | cnt/LTimer<1>.EXP | 10849 | ? | 0 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 11 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | IONPReady | IV_FALSE | ALE1 +SPPTERM | 11 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | IONPReady | IV_FALSE | ALE1 +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<1>.CE | 10405 | ? | 0 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/LTimer<1>.REG | cnt/LTimer<1> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<1>.D | 10402 | ? | 0 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/LTimer<1>.CE | 10405 | ? | 0 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<1>.Q | 10406 | ? | 0 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<2> | WarpSE_COPY_0_COPY_0 | 2155877376 | 16 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10108 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 10113 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 10124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IONPReady | 10128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RAMReady | 10199 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.Q | RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10067 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10069 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10070 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10114 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | cnt/LTimer<2>.EXP | 10855 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.EXP | cnt/LTimer<2> | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | cnt/LTimer<2>.SI | cnt/LTimer<2> | 0 | 15 | 4 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10108 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 10113 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 10124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IONPReady | 10128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RAMReady | 10199 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.Q | RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10067 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10069 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10070 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<2>.D1 | 10408 | ? | 0 | 0 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<2>.D2 | 10409 | ? | 0 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | cnt/LTimer<2>.EXP | 10848 | ? | 0 | 0 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | IONPReady | IV_FALSE | RAMReady +SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<2>.CE | 10410 | ? | 0 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/LTimer<2>.REG | cnt/LTimer<2> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<2>.D | 10407 | ? | 0 | 0 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/LTimer<2>.CE | 10410 | ? | 0 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<2>.Q | 10411 | ? | 0 | 0 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<3> | WarpSE_COPY_0_COPY_0 | 2155877376 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10108 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 10113 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 10114 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 10124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/LTimer<3>.SI | cnt/LTimer<3> | 0 | 6 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10108 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 10113 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 10114 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 10124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<3>.D1 | 10413 | ? | 0 | 0 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<3>.D2 | 10414 | ? | 0 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<3>.CE | 10415 | ? | 0 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/LTimer<3>.REG | cnt/LTimer<3> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<3>.D | 10412 | ? | 0 | 0 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/LTimer<3>.CE | 10415 | ? | 0 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<3>.Q | 10416 | ? | 0 | 0 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<4> | WarpSE_COPY_0_COPY_0 | 2155877376 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10108 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 10113 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 10114 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 10115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 10124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10116 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/LTimer<4>.SI | cnt/LTimer<4> | 0 | 7 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10108 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 10113 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 10114 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 10115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 10124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<4>.D1 | 10418 | ? | 0 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<4>.D2 | 10419 | ? | 0 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<4>.CE | 10420 | ? | 0 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/LTimer<4>.REG | cnt/LTimer<4> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<4>.D | 10417 | ? | 0 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/LTimer<4>.CE | 10420 | ? | 0 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<4>.Q | 10421 | ? | 0 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<5> | WarpSE_COPY_0_COPY_0 | 2155877376 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10108 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 10113 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 10114 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 10115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 10116 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 10124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/LTimer<5>.SI | cnt/LTimer<5> | 0 | 8 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10108 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 10113 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 10114 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 10115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 10116 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 10124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<5>.D1 | 10423 | ? | 0 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<5>.D2 | 10424 | ? | 0 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 5 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<5>.CE | 10425 | ? | 0 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/LTimer<5>.REG | cnt/LTimer<5> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<5>.D | 10422 | ? | 0 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/LTimer<5>.CE | 10425 | ? | 0 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<5>.Q | 10426 | ? | 0 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<6> | WarpSE_COPY_0_COPY_0 | 2155877376 | 10 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10108 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 10113 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 10114 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 10115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 10116 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 10117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 10124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 10118 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/LTimer<6>.SI | cnt/LTimer<6> | 0 | 9 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10108 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 10113 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 10114 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 10115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 10116 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 10117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 10124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<6>.D1 | 10428 | ? | 0 | 0 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<6>.D2 | 10429 | ? | 0 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 6 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<6>.CE | 10430 | ? | 0 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/LTimer<6>.REG | cnt/LTimer<6> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<6>.D | 10427 | ? | 0 | 0 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/LTimer<6>.CE | 10430 | ? | 0 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<6>.Q | 10431 | ? | 0 | 0 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<7> | WarpSE_COPY_0_COPY_0 | 2155877376 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10108 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 10113 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 10114 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 10115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 10116 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 10117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 10118 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 10124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 10119 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/LTimer<7>.SI | cnt/LTimer<7> | 0 | 10 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10108 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 10113 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 10114 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 10115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 10116 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 10117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 10118 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 10124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<7>.D1 | 10433 | ? | 0 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<7>.D2 | 10434 | ? | 0 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 7 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<7>.CE | 10435 | ? | 0 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/LTimer<7>.REG | cnt/LTimer<7> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<7>.D | 10432 | ? | 0 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/LTimer<7>.CE | 10435 | ? | 0 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<7>.Q | 10436 | ? | 0 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<8> | WarpSE_COPY_0_COPY_0 | 2155877376 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10108 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 10113 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 10114 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 10115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 10116 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 10117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 10118 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 10119 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 10124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 10120 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/LTimer<8>.SI | cnt/LTimer<8> | 0 | 11 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10108 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 10113 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 10114 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 10115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 10116 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 10117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 10118 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 10119 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 10124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<8>.D1 | 10438 | ? | 0 | 0 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<8>.D2 | 10439 | ? | 0 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 8 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<8>.CE | 10440 | ? | 0 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/LTimer<8>.REG | cnt/LTimer<8> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<8>.D | 10437 | ? | 0 | 0 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/LTimer<8>.CE | 10440 | ? | 0 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<8>.Q | 10441 | ? | 0 | 0 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<9> | WarpSE_COPY_0_COPY_0 | 2155877376 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10108 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 10113 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 10114 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 10115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 10116 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 10117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 10118 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 10119 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<8> | 10120 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 10124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 10121 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/LTimer<9>.SI | cnt/LTimer<9> | 0 | 12 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10108 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 10113 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 10114 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 10115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 10116 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 10117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 10118 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 10119 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<8> | 10120 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 10124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<9>.D1 | 10443 | ? | 0 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<9>.D2 | 10444 | ? | 0 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 9 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<9>.CE | 10445 | ? | 0 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/LTimer<9>.REG | cnt/LTimer<9> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<9>.D | 10442 | ? | 0 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/LTimer<9>.CE | 10445 | ? | 0 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<9>.Q | 10446 | ? | 0 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | QoSReady | WarpSE_COPY_0_COPY_0 | 2155873280 | 23 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/QS<0> | 10097 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/QS<0>.Q | cnt/QS<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/QS<1> | 10098 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/QS<1>.Q | cnt/QS<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | QoSReady | 10122 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | QoSReady.Q | QoSReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10067 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10069 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10070 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 10071 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10090 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 10091 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 10092 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 10093 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 10197 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 10196 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Wait<1>.EXP | 10857 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Wait<1>.EXP | cnt/Wait<1> | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | QoSReady | 10122 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | QoSReady.Q | QoSReady | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | QoSReady.EXP | 10858 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | QoSReady.EXP | QoSReady | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | QoSReady.SI | QoSReady | 0 | 22 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/QS<0> | 10097 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/QS<0>.Q | cnt/QS<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/QS<1> | 10098 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/QS<1>.Q | cnt/QS<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | QoSReady | 10122 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | QoSReady.Q | QoSReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10067 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10069 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10070 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 10071 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10090 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 10091 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 10092 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 10093 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 10197 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 10196 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Wait<1>.EXP | 10857 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Wait<1>.EXP | cnt/Wait<1> | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | QoSReady.D1 | 10448 | ? | 0 | 4096 | QoSReady | NULL | NULL | QoSReady.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | QoSReady.D2 | 10449 | ? | 0 | 4096 | QoSReady | NULL | NULL | QoSReady.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cnt/Wait<1>.EXP +SPPTERM | 2 | IV_FALSE | cnt/QS<0> | IV_FALSE | cnt/QS<1> +SPPTERM | 2 | IV_TRUE | QoSReady | IV_FALSE | nAS_FSB_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | QoSReady.EXP | 10851 | ? | 0 | 0 | QoSReady | NULL | NULL | QoSReady.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 17 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | A_FSB_8_IBUF +SPPTERM | 17 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | A_FSB_9_IBUF +SPPTERM | 17 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | A_FSB_8_IBUF + +SRFF_INSTANCE | QoSReady.REG | QoSReady | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | QoSReady.D | 10447 | ? | 0 | 0 | QoSReady | NULL | NULL | QoSReady.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | QoSReady.Q | 10450 | ? | 0 | 0 | QoSReady | NULL | NULL | QoSReady.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<11> | WarpSE_COPY_0_COPY_0 | 2155877376 | 15 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10108 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<10> | 10112 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 10113 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 10114 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 10115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 10116 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 10117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 10118 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 10119 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<8> | 10120 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<9> | 10121 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 10124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<11> | 10123 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/LTimer<11>.SI | cnt/LTimer<11> | 0 | 14 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10108 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<10> | 10112 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 10113 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 10114 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 10115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 10116 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 10117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 10118 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 10119 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<8> | 10120 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<9> | 10121 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 10124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<11>.D1 | 10452 | ? | 0 | 0 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<11>.D2 | 10453 | ? | 0 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 11 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<11>.CE | 10454 | ? | 0 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/LTimer<11>.REG | cnt/LTimer<11> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<11>.D | 10451 | ? | 0 | 0 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/LTimer<11>.CE | 10454 | ? | 0 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<11>.Q | 10455 | ? | 0 | 0 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/TimerTC | WarpSE_COPY_0_COPY_0 | 2155873280 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 10731 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 10099 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 10732 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 10100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 10733 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 10101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<3> | 10750 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM +NODE | cnt/Timer<3> | 10109 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/TimerTC.SI | cnt/TimerTC | 0 | 6 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 10731 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 10099 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 10732 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 10100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 10733 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 10101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<3> | 10750 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM +NODE | cnt/Timer<3> | 10109 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/TimerTC.D1 | 11061 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/TimerTC.D1 | 10457 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/TimerTC.D2 | 11062 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/TimerTC.D2 | 10458 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 2 | 9 | MC_SI_D2 SPPTERM | 4 | IV_TRUE | cnt/Timer<0> | IV_FALSE | cnt/Timer<1> | IV_FALSE | cnt/Timer<2> | IV_TRUE | cnt/Timer<3> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/TimerTC.CE | 11063 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/TimerTC.CE | 10459 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/TimerTC.REG | cnt/TimerTC | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/TimerTC.D | 11060 | ? | 0 | 0 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.XOR | 0 | 7 | ALU_F +NODE | cnt/TimerTC.D | 10456 | ? | 0 | 0 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/TimerTC.CE | 11063 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/TimerTC.CE | 10459 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/TimerTC.Q | 11064 | ? | 0 | 0 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cs/nOverlay | WarpSE_COPY_0_COPY_0 | 2155877376 | 20 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N01 | 10737 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 10758 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RAMReady | 10828 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.Q | RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL.EXP | 11479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.EXP | ram/RASEL | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cs/nOverlay.EXP | 11480 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.EXP | cs/nOverlay | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | cs/nOverlay.SI | cs/nOverlay | 0 | 19 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N01 | 10737 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 10758 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RAMReady | 10828 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.Q | RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL.EXP | 11479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.EXP | ram/RASEL | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cs/nOverlay.D1 | 11066 | ? | 0 | 4096 | cs/nOverlay | NULL | NULL | cs/nOverlay.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cs/nOverlay.D2 | 11067 | ? | 0 | 4096 | cs/nOverlay | NULL | NULL | cs/nOverlay.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | ram/RASEL.EXP -SPPTERM | 4 | IV_FALSE | N01 | IV_TRUE | cs/nOverlay | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cs/nOverlay.EXP | 11468 | ? | 0 | 0 | cs/nOverlay | NULL | NULL | cs/nOverlay.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | IONPReady | IV_FALSE | RAMReady -SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF -SPPTERM | 10 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | IONPReady | IV_FALSE | ALE1 -SPPTERM | 10 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | IONPReady | IV_FALSE | ALE1 - -SRFF_INSTANCE | cs/nOverlay.REG | cs/nOverlay | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cs/nOverlay.D | 11065 | ? | 0 | 0 | cs/nOverlay | NULL | NULL | cs/nOverlay.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cs/nOverlay.Q | 11068 | ? | 0 | 0 | cs/nOverlay | NULL | NULL | cs/nOverlay.REG | 0 | 8 | SRFF_Q +NODE | cnt/TimerTC.Q | 10460 | ? | 0 | 0 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/IORW1 | WarpSE_COPY_0_COPY_0 | 2155877376 | 19 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10067 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10069 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10070 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10090 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 10756 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 10126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10146 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10110 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1.EXP | 11569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.EXP | cnt/IS_FSM_FFd1 | 4 | 0 | MC_EXPORT +NODE | EXP15_.EXP | 10886 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IORW1 | 10756 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 10126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobs/IORW1.EXP | 11570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.EXP | iobs/IORW1 | 4 | 0 | MC_EXPORT +NODE | iobs/IORW1.EXP | 10885 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.EXP | iobs/IORW1 | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | iobs/IORW1.SI | iobs/IORW1 | 0 | 18 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10067 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10069 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10070 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10090 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 10756 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 10126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10146 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10110 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1.EXP | 11569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.EXP | cnt/IS_FSM_FFd1 | 4 | 0 | MC_EXPORT +NODE | EXP15_.EXP | 10886 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IORW1.D1 | 11070 | ? | 0 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IORW1.D1 | 10462 | ? | 0 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IORW1.D2 | 11071 | ? | 0 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | cnt/IS_FSM_FFd1.EXP -SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | ALE1 +SIGNAL | NODE | iobs/IORW1.D2 | 10463 | ? | 0 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP15_.EXP SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | ALE1 SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobs/IORW1.EXP | 11555 | ? | 0 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | iobs/IORW1.EXP | 10872 | ? | 0 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SRFF_INSTANCE | iobs/IORW1.REG | iobs/IORW1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IORW1.D | 11069 | ? | 0 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.XOR | 0 | 7 | ALU_F +NODE | iobs/IORW1.D | 10461 | ? | 0 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IORW1.Q | 11072 | ? | 0 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.REG | 0 | 8 | SRFF_Q +NODE | iobs/IORW1.Q | 10464 | ? | 0 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/RefDone | WarpSE_COPY_0_COPY_0 | 2155873536 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 10791 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 10162 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 10801 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd7 | 10172 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 10807 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 10179 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 10808 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 10180 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | RefReq | 10111 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ram/RefDone.SI | ram/RefDone | 0 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 10791 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 10162 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 10801 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd7 | 10172 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 10807 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 10179 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 10808 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 10180 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | RefReq | 10111 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RefDone.D1 | 11074 | ? | 0 | 4096 | ram/RefDone | NULL | NULL | ram/RefDone.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RefDone.D1 | 10466 | ? | 0 | 4096 | ram/RefDone | NULL | NULL | ram/RefDone.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RefDone.D2 | 11075 | ? | 0 | 4096 | ram/RefDone | NULL | NULL | ram/RefDone.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RefDone.D2 | 10467 | ? | 0 | 4096 | ram/RefDone | NULL | NULL | ram/RefDone.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | RefUrg | IV_FALSE | RefReq SPPTERM | 5 | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd7 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 SRFF_INSTANCE | ram/RefDone.REG | ram/RefDone | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RefDone.D | 11073 | ? | 0 | 0 | ram/RefDone | NULL | NULL | ram/RefDone.XOR | 0 | 7 | ALU_F +NODE | ram/RefDone.D | 10465 | ? | 0 | 0 | ram/RefDone | NULL | NULL | ram/RefDone.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RefDone.Q | 11076 | ? | 0 | 0 | ram/RefDone | NULL | NULL | ram/RefDone.REG | 0 | 8 | SRFF_Q +NODE | ram/RefDone.Q | 10468 | ? | 0 | 0 | ram/RefDone | NULL | NULL | ram/RefDone.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | IONPReady | WarpSE_COPY_0_COPY_0 | 2155873536 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | RefReq | 10111 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 10155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 10824 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | BACTr | 10182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | BACTr.Q | BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10110 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +NODE | ram/RASEN | 10161 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_6_OBUF.EXP | 11526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_6_OBUF.EXP | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IONPReady | 10758 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +NODE | IONPReady | 10128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | IONPReady.EXP | 11527 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.EXP | IONPReady | 4 | 0 | MC_EXPORT +NODE | IONPReady.EXP | 10912 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.EXP | IONPReady | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | IONPReady.SI | IONPReady | 0 | 11 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | RefReq | 10111 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 10155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 10824 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | BACTr | 10182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | BACTr.Q | BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10110 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +NODE | ram/RASEN | 10161 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_6_OBUF.EXP | 11526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_6_OBUF.EXP | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IONPReady.D1 | 11078 | ? | 0 | 4096 | IONPReady | NULL | NULL | IONPReady.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IONPReady.D1 | 10470 | ? | 0 | 4096 | IONPReady | NULL | NULL | IONPReady.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IONPReady.D2 | 11079 | ? | 0 | 4096 | IONPReady | NULL | NULL | IONPReady.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IONPReady.D2 | 10471 | ? | 0 | 4096 | IONPReady | NULL | NULL | IONPReady.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | RA_6_OBUF.EXP OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | IONPReady.EXP | 11513 | ? | 0 | 0 | IONPReady | NULL | NULL | IONPReady.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | ram/BACTr -SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SIGNAL | NODE | IONPReady.EXP | 10898 | ? | 0 | 0 | IONPReady | NULL | NULL | IONPReady.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | BACTr +SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | BACTr | IV_TRUE | fsb/ASrf SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | ram/RASEN | IV_TRUE | fsb/ASrf -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | ram/BACTr -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | BACTr +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | BACTr | IV_TRUE | fsb/ASrf SRFF_INSTANCE | IONPReady.REG | IONPReady | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IONPReady.D | 11077 | ? | 0 | 0 | IONPReady | NULL | NULL | IONPReady.XOR | 0 | 7 | ALU_F +NODE | IONPReady.D | 10469 | ? | 0 | 0 | IONPReady | NULL | NULL | IONPReady.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IONPReady.Q | 11080 | ? | 0 | 0 | IONPReady | NULL | NULL | IONPReady.REG | 0 | 8 | SRFF_Q +NODE | IONPReady.Q | 10472 | ? | 0 | 0 | IONPReady | NULL | NULL | IONPReady.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/LTimerTC | WarpSE_COPY_0_COPY_0 | 2155873280 | 16 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10108 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<10> | 10112 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 10113 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 10114 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 10115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 10116 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 10117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 10118 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 10119 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<8> | 10120 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<9> | 10121 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<11> | 10123 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 10124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimerTC | 10129 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/LTimerTC.SI | cnt/LTimerTC | 0 | 15 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10108 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<10> | 10112 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 10113 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 10114 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 10115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 10116 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 10117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 10118 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 10119 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<8> | 10120 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<9> | 10121 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<11> | 10123 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 10124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimerTC.D1 | 10474 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimerTC.D2 | 10475 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 12 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/LTimer<11> +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimerTC.CE | 10476 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/LTimerTC.REG | cnt/LTimerTC | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimerTC.D | 10473 | ? | 0 | 0 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/LTimerTC.CE | 10476 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimerTC.Q | 10477 | ? | 0 | 0 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | nLDS_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nLDS_FSB | 10929 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nLDS_FSB | 10297 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nLDS_FSB_IBUF | 10759 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 10130 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOL1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 10759 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 10130 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 10797 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 10168 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOL1 | 10760 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +NODE | iobs/IOL1 | 10131 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/IOL1.SI | iobs/IOL1 | 0 | 2 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 10759 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 10130 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 10797 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 10168 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOL1.D1 | 11082 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOL1.D1 | 10479 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOL1.D2 | 11083 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOL1.D2 | 10480 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nLDS_FSB_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | iobs/IOL1.CE | 11084 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOL1.CE | 10481 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | iobs/Load1 SRFF_INSTANCE | iobs/IOL1.REG | iobs/IOL1 | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOL1.D | 11081 | ? | 0 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.XOR | 0 | 7 | ALU_F +NODE | iobs/IOL1.D | 10478 | ? | 0 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | iobs/IOL1.CE | 11084 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOL1.CE | 10481 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | iobs/Load1 OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOL1.Q | 11085 | ? | 0 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.REG | 0 | 8 | SRFF_Q +NODE | iobs/IOL1.Q | 10482 | ? | 0 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | nUDS_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nUDS_FSB | 10930 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nUDS_FSB | 10298 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nUDS_FSB_IBUF | 10761 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 10132 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOU1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 10761 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 10132 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 10797 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 10168 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOU1 | 10762 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +NODE | iobs/IOU1 | 10133 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/IOU1.SI | iobs/IOU1 | 0 | 2 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 10761 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 10132 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 10797 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 10168 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOU1.D1 | 11087 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOU1.D1 | 10484 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOU1.D2 | 11088 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOU1.D2 | 10485 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nUDS_FSB_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | iobs/IOU1.CE | 11089 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOU1.CE | 10486 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | iobs/Load1 SRFF_INSTANCE | iobs/IOU1.REG | iobs/IOU1 | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOU1.D | 11086 | ? | 0 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.XOR | 0 | 7 | ALU_F +NODE | iobs/IOU1.D | 10483 | ? | 0 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | iobs/IOU1.CE | 11089 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOU1.CE | 10486 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | iobs/Load1 OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOU1.Q | 11090 | ? | 0 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.REG | 0 | 8 | SRFF_Q +NODE | iobs/IOU1.Q | 10487 | ? | 0 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | nBERR_FSB_OBUF | WarpSE_COPY_0_COPY_0 | 2155873280 | 16 | 3 +MACROCELL_INSTANCE | PrldLow+OptxMapped | nBERR_FSB_OBUF | WarpSE_COPY_0_COPY_0 | 2155873280 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_FSB_OBUF.UIM | 10765 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM +NODE | nBERR_FSB_OBUF.UIM | 10136 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | IOBERR | 10103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP23_.EXP | 11565 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nBERR_FSB_OBUF | 10764 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q +NODE | nBERR_FSB_OBUF | 10135 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nBERR_FSB_OBUF.UIM | 10765 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nBERR_FSB_OBUF.EXP | 11566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT +NODE | nBERR_FSB_OBUF.UIM | 10136 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | nBERR_FSB_OBUF.SI | nBERR_FSB_OBUF | 0 | 15 | 3 +SIGNAL_INSTANCE | nBERR_FSB_OBUF.SI | nBERR_FSB_OBUF | 0 | 5 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_FSB_OBUF.UIM | 10765 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM +NODE | nBERR_FSB_OBUF.UIM | 10136 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP23_.EXP | 11565 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT +NODE | IOBERR | 10103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nBERR_FSB_OBUF.D1 | 11092 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nBERR_FSB_OBUF.D1 | 10489 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nBERR_FSB_OBUF.D2 | 11093 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP23_.EXP +SIGNAL | NODE | nBERR_FSB_OBUF.D2 | 10490 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | iobs/Sent | IV_TRUE | nBERR_FSB_OBUF.UIM +SPPTERM | 2 | IV_FALSE | IOBERR | IV_TRUE | nBERR_FSB_OBUF.UIM SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nBERR_FSB_OBUF.EXP | 11551 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SRFF_INSTANCE | nBERR_FSB_OBUF.REG | nBERR_FSB_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nBERR_FSB_OBUF.D | 11091 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.XOR | 0 | 7 | ALU_F +NODE | nBERR_FSB_OBUF.D | 10488 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nBERR_FSB_OBUF.Q | 11094 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nBERR_FSB_OBUF.Q | 10491 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | nVPA_FSB_OBUF | WarpSE_COPY_0_COPY_0 | 2155873536 | 12 | 1 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | nVPA_FSB_OBUF | WarpSE_COPY_0_COPY_0 | 2155873536 | 18 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10067 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10069 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10070 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 10758 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +NODE | QoSReady | 10122 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | QoSReady.Q | QoSReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | IONPReady | 10128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10090 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 10146 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 10110 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nVPA_FSB_OBUF | 10766 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nVPA_FSB_OBUF.SI | nVPA_FSB_OBUF | 0 | 11 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 10758 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nVPA_FSB_OBUF.D1 | 11096 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nVPA_FSB_OBUF.D2 | 11097 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 10 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | IONPReady | IV_FALSE | nAS_FSB_IBUF -SPPTERM | 10 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | IONPReady | IV_TRUE | fsb/ASrf -OUTPUT_NODE_TYPE | 5 | 9 | MC_SI_SETF -SIGNAL | NODE | nVPA_FSB_OBUF.SETF | 11098 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 5 | 9 | MC_SI_SETF -SPPTERM | 1 | IV_TRUE | nAS_FSB_IBUF - -SRFF_INSTANCE | nVPA_FSB_OBUF.REG | nVPA_FSB_OBUF | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nVPA_FSB_OBUF.D | 11095 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 2 | 8 | SRFF_S -SIGNAL | NODE | nVPA_FSB_OBUF.SETF | 11098 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 5 | 9 | MC_SI_SETF -SPPTERM | 1 | IV_TRUE | nAS_FSB_IBUF -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nVPA_FSB_OBUF.Q | 11099 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+OptxMapped | nRAS_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 13 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAMLWE_OBUF.EXP | 11561 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nRAS_OBUF | 10767 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q +NODE | nVPA_FSB_OBUF | 10137 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nRAS_OBUF.EXP | 11560 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT +NODE | nVPA_FSB_OBUF.EXP | 10881 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.EXP | nVPA_FSB_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | nRAS_OBUF.SI | nRAS_OBUF | 0 | 13 | 3 +SIGNAL_INSTANCE | nVPA_FSB_OBUF.SI | nVPA_FSB_OBUF | 0 | 17 | 4 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_19_IBUF | 10067 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10069 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10070 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | QoSReady | 10122 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | QoSReady.Q | QoSReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | IONPReady | 10128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAMLWE_OBUF.EXP | 11561 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT +NODE | A_FSB_13_IBUF | 10072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10090 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 10146 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 10110 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRAS_OBUF.D1 | 11101 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nVPA_FSB_OBUF.D1 | 10493 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRAS_OBUF.D2 | 11102 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nRAMLWE_OBUF.EXP +SIGNAL | NODE | nVPA_FSB_OBUF.D2 | 10494 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 11 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | QoSReady | IV_TRUE | IONPReady | IV_FALSE | nAS_FSB_IBUF +SPPTERM | 11 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | QoSReady | IV_TRUE | IONPReady | IV_TRUE | fsb/ASrf +OUTPUT_NODE_TYPE | 5 | 9 | MC_SI_SETF +SIGNAL | NODE | nVPA_FSB_OBUF.SETF | 10495 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 5 | 9 | MC_SI_SETF +SPPTERM | 1 | IV_TRUE | nAS_FSB_IBUF OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nRAS_OBUF.EXP | 11545 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SIGNAL | NODE | nVPA_FSB_OBUF.EXP | 10868 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SRFF_INSTANCE | nRAS_OBUF.REG | nRAS_OBUF | 0 | 1 | 1 +SRFF_INSTANCE | nVPA_FSB_OBUF.REG | nVPA_FSB_OBUF | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRAS_OBUF.D | 11100 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.XOR | 0 | 7 | ALU_F +NODE | nVPA_FSB_OBUF.D | 10492 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 2 | 8 | SRFF_S +SIGNAL | NODE | nVPA_FSB_OBUF.SETF | 10495 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 5 | 9 | MC_SI_SETF +SPPTERM | 1 | IV_TRUE | nAS_FSB_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRAS_OBUF.Q | 11103 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nVPA_FSB_OBUF.Q | 10496 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | nBR_IOB_OBUF | WarpSE_COPY_0_COPY_0 | 2155877376 | 12 | 3 +MACROCELL_INSTANCE | Inv+OptxMapped | nRAS_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBR_IOB_OBUF | 10769 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM +NODE | RefUrg | 10107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/nIPL2r | 10817 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 10155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | RefReq | 10111 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | BACTr | 10182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | BACTr.Q | BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | nRAMLWE_OBUF.EXP | 10932 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nBR_IOB_OBUF$Q | 10768 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nBR_IOB_OBUF | 10769 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM +NODE | nRAS_OBUF | 10138 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nBR_IOB_OBUF.EXP | 11568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.EXP | nBR_IOB_OBUF | 4 | 0 | MC_EXPORT +NODE | nRAS_OBUF.EXP | 10931 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | nBR_IOB_OBUF.SI | nBR_IOB_OBUF | 0 | 11 | 3 +SIGNAL_INSTANCE | nRAS_OBUF.SI | nRAS_OBUF | 0 | 10 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBR_IOB_OBUF | 10769 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM +NODE | RefUrg | 10107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/nIPL2r | 10817 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 10155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | RefReq | 10111 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | BACTr | 10182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | BACTr.Q | BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | nRAMLWE_OBUF.EXP | 10932 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nBR_IOB_OBUF.D1 | 11105 | ? | 0 | 4096 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRAS_OBUF.D1 | 10498 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nBR_IOB_OBUF.D2 | 11106 | ? | 0 | 4096 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nRAS_OBUF.D2 | 10499 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nRAMLWE_OBUF.EXP +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nRAS_OBUF.EXP | 10924 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | fsb/ASrf +SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | BACTr +SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | BACTr +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | BACTr | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | nRAS_OBUF.REG | nRAS_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nRAS_OBUF.D | 10497 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nRAS_OBUF.Q | 10500 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | nBR_IOB_OBUF | WarpSE_COPY_0_COPY_0 | 2155877376 | 5 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBR_IOB_OBUF | 10140 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd1 | 10158 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd2 | 10159 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/nIPL2r | 10189 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nBR_IOB_OBUF$Q | 10139 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | nBR_IOB_OBUF | 10140 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | nBR_IOB_OBUF.SI | nBR_IOB_OBUF | 0 | 4 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBR_IOB_OBUF | 10140 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd1 | 10158 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd2 | 10159 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/nIPL2r | 10189 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nBR_IOB_OBUF.D1 | 10502 | ? | 0 | 4096 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nBR_IOB_OBUF.D2 | 10503 | ? | 0 | 4096 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | nBR_IOB_OBUF | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 SPPTERM | 4 | IV_FALSE | nBR_IOB_OBUF | IV_FALSE | cnt/IS_FSM_FFd1 | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/nIPL2r -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nBR_IOB_OBUF.EXP | 11553 | ? | 0 | 0 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SRFF_INSTANCE | nBR_IOB_OBUF.REG | nBR_IOB_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nBR_IOB_OBUF.D | 11104 | ? | 0 | 0 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.XOR | 0 | 7 | ALU_F +NODE | nBR_IOB_OBUF.D | 10501 | ? | 0 | 0 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nBR_IOB_OBUF.Q | 11107 | ? | 0 | 0 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nBR_IOB_OBUF.Q | 10504 | ? | 0 | 0 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_11_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 5 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10067 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10144 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 10091 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_4_IBUF | 10841 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_4_IBUF | 10211 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_11_OBUF$Q | 10770 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF.Q | RA_11_OBUF | 0 | 0 | MC_Q +NODE | RA_11_OBUF$Q | 10141 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF.Q | RA_11_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_11_OBUF.EXP | 11523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF.EXP | RA_11_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_11_OBUF.EXP | 10908 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF.EXP | RA_11_OBUF | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | RA_11_OBUF.SI | RA_11_OBUF | 0 | 5 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10067 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10144 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 10091 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_4_IBUF | 10841 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_4_IBUF | 10211 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_11_OBUF.D1 | 11109 | ? | 0 | 4096 | RA_11_OBUF | NULL | NULL | RA_11_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_11_OBUF.D1 | 10506 | ? | 0 | 4096 | RA_11_OBUF | NULL | NULL | RA_11_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_11_OBUF.D2 | 11110 | ? | 0 | 4096 | RA_11_OBUF | NULL | NULL | RA_11_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_11_OBUF.D2 | 10507 | ? | 0 | 4096 | RA_11_OBUF | NULL | NULL | RA_11_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | ram/RASEL SPPTERM | 2 | IV_TRUE | A_FSB_19_IBUF | IV_FALSE | ram/RASEL OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_11_OBUF.EXP | 11509 | ? | 0 | 0 | RA_11_OBUF | NULL | NULL | RA_11_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_11_OBUF.EXP | 10894 | ? | 0 | 0 | RA_11_OBUF | NULL | NULL | RA_11_OBUF.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 2 | IV_TRUE | A_FSB_12_IBUF | IV_FALSE | ram/RASEL SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_4_IBUF SRFF_INSTANCE | RA_11_OBUF.REG | RA_11_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_11_OBUF.D | 11108 | ? | 0 | 0 | RA_11_OBUF | NULL | NULL | RA_11_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_11_OBUF.D | 10505 | ? | 0 | 0 | RA_11_OBUF | NULL | NULL | RA_11_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_11_OBUF.Q | 11111 | ? | 0 | 0 | RA_11_OBUF | NULL | NULL | RA_11_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_11_OBUF.Q | 10508 | ? | 0 | 0 | RA_11_OBUF | NULL | NULL | RA_11_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | nDTACK_FSB_OBUF | WarpSE_COPY_0_COPY_0 | 2155873280 | 10 | 2 +MACROCELL_INSTANCE | PrldLow+OptxMapped | nDTACK_FSB_OBUF | WarpSE_COPY_0_COPY_0 | 2155873280 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | QoSReady | 10122 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | QoSReady.Q | QoSReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 10758 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | QoSReady | 10812 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | QoSReady.Q | QoSReady | 1 | 0 | MC_UIM +NODE | IONPReady | 10128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay.EXP | 11480 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.EXP | cs/nOverlay | 4 | 0 | MC_EXPORT +NODE | cnt/LTimer<2>.EXP | 10855 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.EXP | cnt/LTimer<2> | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | cnt/LTimer<1>.EXP | 10856 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.EXP | cnt/LTimer<1> | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDTACK_FSB_OBUF$Q | 10771 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q +NODE | nDTACK_FSB_OBUF$Q | 10142 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nDTACK_FSB_OBUF | 10772 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +NODE | nDTACK_FSB_OBUF | 10143 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | nDTACK_FSB_OBUF.SI | nDTACK_FSB_OBUF | 0 | 9 | 2 +SIGNAL_INSTANCE | nDTACK_FSB_OBUF.SI | nDTACK_FSB_OBUF | 0 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | QoSReady | 10122 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | QoSReady.Q | QoSReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 10758 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | QoSReady | 10812 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | QoSReady.Q | QoSReady | 1 | 0 | MC_UIM +NODE | IONPReady | 10128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay.EXP | 11480 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.EXP | cs/nOverlay | 4 | 0 | MC_EXPORT +NODE | cnt/LTimer<2>.EXP | 10855 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.EXP | cnt/LTimer<2> | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1>.EXP | 10856 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.EXP | cnt/LTimer<1> | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDTACK_FSB_OBUF.D1 | 11113 | ? | 0 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDTACK_FSB_OBUF.D1 | 10510 | ? | 0 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDTACK_FSB_OBUF.D2 | 11114 | ? | 0 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | cs/nOverlay.EXP +SIGNAL | NODE | nDTACK_FSB_OBUF.D2 | 10511 | ? | 0 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | QoSReady +SPPTERM | 1 | IV_TRUE | cnt/LTimer<2>.EXP +SPPTERM | 1 | IV_TRUE | cnt/LTimer<1>.EXP SPPTERM | 2 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | IONPReady -SPPTERM | 2 | IV_FALSE | IONPReady | IV_FALSE | QoSReady SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | IONPReady SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | IONPReady SRFF_INSTANCE | nDTACK_FSB_OBUF.REG | nDTACK_FSB_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDTACK_FSB_OBUF.D | 11112 | ? | 0 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.XOR | 0 | 7 | ALU_F +NODE | nDTACK_FSB_OBUF.D | 10509 | ? | 0 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDTACK_FSB_OBUF.Q | 11115 | ? | 0 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nDTACK_FSB_OBUF.Q | 10512 | ? | 0 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RASEL | WarpSE_COPY_0_COPY_0 | 2155873280 | 11 | 2 +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RASEL | WarpSE_COPY_0_COPY_0 | 2155873280 | 9 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 10800 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd6 | 10171 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10110 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 10155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +NODE | ram/RASEN | 10161 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | ram/RASEL.EXP | 11479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.EXP | ram/RASEL | 4 | 0 | MC_EXPORT +NODE | ram/RASEL | 10144 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | ram/RASEL.SI | ram/RASEL | 0 | 10 | 3 +SIGNAL_INSTANCE | ram/RASEL.SI | ram/RASEL | 0 | 8 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 10800 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd6 | 10171 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10110 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 10155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +NODE | ram/RASEN | 10161 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RASEL.D1 | 11117 | ? | 0 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RASEL.D1 | 10514 | ? | 0 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RASEL.D2 | 11118 | ? | 0 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RASEL.D2 | 10515 | ? | 0 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd6 SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | ram/RASEN SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | ram/RASEN | IV_TRUE | fsb/ASrf -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | ram/RASEL.EXP | 11467 | ? | 0 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay | IV_TRUE | fsb/ASrf SRFF_INSTANCE | ram/RASEL.REG | ram/RASEL | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RASEL.D | 11116 | ? | 0 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.XOR | 0 | 7 | ALU_F +NODE | ram/RASEL.D | 10513 | ? | 0 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RASEL.Q | 11119 | ? | 0 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.REG | 0 | 8 | SRFF_Q +NODE | ram/RASEL.Q | 10516 | ? | 0 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/TS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10146 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10145 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 10796 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 10167 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10145 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/TS_FSM_FFd1.SI | iobs/TS_FSM_FFd1 | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10146 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10145 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 10796 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 10167 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/TS_FSM_FFd1.D1 | 11121 | ? | 0 | 4096 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/TS_FSM_FFd1.D1 | 10518 | ? | 0 | 4096 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/TS_FSM_FFd1.D2 | 11122 | ? | 0 | 4096 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/TS_FSM_FFd1.D2 | 10519 | ? | 0 | 4096 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobs/TS_FSM_FFd2 SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | iobs/IOACTr SRFF_INSTANCE | iobs/TS_FSM_FFd1.REG | iobs/TS_FSM_FFd1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/TS_FSM_FFd1.D | 11120 | ? | 0 | 0 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.XOR | 0 | 7 | ALU_F +NODE | iobs/TS_FSM_FFd1.D | 10517 | ? | 0 | 0 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/TS_FSM_FFd1.Q | 11123 | ? | 0 | 0 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.REG | 0 | 8 | SRFF_Q +NODE | iobs/TS_FSM_FFd1.Q | 10520 | ? | 0 | 0 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/TS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155873536 | 10 | 2 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/TS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155873536 | 13 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10145 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10146 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 10796 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 10167 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP20_.EXP | 11559 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | nWE_FSB_IBUF | 10125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP14_.EXP | 10884 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1.EXP | 10885 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.EXP | iobs/IORW1 | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobs/TS_FSM_FFd2.EXP | 11558 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.EXP | iobs/TS_FSM_FFd2 | 4 | 0 | MC_EXPORT +NODE | iobs/TS_FSM_FFd2 | 10146 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | iobs/TS_FSM_FFd2.SI | iobs/TS_FSM_FFd2 | 0 | 9 | 3 +SIGNAL_INSTANCE | iobs/TS_FSM_FFd2.SI | iobs/TS_FSM_FFd2 | 0 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10145 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10146 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 10796 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 10167 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP20_.EXP | 11559 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP14_.EXP | 10884 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1.EXP | 10885 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.EXP | iobs/IORW1 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/TS_FSM_FFd2.D1 | 11125 | ? | 0 | 4096 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/TS_FSM_FFd2.D1 | 10522 | ? | 0 | 4096 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/TS_FSM_FFd2.D2 | 11126 | ? | 0 | 4096 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP20_.EXP +SIGNAL | NODE | iobs/TS_FSM_FFd2.D2 | 10523 | ? | 0 | 4096 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP14_.EXP +SPPTERM | 1 | IV_TRUE | iobs/IORW1.EXP SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | iobs/IOACTr SPPTERM | 3 | IV_TRUE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobs/TS_FSM_FFd2.EXP | 11543 | ? | 0 | 0 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | ram/RASEL -SPPTERM | 2 | IV_TRUE | A_FSB_19_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SRFF_INSTANCE | iobs/TS_FSM_FFd2.REG | iobs/TS_FSM_FFd2 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/TS_FSM_FFd2.D | 11124 | ? | 0 | 0 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.XOR | 0 | 7 | ALU_F +NODE | iobs/TS_FSM_FFd2.D | 10521 | ? | 0 | 0 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/TS_FSM_FFd2.Q | 11127 | ? | 0 | 0 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.REG | 0 | 8 | SRFF_Q +NODE | iobs/TS_FSM_FFd2.Q | 10524 | ? | 0 | 0 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | iobm/IOS_FSM_FFd7 | WarpSE_COPY_0_COPY_0 | 2155873024 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10776 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10147 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 10794 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 10165 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10778 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10149 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10806 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10235 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10821 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10193 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd7 | 10776 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10147 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd7.SI | iobm/IOS_FSM_FFd7 | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10776 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10147 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 10794 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 10165 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10778 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10149 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10806 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10235 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10821 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10193 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd7.D1 | 11129 | ? | 0 | 4096 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd7.D1 | 10526 | ? | 0 | 4096 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd7.D2 | 11130 | ? | 0 | 4096 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd7.D2 | 10527 | ? | 0 | 4096 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd1 SPPTERM | 4 | IV_FALSE | iobm/C8Mr | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IORDREQr | IV_FALSE | AoutOE SPPTERM | 4 | IV_FALSE | iobm/C8Mr | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOWRREQr | IV_FALSE | AoutOE SRFF_INSTANCE | iobm/IOS_FSM_FFd7.REG | iobm/IOS_FSM_FFd7 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd7.D | 11128 | ? | 0 | 0 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd7.D | 10525 | ? | 0 | 0 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd7.Q | 11131 | ? | 0 | 0 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd7.Q | 10528 | ? | 0 | 0 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd3 | WarpSE_COPY_0_COPY_0 | 2155873280 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10780 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10151 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10777 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10148 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10778 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10149 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 10736 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 10103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE | 10739 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +NODE | IODONE | 10106 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd3 | 10777 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10148 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd3.SI | iobm/IOS_FSM_FFd3 | 0 | 5 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10780 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10151 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10777 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10148 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10778 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10149 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 10736 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 10103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE | 10739 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +NODE | IODONE | 10106 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd3.D1 | 11133 | ? | 0 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd3.D1 | 10530 | ? | 0 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd3.D2 | 11134 | ? | 0 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd3.D2 | 10531 | ? | 0 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd4 SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/C8Mr SPPTERM | 3 | IV_FALSE | IOBERR | IV_FALSE | IODONE | IV_TRUE | iobm/IOS_FSM_FFd3 SRFF_INSTANCE | iobm/IOS_FSM_FFd3.REG | iobm/IOS_FSM_FFd3 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd3.D | 11132 | ? | 0 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd3.D | 10529 | ? | 0 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd3.Q | 11135 | ? | 0 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd3.Q | 10532 | ? | 0 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/C8Mr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 10073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/C8Mr | 10778 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10149 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/C8Mr.SI | iobm/C8Mr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 10073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/C8Mr.D1 | 11137 | ? | 0 | 4096 | iobm/C8Mr | NULL | NULL | iobm/C8Mr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/C8Mr.D1 | 10534 | ? | 0 | 4096 | iobm/C8Mr | NULL | NULL | iobm/C8Mr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/C8Mr.D2 | 11138 | ? | 0 | 4096 | iobm/C8Mr | NULL | NULL | iobm/C8Mr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/C8Mr.D2 | 10535 | ? | 0 | 4096 | iobm/C8Mr | NULL | NULL | iobm/C8Mr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | C8M_IBUF SRFF_INSTANCE | iobm/C8Mr.REG | iobm/C8Mr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/C8Mr.D | 11136 | ? | 0 | 0 | iobm/C8Mr | NULL | NULL | iobm/C8Mr.XOR | 0 | 7 | ALU_F +NODE | iobm/C8Mr.D | 10533 | ? | 0 | 0 | iobm/C8Mr | NULL | NULL | iobm/C8Mr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/C8Mr.Q | 11139 | ? | 0 | 0 | iobm/C8Mr | NULL | NULL | iobm/C8Mr.REG | 0 | 8 | SRFF_Q +NODE | iobm/C8Mr.Q | 10536 | ? | 0 | 0 | iobm/C8Mr | NULL | NULL | iobm/C8Mr.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | E_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | E | 10932 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | E | 10300 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | E_IBUF | 10813 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10185 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped+Ce | iobm/ES<0> | WarpSE_COPY_0_COPY_0 | 2424313088 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 10779 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 10150 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 10813 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10185 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 10819 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 10191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 10781 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 10152 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 10787 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 10156 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 10788 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 10157 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<0> | 10779 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 10150 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ES<0>.SI | iobm/ES<0> | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 10779 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 10150 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 10813 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10185 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 10819 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 10191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 10781 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 10152 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 10787 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 10156 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 10788 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 10157 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<0>.D1 | 11141 | ? | 0 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<0>.D1 | 10538 | ? | 0 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<0>.D2 | 11142 | ? | 0 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<0>.D2 | 10539 | ? | 0 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | iobm/ES<0> | IV_FALSE | E_IBUF | IV_TRUE | iobm/Er SPPTERM | 5 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<3> | IV_TRUE | E_IBUF SPPTERM | 5 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/Er SRFF_INSTANCE | iobm/ES<0>.REG | iobm/ES<0> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<0>.D | 11140 | ? | 0 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.XOR | 0 | 7 | ALU_F +NODE | iobm/ES<0>.D | 10537 | ? | 0 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<0>.Q | 11143 | ? | 0 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.REG | 0 | 8 | SRFF_Q +NODE | iobm/ES<0>.Q | 10540 | ? | 0 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd4 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10782 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd4 | 10780 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10151 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd4.SI | iobm/IOS_FSM_FFd4 | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10782 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd4.D1 | 11145 | ? | 0 | 4096 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd4.D1 | 10542 | ? | 0 | 4096 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd4.D2 | 11146 | ? | 0 | 4096 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd4.D2 | 10543 | ? | 0 | 4096 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd5 SRFF_INSTANCE | iobm/IOS_FSM_FFd4.REG | iobm/IOS_FSM_FFd4 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd4.D | 11144 | ? | 0 | 0 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd4.D | 10541 | ? | 0 | 0 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd4.Q | 11147 | ? | 0 | 0 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd4.Q | 10544 | ? | 0 | 0 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | iobm/ES<2> | WarpSE_COPY_0_COPY_0 | 2424312832 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 10779 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 10150 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 10787 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 10156 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 10819 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 10191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 10813 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10185 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 10781 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 10152 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<2> | 10781 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 10152 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ES<2>.SI | iobm/ES<2> | 0 | 5 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 10779 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 10150 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 10787 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 10156 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 10819 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 10191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 10813 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10185 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 10781 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 10152 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<2>.D1 | 11149 | ? | 0 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<2>.D1 | 10546 | ? | 0 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<2>.D2 | 11150 | ? | 0 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<2>.D2 | 10547 | ? | 0 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | E_IBUF SPPTERM | 3 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_FALSE | iobm/Er SPPTERM | 3 | IV_TRUE | iobm/ES<2> | IV_FALSE | E_IBUF | IV_TRUE | iobm/Er SRFF_INSTANCE | iobm/ES<2>.REG | iobm/ES<2> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<2>.D | 11148 | ? | 0 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.XOR | 0 | 7 | ALU_F +NODE | iobm/ES<2>.D | 10545 | ? | 0 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<2>.Q | 11151 | ? | 0 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.REG | 0 | 8 | SRFF_Q +NODE | iobm/ES<2>.Q | 10548 | ? | 0 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd5 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10783 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10154 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd5 | 10782 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd5.SI | iobm/IOS_FSM_FFd5 | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10783 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10154 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd5.D1 | 11153 | ? | 0 | 4096 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd5.D1 | 10550 | ? | 0 | 4096 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd5.D2 | 11154 | ? | 0 | 4096 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd5.D2 | 10551 | ? | 0 | 4096 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd6 SRFF_INSTANCE | iobm/IOS_FSM_FFd5.REG | iobm/IOS_FSM_FFd5 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd5.D | 11152 | ? | 0 | 0 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd5.D | 10549 | ? | 0 | 0 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd5.Q | 11155 | ? | 0 | 0 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd5.Q | 10552 | ? | 0 | 0 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd6 | WarpSE_COPY_0_COPY_0 | 2155873280 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10776 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10147 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10778 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10149 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10806 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10235 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10821 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10193 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd6 | 10783 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10154 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd6.SI | iobm/IOS_FSM_FFd6 | 0 | 5 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10776 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10147 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10778 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10149 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10806 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10235 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10821 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10193 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd6.D1 | 11157 | ? | 0 | 4096 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd6.D1 | 10554 | ? | 0 | 4096 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd6.D2 | 11158 | ? | 0 | 4096 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd6.D2 | 10555 | ? | 0 | 4096 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.SI | 2 | 9 | MC_SI_D2 SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/C8Mr | IV_TRUE | iobm/IORDREQr | IV_FALSE | AoutOE SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/C8Mr | IV_TRUE | iobm/IOWRREQr | IV_FALSE | AoutOE SRFF_INSTANCE | iobm/IOS_FSM_FFd6.REG | iobm/IOS_FSM_FFd6 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd6.D | 11156 | ? | 0 | 0 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd6.D | 10553 | ? | 0 | 0 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd6.Q | 11159 | ? | 0 | 0 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd6.Q | 10556 | ? | 0 | 0 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | ram/RS_FSM_FFd8 | WarpSE_COPY_0_COPY_0 | 2155873024 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10110 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 10791 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 10162 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 10155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 10789 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd4 | 10160 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +NODE | ram/RASEN | 10161 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nROMCS_OBUF.EXP | 11516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT +NODE | nROMCS_OBUF.EXP | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASrr.EXP | 11529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrr.EXP | ram/RASrr | 4 | 0 | MC_EXPORT +NODE | ram/RASrr.EXP | 10914 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrr.EXP | ram/RASrr | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 10155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ram/RS_FSM_FFd8.SI | ram/RS_FSM_FFd8 | 0 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10110 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 10791 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 10162 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 10155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 10789 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd4 | 10160 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +NODE | ram/RASEN | 10161 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nROMCS_OBUF.EXP | 11516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT +NODE | nROMCS_OBUF.EXP | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASrr.EXP | 11529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrr.EXP | ram/RASrr | 4 | 0 | MC_EXPORT +NODE | ram/RASrr.EXP | 10914 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrr.EXP | ram/RASrr | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd8.D1 | 11161 | ? | 0 | 4096 | ram/RS_FSM_FFd8 | NULL | NULL | ram/RS_FSM_FFd8.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd8.D1 | 10558 | ? | 0 | 4096 | ram/RS_FSM_FFd8 | NULL | NULL | ram/RS_FSM_FFd8.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd8.D2 | 11162 | ? | 0 | 4096 | ram/RS_FSM_FFd8 | NULL | NULL | ram/RS_FSM_FFd8.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd8.D2 | 10559 | ? | 0 | 4096 | ram/RS_FSM_FFd8 | NULL | NULL | ram/RS_FSM_FFd8.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | nROMCS_OBUF.EXP SPPTERM | 1 | IV_TRUE | ram/RASrr.EXP SPPTERM | 3 | IV_FALSE | ram/RS_FSM_FFd8 | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd1 @@ -3492,221 +3641,48 @@ SPPTERM | 4 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_FALSE | ram/RASEN | SRFF_INSTANCE | ram/RS_FSM_FFd8.REG | ram/RS_FSM_FFd8 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd8.D | 11160 | ? | 0 | 0 | ram/RS_FSM_FFd8 | NULL | NULL | ram/RS_FSM_FFd8.XOR | 0 | 7 | ALU_F +NODE | ram/RS_FSM_FFd8.D | 10557 | ? | 0 | 0 | ram/RS_FSM_FFd8 | NULL | NULL | ram/RS_FSM_FFd8.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd8.Q | 11163 | ? | 0 | 0 | ram/RS_FSM_FFd8 | NULL | NULL | ram/RS_FSM_FFd8.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/IS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155877376 | 25 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/nIPL2r | 10817 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimerTC | 10830 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 10756 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/IS_FSM_FFd1.EXP | 11569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.EXP | cnt/IS_FSM_FFd1 | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | cnt/IS_FSM_FFd1.SI | cnt/IS_FSM_FFd1 | 0 | 24 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/nIPL2r | 10817 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimerTC | 10830 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 10756 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/IS_FSM_FFd1.D1 | 11165 | ? | 0 | 4096 | cnt/IS_FSM_FFd1 | NULL | NULL | cnt/IS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/IS_FSM_FFd1.D2 | 11166 | ? | 0 | 4096 | cnt/IS_FSM_FFd1 | NULL | NULL | cnt/IS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 7 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/IS_FSM_FFd1 | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/nIPL2r | IV_TRUE | cnt/Er<1> | IV_TRUE | cnt/LTimerTC -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/IS_FSM_FFd1.EXP | 11554 | ? | 0 | 0 | cnt/IS_FSM_FFd1 | NULL | NULL | cnt/IS_FSM_FFd1.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 - -SRFF_INSTANCE | cnt/IS_FSM_FFd1.REG | cnt/IS_FSM_FFd1 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/IS_FSM_FFd1.D | 11164 | ? | 0 | 0 | cnt/IS_FSM_FFd1 | NULL | NULL | cnt/IS_FSM_FFd1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/IS_FSM_FFd1.Q | 11167 | ? | 0 | 0 | cnt/IS_FSM_FFd1 | NULL | NULL | cnt/IS_FSM_FFd1.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/IS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155877376 | 7 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimerTC | 10830 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/IS_FSM_FFd2.SI | cnt/IS_FSM_FFd2 | 0 | 6 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimerTC | 10830 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/IS_FSM_FFd2.D1 | 11169 | ? | 0 | 4096 | cnt/IS_FSM_FFd2 | NULL | NULL | cnt/IS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/IS_FSM_FFd2.D2 | 11170 | ? | 0 | 4096 | cnt/IS_FSM_FFd2 | NULL | NULL | cnt/IS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 6 | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/IS_FSM_FFd1 | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> | IV_TRUE | cnt/LTimerTC -SPPTERM | 6 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> | IV_TRUE | cnt/LTimerTC - -SRFF_INSTANCE | cnt/IS_FSM_FFd2.REG | cnt/IS_FSM_FFd2 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/IS_FSM_FFd2.D | 11168 | ? | 0 | 0 | cnt/IS_FSM_FFd2 | NULL | NULL | cnt/IS_FSM_FFd2.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/IS_FSM_FFd2.Q | 11171 | ? | 0 | 0 | cnt/IS_FSM_FFd2 | NULL | NULL | cnt/IS_FSM_FFd2.REG | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd8.Q | 10560 | ? | 0 | 0 | ram/RS_FSM_FFd8 | NULL | NULL | ram/RS_FSM_FFd8.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped+Ce | iobm/ES<1> | WarpSE_COPY_0_COPY_0 | 2424308992 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 10779 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 10150 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 10787 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 10156 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 10813 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10185 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 10819 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 10191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 10781 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 10152 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 10788 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 10157 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<1> | 10787 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 10156 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ES<1>.SI | iobm/ES<1> | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 10779 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 10150 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 10787 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 10156 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 10813 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10185 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 10819 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 10191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 10781 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 10152 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 10788 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 10157 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<1>.D1 | 11173 | ? | 0 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<1>.D1 | 10562 | ? | 0 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<1>.D2 | 11174 | ? | 0 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<1>.D2 | 10563 | ? | 0 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> SPPTERM | 2 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> SPPTERM | 2 | IV_FALSE | E_IBUF | IV_TRUE | iobm/Er @@ -3714,48 +3690,48 @@ SPPTERM | 3 | IV_TRUE | iobm/ES<0> | IV_FALSE | iobm/ES<2> | IV_TRUE | iobm/ES<3 SRFF_INSTANCE | iobm/ES<1>.REG | iobm/ES<1> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<1>.D | 11172 | ? | 0 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.XOR | 0 | 7 | ALU_F +NODE | iobm/ES<1>.D | 10561 | ? | 0 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<1>.Q | 11175 | ? | 0 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.REG | 0 | 8 | SRFF_Q +NODE | iobm/ES<1>.Q | 10564 | ? | 0 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | iobm/ES<3> | WarpSE_COPY_0_COPY_0 | 2424312832 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 10788 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 10157 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 10813 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10185 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 10819 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 10191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 10779 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 10150 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 10781 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 10152 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 10787 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 10156 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<3> | 10788 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 10157 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ES<3>.SI | iobm/ES<3> | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 10788 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 10157 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 10813 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10185 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 10819 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 10191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 10779 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 10150 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 10781 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 10152 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 10787 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 10156 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<3>.D1 | 11177 | ? | 0 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<3>.D1 | 10566 | ? | 0 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<3>.D2 | 11178 | ? | 0 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<3>.D2 | 10567 | ? | 0 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | iobm/ES<3> | IV_FALSE | E_IBUF | IV_TRUE | iobm/Er SPPTERM | 4 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/ES<1> | IV_TRUE | E_IBUF SPPTERM | 4 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/ES<1> | IV_FALSE | iobm/Er @@ -3763,138 +3739,187 @@ SPPTERM | 4 | IV_TRUE | iobm/ES<0> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES< SRFF_INSTANCE | iobm/ES<3>.REG | iobm/ES<3> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<3>.D | 11176 | ? | 0 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.XOR | 0 | 7 | ALU_F +NODE | iobm/ES<3>.D | 10565 | ? | 0 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<3>.Q | 11179 | ? | 0 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.REG | 0 | 8 | SRFF_Q +NODE | iobm/ES<3>.Q | 10568 | ? | 0 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd4 | WarpSE_COPY_0_COPY_0 | 2155873280 | 13 | 2 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/IS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155877376 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/DTACKr | 10798 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/DTACKr.Q | ram/DTACKr | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 10799 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | cnt/LTimerTC | 10129 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | cnt/IS_FSM_FFd1 | 10158 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | cnt/IS_FSM_FFd2 | 10159 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10725 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | cnt/Er<0> | 10186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM | 10908 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$350_INV$537.Q | $OpTx$$OpTx$FX_DC$350_INV$537 | 1 | 0 | MC_UIM +NODE | cnt/nIPL2r | 10189 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10726 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | cnt/Er<1> | 10200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10825 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<0> | 10793 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<1> | 10804 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<2> | 10805 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.Q | cnt/WS<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<3> | 10815 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.Q | cnt/WS<3> | 1 | 0 | MC_UIM +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd4 | 10789 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | ram/RS_FSM_FFd4.EXP | 11487 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.EXP | ram/RS_FSM_FFd4 | 4 | 0 | MC_EXPORT +NODE | cnt/IS_FSM_FFd1 | 10158 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | ram/RS_FSM_FFd4.SI | ram/RS_FSM_FFd4 | 0 | 12 | 3 +SIGNAL_INSTANCE | cnt/IS_FSM_FFd1.SI | cnt/IS_FSM_FFd1 | 0 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/DTACKr | 10798 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/DTACKr.Q | ram/DTACKr | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 10799 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | cnt/LTimerTC | 10129 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | cnt/IS_FSM_FFd1 | 10158 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10725 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | cnt/IS_FSM_FFd2 | 10159 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM | 10908 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$350_INV$537.Q | $OpTx$$OpTx$FX_DC$350_INV$537 | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10726 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | cnt/nIPL2r | 10189 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10825 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<0> | 10793 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<1> | 10804 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<2> | 10805 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.Q | cnt/WS<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<3> | 10815 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.Q | cnt/WS<3> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd4.D1 | 11181 | ? | 0 | 4096 | ram/RS_FSM_FFd4 | NULL | NULL | ram/RS_FSM_FFd4.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/IS_FSM_FFd1.D1 | 10570 | ? | 0 | 4096 | cnt/IS_FSM_FFd1 | NULL | NULL | cnt/IS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd4.D2 | 11182 | ? | 0 | 4096 | ram/RS_FSM_FFd4 | NULL | NULL | ram/RS_FSM_FFd4.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/IS_FSM_FFd1.D2 | 10571 | ? | 0 | 4096 | cnt/IS_FSM_FFd1 | NULL | NULL | cnt/IS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 7 | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/LTimerTC | IV_FALSE | cnt/IS_FSM_FFd1 | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/nIPL2r | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/IS_FSM_FFd1.REG | cnt/IS_FSM_FFd1 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/IS_FSM_FFd1.D | 10569 | ? | 0 | 0 | cnt/IS_FSM_FFd1 | NULL | NULL | cnt/IS_FSM_FFd1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/IS_FSM_FFd1.Q | 10572 | ? | 0 | 0 | cnt/IS_FSM_FFd1 | NULL | NULL | cnt/IS_FSM_FFd1.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/IS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155877376 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 10124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimerTC | 10129 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd1 | 10158 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd2 | 10159 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10159 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/IS_FSM_FFd2.SI | cnt/IS_FSM_FFd2 | 0 | 6 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 10124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimerTC | 10129 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd1 | 10158 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd2 | 10159 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/IS_FSM_FFd2.D1 | 10574 | ? | 0 | 4096 | cnt/IS_FSM_FFd2 | NULL | NULL | cnt/IS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/IS_FSM_FFd2.D2 | 10575 | ? | 0 | 4096 | cnt/IS_FSM_FFd2 | NULL | NULL | cnt/IS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 6 | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/LTimerTC | IV_TRUE | cnt/IS_FSM_FFd1 | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +SPPTERM | 6 | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/LTimerTC | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/IS_FSM_FFd2.REG | cnt/IS_FSM_FFd2 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/IS_FSM_FFd2.D | 10573 | ? | 0 | 0 | cnt/IS_FSM_FFd2 | NULL | NULL | cnt/IS_FSM_FFd2.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/IS_FSM_FFd2.Q | 10576 | ? | 0 | 0 | cnt/IS_FSM_FFd2 | NULL | NULL | cnt/IS_FSM_FFd2.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd4 | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/DTACKr | 10169 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/DTACKr.Q | ram/DTACKr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd5 | 10170 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd4 | 10160 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RS_FSM_FFd4.SI | ram/RS_FSM_FFd4 | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/DTACKr | 10169 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/DTACKr.Q | ram/DTACKr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd5 | 10170 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd4.D1 | 10578 | ? | 0 | 4096 | ram/RS_FSM_FFd4 | NULL | NULL | ram/RS_FSM_FFd4.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd4.D2 | 10579 | ? | 0 | 4096 | ram/RS_FSM_FFd4 | NULL | NULL | ram/RS_FSM_FFd4.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | ram/DTACKr | IV_TRUE | ram/RS_FSM_FFd5 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | ram/RS_FSM_FFd4.EXP | 11475 | ? | 0 | 0 | ram/RS_FSM_FFd4 | NULL | NULL | ram/RS_FSM_FFd4.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM -SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_9_IBUF | IV_FALSE | A_FSB_8_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM -SPPTERM | 5 | IV_TRUE | cnt/WS<0> | IV_TRUE | cnt/WS<1> | IV_TRUE | cnt/WS<2> | IV_TRUE | cnt/WS<3> | IV_FALSE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM SRFF_INSTANCE | ram/RS_FSM_FFd4.REG | ram/RS_FSM_FFd4 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd4.D | 11180 | ? | 0 | 0 | ram/RS_FSM_FFd4 | NULL | NULL | ram/RS_FSM_FFd4.XOR | 0 | 7 | ALU_F +NODE | ram/RS_FSM_FFd4.D | 10577 | ? | 0 | 0 | ram/RS_FSM_FFd4 | NULL | NULL | ram/RS_FSM_FFd4.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd4.Q | 11183 | ? | 0 | 0 | ram/RS_FSM_FFd4 | NULL | NULL | ram/RS_FSM_FFd4.REG | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd4.Q | 10580 | ? | 0 | 0 | ram/RS_FSM_FFd4 | NULL | NULL | ram/RS_FSM_FFd4.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/RASEN | WarpSE_COPY_0_COPY_0 | 2155873536 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 10155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 10789 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd4 | 10160 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 10791 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 10162 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +NODE | ram/RASEN | 10161 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_4_OBUF.EXP | 11522 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_4_OBUF.EXP | 10907 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +NODE | ram/RASEN | 10161 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ram/RASEN.SI | ram/RASEN | 0 | 9 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 10155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 10789 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd4 | 10160 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 10791 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 10162 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +NODE | ram/RASEN | 10161 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_4_OBUF.EXP | 11522 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_4_OBUF.EXP | 10907 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RASEN.D1 | 11185 | ? | 0 | 4096 | ram/RASEN | NULL | NULL | ram/RASEN.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RASEN.D1 | 10582 | ? | 0 | 4096 | ram/RASEN | NULL | NULL | ram/RASEN.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RASEN.D2 | 11186 | ? | 0 | 4096 | ram/RASEN | NULL | NULL | ram/RASEN.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RASEN.D2 | 10583 | ? | 0 | 4096 | ram/RASEN | NULL | NULL | ram/RASEN.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | RA_4_OBUF.EXP SPPTERM | 3 | IV_FALSE | ram/RS_FSM_FFd8 | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd1 SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd1 @@ -3904,86 +3929,86 @@ SPPTERM | 4 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_FALSE | ram/RASEN | SRFF_INSTANCE | ram/RASEN.REG | ram/RASEN | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RASEN.D | 11184 | ? | 0 | 0 | ram/RASEN | NULL | NULL | ram/RASEN.XOR | 0 | 7 | ALU_F +NODE | ram/RASEN.D | 10581 | ? | 0 | 0 | ram/RASEN | NULL | NULL | ram/RASEN.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RASEN.Q | 11187 | ? | 0 | 0 | ram/RASEN | NULL | NULL | ram/RASEN.REG | 0 | 8 | SRFF_Q +NODE | ram/RASEN.Q | 10584 | ? | 0 | 0 | ram/RASEN | NULL | NULL | ram/RASEN.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 10807 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 10179 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd1 | 10791 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 10162 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ram/RS_FSM_FFd1.SI | ram/RS_FSM_FFd1 | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 10807 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 10179 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd1.D1 | 11189 | ? | 0 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd1.D1 | 10586 | ? | 0 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd1.D2 | 11190 | ? | 0 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd1.D2 | 10587 | ? | 0 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd2 SRFF_INSTANCE | ram/RS_FSM_FFd1.REG | ram/RS_FSM_FFd1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd1.D | 11188 | ? | 0 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.XOR | 0 | 7 | ALU_F +NODE | ram/RS_FSM_FFd1.D | 10585 | ? | 0 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd1.Q | 11191 | ? | 0 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.REG | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd1.Q | 10588 | ? | 0 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | IOACT | WarpSE_COPY_0_COPY_0 | 2155873280 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10782 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10783 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10154 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10780 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10151 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10777 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10148 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10778 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10149 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10776 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10147 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10806 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10235 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDinLE_OBUF.EXP | 11535 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.EXP | nDinLE_OBUF | 4 | 0 | MC_EXPORT +NODE | nDinLE_OBUF.EXP | 10920 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.EXP | nDinLE_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOACT | 10792 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +NODE | IOACT | 10163 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM SIGNAL_INSTANCE | IOACT.SI | IOACT | 0 | 9 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10782 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10783 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10154 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10780 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10151 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10777 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10148 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10778 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10149 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10776 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10147 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10806 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10235 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDinLE_OBUF.EXP | 11535 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.EXP | nDinLE_OBUF | 4 | 0 | MC_EXPORT +NODE | nDinLE_OBUF.EXP | 10920 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.EXP | nDinLE_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOACT.D1 | 11193 | ? | 0 | 4096 | IOACT | NULL | NULL | IOACT.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOACT.D1 | 10590 | ? | 0 | 4096 | IOACT | NULL | NULL | IOACT.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOACT.D2 | 11194 | ? | 0 | 4096 | IOACT | NULL | NULL | IOACT.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOACT.D2 | 10591 | ? | 0 | 4096 | IOACT | NULL | NULL | IOACT.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd4 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd5 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd6 @@ -3993,502 +4018,500 @@ SPPTERM | 3 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_TRUE | iobm/IORDREQr | IV_FALSE | SRFF_INSTANCE | IOACT.REG | IOACT | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOACT.D | 11192 | ? | 0 | 0 | IOACT | NULL | NULL | IOACT.XOR | 0 | 7 | ALU_F +NODE | IOACT.D | 10589 | ? | 0 | 0 | IOACT | NULL | NULL | IOACT.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOACT.Q | 11195 | ? | 0 | 0 | IOACT | NULL | NULL | IOACT.REG | 0 | 8 | SRFF_Q +NODE | IOACT.Q | 10592 | ? | 0 | 0 | IOACT | NULL | NULL | IOACT.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | cnt/WS<0> | WarpSE_COPY_0_COPY_0 | 2155877632 | 7 | 2 +MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | cnt/Wait<0> | WarpSE_COPY_0_COPY_0 | 2155877632 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<0> | 10793 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM +NODE | cnt/Wait<0> | 10164 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Wait<0>.Q | cnt/Wait<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10725 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 10092 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10144 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_3_IBUF | 10840 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_3_IBUF | 10210 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/WS<0> | 10793 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM +NODE | cnt/Wait<0> | 10164 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Wait<0>.Q | cnt/Wait<0> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/WS<0>.EXP | 11521 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.EXP | cnt/WS<0> | 4 | 0 | MC_EXPORT +NODE | cnt/Wait<0>.EXP | 10906 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Wait<0>.EXP | cnt/Wait<0> | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | cnt/WS<0>.SI | cnt/WS<0> | 0 | 6 | 3 +SIGNAL_INSTANCE | cnt/Wait<0>.SI | cnt/Wait<0> | 0 | 6 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<0> | 10793 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM +NODE | cnt/Wait<0> | 10164 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Wait<0>.Q | cnt/Wait<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10725 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 10092 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10144 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_3_IBUF | 10840 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_3_IBUF | 10210 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/WS<0>.D1 | 11197 | ? | 0 | 4096 | cnt/WS<0> | NULL | NULL | cnt/WS<0>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Wait<0>.D1 | 10594 | ? | 0 | 4096 | cnt/Wait<0> | NULL | NULL | cnt/Wait<0>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/WS<0>.D2 | 11198 | ? | 0 | 4096 | cnt/WS<0> | NULL | NULL | cnt/WS<0>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | cnt/WS<0> | IV_FALSE | fsb/ASrf +SIGNAL | NODE | cnt/Wait<0>.D2 | 10595 | ? | 0 | 4096 | cnt/Wait<0> | NULL | NULL | cnt/Wait<0>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | cnt/Wait<0> | IV_FALSE | fsb/ASrf OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/WS<0>.EXP | 11507 | ? | 0 | 0 | cnt/WS<0> | NULL | NULL | cnt/WS<0>.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | cnt/Wait<0>.EXP | 10892 | ? | 0 | 0 | cnt/Wait<0> | NULL | NULL | cnt/Wait<0>.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 2 | IV_TRUE | A_FSB_11_IBUF | IV_FALSE | ram/RASEL SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_3_IBUF -SRFF_INSTANCE | cnt/WS<0>.REG | cnt/WS<0> | 0 | 2 | 1 +SRFF_INSTANCE | cnt/Wait<0>.REG | cnt/Wait<0> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/WS<0>.D | 11196 | ? | 0 | 0 | cnt/WS<0> | NULL | NULL | cnt/WS<0>.XOR | 0 | 7 | ALU_F +NODE | cnt/Wait<0>.D | 10593 | ? | 0 | 0 | cnt/Wait<0> | NULL | NULL | cnt/Wait<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/WS<0>.Q | 11199 | ? | 0 | 0 | cnt/WS<0> | NULL | NULL | cnt/WS<0>.REG | 0 | 8 | SRFF_Q +NODE | cnt/Wait<0>.Q | 10596 | ? | 0 | 0 | cnt/Wait<0> | NULL | NULL | cnt/Wait<0>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 10795 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 10166 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd1 | 10794 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 10165 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd1.SI | iobm/IOS_FSM_FFd1 | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 10795 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 10166 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd1.D1 | 11201 | ? | 0 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd1.D1 | 10598 | ? | 0 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd1.D2 | 11202 | ? | 0 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd1.D2 | 10599 | ? | 0 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd2 SRFF_INSTANCE | iobm/IOS_FSM_FFd1.REG | iobm/IOS_FSM_FFd1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd1.D | 11200 | ? | 0 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd1.D | 10597 | ? | 0 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd1.Q | 11203 | ? | 0 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd1.Q | 10600 | ? | 0 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155873280 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE | 10739 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +NODE | IODONE | 10106 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10777 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10148 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10778 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10149 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 10736 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 10103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd2 | 10795 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 10166 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd2.SI | iobm/IOS_FSM_FFd2 | 0 | 4 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE | 10739 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +NODE | IODONE | 10106 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10777 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10148 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10778 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10149 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 10736 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 10103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd2.D1 | 11205 | ? | 0 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd2.D1 | 10602 | ? | 0 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd2.D2 | 11206 | ? | 0 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd2.D2 | 10603 | ? | 0 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/C8Mr SPPTERM | 3 | IV_TRUE | IODONE | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/C8Mr SRFF_INSTANCE | iobm/IOS_FSM_FFd2.REG | iobm/IOS_FSM_FFd2 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd2.D | 11204 | ? | 0 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd2.D | 10601 | ? | 0 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd2.Q | 11207 | ? | 0 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd2.Q | 10604 | ? | 0 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOACTr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 10792 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +NODE | IOACT | 10163 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOACTr | 10796 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 10167 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/IOACTr.SI | iobs/IOACTr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 10792 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +NODE | IOACT | 10163 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOACTr.D1 | 11209 | ? | 0 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOACTr.D1 | 10606 | ? | 0 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOACTr.D2 | 11210 | ? | 0 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOACTr.D2 | 10607 | ? | 0 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | IOACT SRFF_INSTANCE | iobs/IOACTr.REG | iobs/IOACTr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOACTr.D | 11208 | ? | 0 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.XOR | 0 | 7 | ALU_F +NODE | iobs/IOACTr.D | 10605 | ? | 0 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOACTr.Q | 11211 | ? | 0 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.REG | 0 | 8 | SRFF_Q +NODE | iobs/IOACTr.Q | 10608 | ? | 0 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/Load1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 19 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10067 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10069 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10070 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10090 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10146 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10145 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<1>.EXP | 11482 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.EXP | cnt/WS<1> | 4 | 0 | MC_EXPORT +NODE | nRAMUWE_OBUF.EXP | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/Load1 | 10797 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 10168 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/Load1.SI | iobs/Load1 | 0 | 18 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10067 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10069 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10070 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10090 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10146 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10145 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<1>.EXP | 11482 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.EXP | cnt/WS<1> | 4 | 0 | MC_EXPORT +NODE | nRAMUWE_OBUF.EXP | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/Load1.D1 | 11213 | ? | 0 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/Load1.D1 | 10610 | ? | 0 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/Load1.D2 | 11214 | ? | 0 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | cnt/WS<1>.EXP -SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | ALE1 +SIGNAL | NODE | iobs/Load1.D2 | 10611 | ? | 0 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nRAMUWE_OBUF.EXP SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | ALE1 SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 SRFF_INSTANCE | iobs/Load1.REG | iobs/Load1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/Load1.D | 11212 | ? | 0 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.XOR | 0 | 7 | ALU_F +NODE | iobs/Load1.D | 10609 | ? | 0 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/Load1.Q | 11215 | ? | 0 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.REG | 0 | 8 | SRFF_Q +NODE | iobs/Load1.Q | 10612 | ? | 0 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/DTACKr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF | 10772 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +NODE | nDTACK_FSB_OBUF | 10143 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/DTACKr | 10798 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/DTACKr.Q | ram/DTACKr | 1 | 0 | MC_UIM +NODE | ram/DTACKr | 10169 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/DTACKr.Q | ram/DTACKr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ram/DTACKr.SI | ram/DTACKr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF | 10772 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +NODE | nDTACK_FSB_OBUF | 10143 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/DTACKr.D1 | 11217 | ? | 0 | 4096 | ram/DTACKr | NULL | NULL | ram/DTACKr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/DTACKr.D1 | 10614 | ? | 0 | 4096 | ram/DTACKr | NULL | NULL | ram/DTACKr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/DTACKr.D2 | 11218 | ? | 0 | 4096 | ram/DTACKr | NULL | NULL | ram/DTACKr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/DTACKr.D2 | 10615 | ? | 0 | 4096 | ram/DTACKr | NULL | NULL | ram/DTACKr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nDTACK_FSB_OBUF SRFF_INSTANCE | ram/DTACKr.REG | ram/DTACKr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/DTACKr.D | 11216 | ? | 0 | 0 | ram/DTACKr | NULL | NULL | ram/DTACKr.XOR | 0 | 7 | ALU_F +NODE | ram/DTACKr.D | 10613 | ? | 0 | 0 | ram/DTACKr | NULL | NULL | ram/DTACKr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/DTACKr.Q | 11219 | ? | 0 | 0 | ram/DTACKr | NULL | NULL | ram/DTACKr.REG | 0 | 8 | SRFF_Q +NODE | ram/DTACKr.Q | 10616 | ? | 0 | 0 | ram/DTACKr | NULL | NULL | ram/DTACKr.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd5 | WarpSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 10800 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd6 | 10171 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/DTACKr | 10798 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/DTACKr.Q | ram/DTACKr | 1 | 0 | MC_UIM +NODE | ram/DTACKr | 10169 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/DTACKr.Q | ram/DTACKr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 10799 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd5 | 10170 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd5 | 10799 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd5 | 10170 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ram/RS_FSM_FFd5.SI | ram/RS_FSM_FFd5 | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 10800 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd6 | 10171 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/DTACKr | 10798 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/DTACKr.Q | ram/DTACKr | 1 | 0 | MC_UIM +NODE | ram/DTACKr | 10169 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/DTACKr.Q | ram/DTACKr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 10799 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd5 | 10170 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd5.D1 | 11221 | ? | 0 | 4096 | ram/RS_FSM_FFd5 | NULL | NULL | ram/RS_FSM_FFd5.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd5.D1 | 10618 | ? | 0 | 4096 | ram/RS_FSM_FFd5 | NULL | NULL | ram/RS_FSM_FFd5.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd5.D2 | 11222 | ? | 0 | 4096 | ram/RS_FSM_FFd5 | NULL | NULL | ram/RS_FSM_FFd5.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd5.D2 | 10619 | ? | 0 | 4096 | ram/RS_FSM_FFd5 | NULL | NULL | ram/RS_FSM_FFd5.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd6 SPPTERM | 2 | IV_FALSE | ram/DTACKr | IV_TRUE | ram/RS_FSM_FFd5 SRFF_INSTANCE | ram/RS_FSM_FFd5.REG | ram/RS_FSM_FFd5 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd5.D | 11220 | ? | 0 | 0 | ram/RS_FSM_FFd5 | NULL | NULL | ram/RS_FSM_FFd5.XOR | 0 | 7 | ALU_F +NODE | ram/RS_FSM_FFd5.D | 10617 | ? | 0 | 0 | ram/RS_FSM_FFd5 | NULL | NULL | ram/RS_FSM_FFd5.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd5.Q | 11223 | ? | 0 | 0 | ram/RS_FSM_FFd5 | NULL | NULL | ram/RS_FSM_FFd5.REG | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd5.Q | 10620 | ? | 0 | 0 | ram/RS_FSM_FFd5 | NULL | NULL | ram/RS_FSM_FFd5.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd6 | WarpSE_COPY_0_COPY_0 | 2155873280 | 11 | 2 +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd6 | WarpSE_COPY_0_COPY_0 | 2155873280 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10110 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 10155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +NODE | ram/RASEN | 10161 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<2> | 10805 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.Q | cnt/WS<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<0> | 10793 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<1> | 10804 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd6 | 10800 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | ram/RS_FSM_FFd6.EXP | 11478 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.EXP | ram/RS_FSM_FFd6 | 4 | 0 | MC_EXPORT +NODE | ram/RS_FSM_FFd6 | 10171 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | ram/RS_FSM_FFd6.SI | ram/RS_FSM_FFd6 | 0 | 10 | 3 +SIGNAL_INSTANCE | ram/RS_FSM_FFd6.SI | ram/RS_FSM_FFd6 | 0 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10110 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 10155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +NODE | ram/RASEN | 10161 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<2> | 10805 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.Q | cnt/WS<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<0> | 10793 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<1> | 10804 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd6.D1 | 11225 | ? | 0 | 4096 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd6.D1 | 10622 | ? | 0 | 4096 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd6.D2 | 11226 | ? | 0 | 4096 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd6.D2 | 10623 | ? | 0 | 4096 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.SI | 2 | 9 | MC_SI_D2 SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | ram/RASEN SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | ram/RASEN | IV_TRUE | fsb/ASrf -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | ram/RS_FSM_FFd6.EXP | 11466 | ? | 0 | 0 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | cnt/WS<2> | IV_FALSE | fsb/ASrf -SPPTERM | 3 | IV_TRUE | cnt/WS<0> | IV_TRUE | cnt/WS<1> | IV_TRUE | fsb/ASrf SRFF_INSTANCE | ram/RS_FSM_FFd6.REG | ram/RS_FSM_FFd6 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd6.D | 11224 | ? | 0 | 0 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.XOR | 0 | 7 | ALU_F +NODE | ram/RS_FSM_FFd6.D | 10621 | ? | 0 | 0 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd6.Q | 11227 | ? | 0 | 0 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.REG | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd6.Q | 10624 | ? | 0 | 0 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd7 | WarpSE_COPY_0_COPY_0 | 2155873280 | 11 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd7 | WarpSE_COPY_0_COPY_0 | 2155873280 | 14 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 10789 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd4 | 10160 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 10155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +NODE | ram/RASEN | 10161 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3.EXP | 11463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.EXP | ram/RS_FSM_FFd3 | 4 | 0 | MC_EXPORT +NODE | cs/nOverlay | 10110 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | iobs/TS_FSM_FFd2 | 10146 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRAS_OBUF.EXP | 10931 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd7 | 10801 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd7 | 10172 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | ram/RS_FSM_FFd7.EXP | 10930 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.EXP | ram/RS_FSM_FFd7 | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | ram/RS_FSM_FFd7.SI | ram/RS_FSM_FFd7 | 0 | 10 | 2 +SIGNAL_INSTANCE | ram/RS_FSM_FFd7.SI | ram/RS_FSM_FFd7 | 0 | 13 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 10789 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd4 | 10160 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 10155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +NODE | ram/RASEN | 10161 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3.EXP | 11463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.EXP | ram/RS_FSM_FFd3 | 4 | 0 | MC_EXPORT +NODE | cs/nOverlay | 10110 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 10146 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRAS_OBUF.EXP | 10931 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd7.D1 | 11229 | ? | 0 | 4096 | ram/RS_FSM_FFd7 | NULL | NULL | ram/RS_FSM_FFd7.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd7.D1 | 10626 | ? | 0 | 4096 | ram/RS_FSM_FFd7 | NULL | NULL | ram/RS_FSM_FFd7.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd7.D2 | 11230 | ? | 0 | 4096 | ram/RS_FSM_FFd7 | NULL | NULL | ram/RS_FSM_FFd7.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd3.EXP +SIGNAL | NODE | ram/RS_FSM_FFd7.D2 | 10627 | ? | 0 | 4096 | ram/RS_FSM_FFd7 | NULL | NULL | ram/RS_FSM_FFd7.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nRAS_OBUF.EXP SPPTERM | 3 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd4 SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd8 SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd8 SPPTERM | 4 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | ram/RASEN -SPPTERM | 5 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | fsb/ASrf +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | ram/RS_FSM_FFd7.EXP | 10923 | ? | 0 | 0 | ram/RS_FSM_FFd7 | NULL | NULL | ram/RS_FSM_FFd7.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SRFF_INSTANCE | ram/RS_FSM_FFd7.REG | ram/RS_FSM_FFd7 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd7.D | 11228 | ? | 0 | 0 | ram/RS_FSM_FFd7 | NULL | NULL | ram/RS_FSM_FFd7.XOR | 0 | 7 | ALU_F +NODE | ram/RS_FSM_FFd7.D | 10625 | ? | 0 | 0 | ram/RS_FSM_FFd7 | NULL | NULL | ram/RS_FSM_FFd7.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd7.Q | 11231 | ? | 0 | 0 | ram/RS_FSM_FFd7 | NULL | NULL | ram/RS_FSM_FFd7.REG | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd7.Q | 10628 | ? | 0 | 0 | ram/RS_FSM_FFd7 | NULL | NULL | ram/RS_FSM_FFd7.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | IOL0 | WarpSE_COPY_0_COPY_0 | 2155877632 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10145 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10146 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 10759 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 10130 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 10802 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 10173 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOL1 | 10760 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +NODE | iobs/IOL1 | 10131 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_11_OBUF$BUF0.EXP | 11557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.EXP | RA_11_OBUF$BUF0 | 4 | 0 | MC_EXPORT +NODE | N0.EXP | 10879 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.EXP | N0 | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP25_.EXP | 11571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT +NODE | EXP11_.EXP | 10880 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOL0 | 10802 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 10173 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | IOL0.SI | IOL0 | 0 | 9 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10145 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10146 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 10759 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 10130 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 10802 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 10173 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOL1 | 10760 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +NODE | iobs/IOL1 | 10131 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_11_OBUF$BUF0.EXP | 11557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.EXP | RA_11_OBUF$BUF0 | 4 | 0 | MC_EXPORT +NODE | N0.EXP | 10879 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.EXP | N0 | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP25_.EXP | 11571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT +NODE | EXP11_.EXP | 10880 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOL0.D1 | 11233 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOL0.D1 | 10630 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOL0.D2 | 11234 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOL0.D2 | 10631 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobs/TS_FSM_FFd1 -SPPTERM | 1 | IV_TRUE | RA_11_OBUF$BUF0.EXP -SPPTERM | 1 | IV_TRUE | EXP25_.EXP +SPPTERM | 1 | IV_TRUE | N0.EXP +SPPTERM | 1 | IV_TRUE | EXP11_.EXP SPPTERM | 3 | IV_TRUE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 3 | IV_TRUE | nLDS_FSB_IBUF | IV_FALSE | IOL0 | IV_TRUE | ALE1 SPPTERM | 3 | IV_FALSE | nLDS_FSB_IBUF | IV_TRUE | IOL0 | IV_TRUE | ALE1 @@ -4496,63 +4519,63 @@ SPPTERM | 3 | IV_TRUE | iobs/IOL1 | IV_TRUE | IOL0 | IV_FALSE | ALE1 SRFF_INSTANCE | IOL0.REG | IOL0 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOL0.D | 11232 | ? | 0 | 0 | IOL0 | NULL | NULL | IOL0.XOR | 0 | 7 | ALU_F +NODE | IOL0.D | 10629 | ? | 0 | 0 | IOL0 | NULL | NULL | IOL0.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOL0.Q | 11235 | ? | 0 | 0 | IOL0 | NULL | NULL | IOL0.REG | 0 | 8 | SRFF_Q +NODE | IOL0.Q | 10632 | ? | 0 | 0 | IOL0 | NULL | NULL | IOL0.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | IOU0 | WarpSE_COPY_0_COPY_0 | 2155877632 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10145 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10146 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 10761 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 10132 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 10803 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | IOU0 | 10174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOU1 | 10762 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +NODE | iobs/IOU1 | 10133 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP24_.EXP | 11567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT +NODE | EXP10_.EXP | 10876 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBR_IOB_OBUF.EXP | 11568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.EXP | nBR_IOB_OBUF | 4 | 0 | MC_EXPORT +NODE | nDoutOE_OBUF.EXP | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.EXP | nDoutOE_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOU0 | 10803 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | IOU0 | 10174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | IOU0.SI | IOU0 | 0 | 9 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10145 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10146 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 10761 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 10132 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 10803 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | IOU0 | 10174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOU1 | 10762 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +NODE | iobs/IOU1 | 10133 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP24_.EXP | 11567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT +NODE | EXP10_.EXP | 10876 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBR_IOB_OBUF.EXP | 11568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.EXP | nBR_IOB_OBUF | 4 | 0 | MC_EXPORT +NODE | nDoutOE_OBUF.EXP | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.EXP | nDoutOE_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOU0.D1 | 11237 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOU0.D1 | 10634 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOU0.D2 | 11238 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOU0.D2 | 10635 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobs/TS_FSM_FFd1 -SPPTERM | 1 | IV_TRUE | EXP24_.EXP -SPPTERM | 1 | IV_TRUE | nBR_IOB_OBUF.EXP +SPPTERM | 1 | IV_TRUE | EXP10_.EXP +SPPTERM | 1 | IV_TRUE | nDoutOE_OBUF.EXP SPPTERM | 3 | IV_TRUE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 3 | IV_TRUE | nUDS_FSB_IBUF | IV_FALSE | IOU0 | IV_TRUE | ALE1 SPPTERM | 3 | IV_FALSE | nUDS_FSB_IBUF | IV_TRUE | IOU0 | IV_TRUE | ALE1 @@ -4560,364 +4583,300 @@ SPPTERM | 3 | IV_TRUE | iobs/IOU1 | IV_TRUE | IOU0 | IV_FALSE | ALE1 SRFF_INSTANCE | IOU0.REG | IOU0 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOU0.D | 11236 | ? | 0 | 0 | IOU0 | NULL | NULL | IOU0.XOR | 0 | 7 | ALU_F +NODE | IOU0.D | 10633 | ? | 0 | 0 | IOU0 | NULL | NULL | IOU0.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOU0.Q | 11239 | ? | 0 | 0 | IOU0 | NULL | NULL | IOU0.REG | 0 | 8 | SRFF_Q +NODE | IOU0.Q | 10636 | ? | 0 | 0 | IOU0 | NULL | NULL | IOU0.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | cnt/WS<1> | WarpSE_COPY_0_COPY_0 | 2155873536 | 20 | 2 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | cnt/Wait<1> | WarpSE_COPY_0_COPY_0 | 2155873536 | 9 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<0> | 10793 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM +NODE | cnt/Wait<0> | 10164 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Wait<0>.Q | cnt/Wait<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<1> | 10804 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM +NODE | cnt/Wait<1> | 10175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Wait<1>.Q | cnt/Wait<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | QoSReady | 10122 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | QoSReady.Q | QoSReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | cnt/Wait<2> | 10176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Wait<2>.Q | cnt/Wait<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | cnt/Wait<3> | 10177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Wait<3>.Q | cnt/Wait<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<3>.EXP | 11481 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.EXP | cnt/WS<3> | 4 | 0 | MC_EXPORT +NODE | cnt/Wait<4> | 10187 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Wait<4>.Q | cnt/Wait<4> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/WS<1> | 10804 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM +NODE | cnt/Wait<1> | 10175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Wait<1>.Q | cnt/Wait<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/WS<1>.EXP | 11482 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.EXP | cnt/WS<1> | 4 | 0 | MC_EXPORT +NODE | cnt/Wait<1>.EXP | 10857 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Wait<1>.EXP | cnt/Wait<1> | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | cnt/WS<1>.SI | cnt/WS<1> | 0 | 19 | 3 +SIGNAL_INSTANCE | cnt/Wait<1>.SI | cnt/Wait<1> | 0 | 8 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<0> | 10793 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM +NODE | cnt/Wait<0> | 10164 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Wait<0>.Q | cnt/Wait<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<1> | 10804 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM +NODE | cnt/Wait<1> | 10175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Wait<1>.Q | cnt/Wait<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | QoSReady | 10122 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | QoSReady.Q | QoSReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | cnt/Wait<2> | 10176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Wait<2>.Q | cnt/Wait<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | cnt/Wait<3> | 10177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Wait<3>.Q | cnt/Wait<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<3>.EXP | 11481 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.EXP | cnt/WS<3> | 4 | 0 | MC_EXPORT +NODE | cnt/Wait<4> | 10187 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Wait<4>.Q | cnt/Wait<4> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/WS<1>.D1 | 11241 | ? | 0 | 4096 | cnt/WS<1> | NULL | NULL | cnt/WS<1>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Wait<1>.D1 | 10638 | ? | 0 | 4096 | cnt/Wait<1> | NULL | NULL | cnt/Wait<1>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/WS<1>.D2 | 11242 | ? | 0 | 4096 | cnt/WS<1> | NULL | NULL | cnt/WS<1>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | cnt/WS<3>.EXP -SPPTERM | 2 | IV_TRUE | cnt/WS<0> | IV_TRUE | cnt/WS<1> -SPPTERM | 2 | IV_FALSE | cnt/WS<0> | IV_FALSE | cnt/WS<1> +SIGNAL | NODE | cnt/Wait<1>.D2 | 10639 | ? | 0 | 4096 | cnt/Wait<1> | NULL | NULL | cnt/Wait<1>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 2 | IV_TRUE | cnt/Wait<0> | IV_TRUE | cnt/Wait<1> +SPPTERM | 2 | IV_FALSE | cnt/Wait<0> | IV_FALSE | cnt/Wait<1> OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/WS<1>.EXP | 11470 | ? | 0 | 0 | cnt/WS<1> | NULL | NULL | cnt/WS<1>.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SIGNAL | NODE | cnt/Wait<1>.EXP | 10850 | ? | 0 | 0 | cnt/Wait<1> | NULL | NULL | cnt/Wait<1>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_TRUE | QoSReady | IV_TRUE | fsb/ASrf +SPPTERM | 5 | IV_FALSE | cnt/Wait<0> | IV_FALSE | cnt/Wait<1> | IV_FALSE | cnt/Wait<2> | IV_FALSE | cnt/Wait<3> | IV_TRUE | cnt/Wait<4> -SRFF_INSTANCE | cnt/WS<1>.REG | cnt/WS<1> | 0 | 2 | 1 +SRFF_INSTANCE | cnt/Wait<1>.REG | cnt/Wait<1> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/WS<1>.D | 11240 | ? | 0 | 0 | cnt/WS<1> | NULL | NULL | cnt/WS<1>.XOR | 0 | 7 | ALU_F +NODE | cnt/Wait<1>.D | 10637 | ? | 0 | 0 | cnt/Wait<1> | NULL | NULL | cnt/Wait<1>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/WS<1>.Q | 11243 | ? | 0 | 0 | cnt/WS<1> | NULL | NULL | cnt/WS<1>.REG | 0 | 8 | SRFF_Q +NODE | cnt/Wait<1>.Q | 10640 | ? | 0 | 0 | cnt/Wait<1> | NULL | NULL | cnt/Wait<1>.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/WS<2> | WarpSE_COPY_0_COPY_0 | 2155877376 | 11 | 2 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/Wait<2> | WarpSE_COPY_0_COPY_0 | 2155877376 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<0> | 10793 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM +NODE | cnt/Wait<0> | 10164 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Wait<0>.Q | cnt/Wait<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<1> | 10804 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM +NODE | cnt/Wait<1> | 10175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Wait<1>.Q | cnt/Wait<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | cnt/Wait<2> | 10176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Wait<2>.Q | cnt/Wait<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM | 10908 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$350_INV$537.Q | $OpTx$$OpTx$FX_DC$350_INV$537 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6.EXP | 11478 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.EXP | ram/RS_FSM_FFd6 | 4 | 0 | MC_EXPORT +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/WS<2> | 10805 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.Q | cnt/WS<2> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/WS<2>.EXP | 11477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.EXP | cnt/WS<2> | 4 | 0 | MC_EXPORT +NODE | cnt/Wait<2> | 10176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Wait<2>.Q | cnt/Wait<2> | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | cnt/WS<2>.SI | cnt/WS<2> | 0 | 10 | 3 +SIGNAL_INSTANCE | cnt/Wait<2>.SI | cnt/Wait<2> | 0 | 5 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<0> | 10793 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM +NODE | cnt/Wait<0> | 10164 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Wait<0>.Q | cnt/Wait<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<1> | 10804 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM +NODE | cnt/Wait<1> | 10175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Wait<1>.Q | cnt/Wait<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM | 10908 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$350_INV$537.Q | $OpTx$$OpTx$FX_DC$350_INV$537 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6.EXP | 11478 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.EXP | ram/RS_FSM_FFd6 | 4 | 0 | MC_EXPORT +NODE | cnt/Wait<2> | 10176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Wait<2>.Q | cnt/Wait<2> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/WS<2>.D1 | 11245 | ? | 0 | 4096 | cnt/WS<2> | NULL | NULL | cnt/WS<2>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Wait<2>.D1 | 10642 | ? | 0 | 4096 | cnt/Wait<2> | NULL | NULL | cnt/Wait<2>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/WS<2>.D2 | 11246 | ? | 0 | 4096 | cnt/WS<2> | NULL | NULL | cnt/WS<2>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd6.EXP -SPPTERM | 3 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/WS<0> | IV_TRUE | cnt/WS<1> -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/WS<2>.EXP | 11465 | ? | 0 | 0 | cnt/WS<2> | NULL | NULL | cnt/WS<2>.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM +SIGNAL | NODE | cnt/Wait<2>.D2 | 10643 | ? | 0 | 4096 | cnt/Wait<2> | NULL | NULL | cnt/Wait<2>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | cnt/Wait<2> | IV_FALSE | fsb/ASrf +SPPTERM | 3 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/Wait<0> | IV_TRUE | cnt/Wait<1> +SPPTERM | 3 | IV_TRUE | cnt/Wait<0> | IV_TRUE | cnt/Wait<1> | IV_TRUE | fsb/ASrf -SRFF_INSTANCE | cnt/WS<2>.REG | cnt/WS<2> | 0 | 2 | 1 +SRFF_INSTANCE | cnt/Wait<2>.REG | cnt/Wait<2> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/WS<2>.D | 11244 | ? | 0 | 0 | cnt/WS<2> | NULL | NULL | cnt/WS<2>.XOR | 0 | 7 | ALU_F +NODE | cnt/Wait<2>.D | 10641 | ? | 0 | 0 | cnt/Wait<2> | NULL | NULL | cnt/Wait<2>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/WS<2>.Q | 11247 | ? | 0 | 0 | cnt/WS<2> | NULL | NULL | cnt/WS<2>.REG | 0 | 8 | SRFF_Q +NODE | cnt/Wait<2>.Q | 10644 | ? | 0 | 0 | cnt/Wait<2> | NULL | NULL | cnt/Wait<2>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/Wait<3> | WarpSE_COPY_0_COPY_0 | 2155877376 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Wait<3> | 10177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Wait<3>.Q | cnt/Wait<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Wait<0> | 10164 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Wait<0>.Q | cnt/Wait<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Wait<1> | 10175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Wait<1>.Q | cnt/Wait<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Wait<2> | 10176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Wait<2>.Q | cnt/Wait<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/Wait<3> | 10177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Wait<3>.Q | cnt/Wait<3> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/Wait<3>.SI | cnt/Wait<3> | 0 | 6 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Wait<3> | 10177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Wait<3>.Q | cnt/Wait<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Wait<0> | 10164 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Wait<0>.Q | cnt/Wait<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Wait<1> | 10175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Wait<1>.Q | cnt/Wait<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Wait<2> | 10176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Wait<2>.Q | cnt/Wait<2> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Wait<3>.D1 | 10646 | ? | 0 | 4096 | cnt/Wait<3> | NULL | NULL | cnt/Wait<3>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Wait<3>.D2 | 10647 | ? | 0 | 4096 | cnt/Wait<3> | NULL | NULL | cnt/Wait<3>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | cnt/Wait<3> | IV_FALSE | fsb/ASrf +SPPTERM | 4 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/Wait<0> | IV_TRUE | cnt/Wait<1> | IV_TRUE | cnt/Wait<2> +SPPTERM | 4 | IV_TRUE | cnt/Wait<0> | IV_TRUE | cnt/Wait<1> | IV_TRUE | cnt/Wait<2> | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | cnt/Wait<3>.REG | cnt/Wait<3> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/Wait<3>.D | 10645 | ? | 0 | 0 | cnt/Wait<3> | NULL | NULL | cnt/Wait<3>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/Wait<3>.Q | 10648 | ? | 0 | 0 | cnt/Wait<3> | NULL | NULL | cnt/Wait<3>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IORDREQr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORDREQ | 10810 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM +NODE | IORDREQ | 10183 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IORDREQr | 10806 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IORDREQr.SI | iobm/IORDREQr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORDREQ | 10810 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM +NODE | IORDREQ | 10183 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IORDREQr.D1 | 11249 | ? | 0 | 4096 | iobm/IORDREQr | NULL | NULL | iobm/IORDREQr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IORDREQr.D1 | 10650 | ? | 0 | 4096 | iobm/IORDREQr | NULL | NULL | iobm/IORDREQr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IORDREQr.D2 | 11250 | ? | 0 | 4096 | iobm/IORDREQr | NULL | NULL | iobm/IORDREQr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IORDREQr.D2 | 10651 | ? | 0 | 4096 | iobm/IORDREQr | NULL | NULL | iobm/IORDREQr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | IORDREQ SRFF_INSTANCE | iobm/IORDREQr.REG | iobm/IORDREQr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IORDREQr.D | 11248 | ? | 0 | 0 | iobm/IORDREQr | NULL | NULL | iobm/IORDREQr.XOR | 0 | 7 | ALU_F +NODE | iobm/IORDREQr.D | 10649 | ? | 0 | 0 | iobm/IORDREQr | NULL | NULL | iobm/IORDREQr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IORDREQr.Q | 11251 | ? | 0 | 0 | iobm/IORDREQr | NULL | NULL | iobm/IORDREQr.REG | 0 | 8 | SRFF_Q +NODE | iobm/IORDREQr.Q | 10652 | ? | 0 | 0 | iobm/IORDREQr | NULL | NULL | iobm/IORDREQr.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 10808 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 10180 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd2 | 10807 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 10179 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ram/RS_FSM_FFd2.SI | ram/RS_FSM_FFd2 | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 10808 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 10180 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd2.D1 | 11253 | ? | 0 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd2.D1 | 10654 | ? | 0 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd2.D2 | 11254 | ? | 0 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd2.D2 | 10655 | ? | 0 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd3 SRFF_INSTANCE | ram/RS_FSM_FFd2.REG | ram/RS_FSM_FFd2 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd2.D | 11252 | ? | 0 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.XOR | 0 | 7 | ALU_F +NODE | ram/RS_FSM_FFd2.D | 10653 | ? | 0 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd2.Q | 11255 | ? | 0 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.REG | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd2.Q | 10656 | ? | 0 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd3 | WarpSE_COPY_0_COPY_0 | 2155873280 | 10 | 2 +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd3 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 10801 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd7 | 10172 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 10824 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd3 | 10808 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | ram/RS_FSM_FFd3.EXP | 11463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.EXP | ram/RS_FSM_FFd3 | 4 | 0 | MC_EXPORT +NODE | ram/RS_FSM_FFd3 | 10180 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | ram/RS_FSM_FFd3.SI | ram/RS_FSM_FFd3 | 0 | 9 | 3 +SIGNAL_INSTANCE | ram/RS_FSM_FFd3.SI | ram/RS_FSM_FFd3 | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 10801 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 10824 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd7 | 10172 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd3.D1 | 11257 | ? | 0 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd3.D1 | 10658 | ? | 0 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd3.D2 | 11258 | ? | 0 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd3.D2 | 10659 | ? | 0 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd7 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | ram/RS_FSM_FFd3.EXP | 11462 | ? | 0 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | ram/BACTr -SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | ram/BACTr -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf SRFF_INSTANCE | ram/RS_FSM_FFd3.REG | ram/RS_FSM_FFd3 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd3.D | 11256 | ? | 0 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.XOR | 0 | 7 | ALU_F +NODE | ram/RS_FSM_FFd3.D | 10657 | ? | 0 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd3.Q | 11259 | ? | 0 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.REG | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd3.Q | 10660 | ? | 0 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ALE0M | WarpSE_COPY_0_COPY_0 | 2155873536 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10776 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10147 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10777 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10148 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10780 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10151 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10782 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10783 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10154 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 10794 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 10165 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 10795 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 10166 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0M | 10809 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM +NODE | ALE0M | 10181 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10235 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10806 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10821 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10193 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ALE0M | 10809 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM +NODE | ALE0M | 10181 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ALE0M.SI | ALE0M | 0 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10776 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10147 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10777 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10148 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10780 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10151 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10782 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10783 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10154 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 10794 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 10165 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 10795 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 10166 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0M | 10809 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM +NODE | ALE0M | 10181 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10235 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10806 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10821 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10193 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ALE0M.D1 | 11261 | ? | 0 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ALE0M.D1 | 10662 | ? | 0 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ALE0M.D2 | 11262 | ? | 0 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ALE0M.D2 | 10663 | ? | 0 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 2 | 9 | MC_SI_D2 SPPTERM | 6 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | AoutOE SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | iobm/IOS_FSM_FFd1 SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | iobm/IOS_FSM_FFd2 @@ -4926,153 +4885,172 @@ SPPTERM | 7 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FA SRFF_INSTANCE | ALE0M.REG | ALE0M | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ALE0M.D | 11260 | ? | 0 | 0 | ALE0M | NULL | NULL | ALE0M.XOR | 0 | 7 | ALU_F +NODE | ALE0M.D | 10661 | ? | 0 | 0 | ALE0M | NULL | NULL | ALE0M.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ALE0M.Q | 11263 | ? | 0 | 0 | ALE0M | NULL | NULL | ALE0M.REG | 0 | 8 | SRFF_Q +NODE | ALE0M.Q | 10664 | ? | 0 | 0 | ALE0M | NULL | NULL | ALE0M.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | IORDREQ | WarpSE_COPY_0_COPY_0 | 2155873536 | 12 | 2 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | BACTr | WarpSE_COPY_0_COPY_0 | 2155873536 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP11_.EXP | 11484 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IORDREQ | 10810 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | IORDREQ.EXP | 11485 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.EXP | IORDREQ | 4 | 0 | MC_EXPORT +NODE | BACTr | 10182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | BACTr.Q | BACTr | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | IORDREQ.SI | IORDREQ | 0 | 11 | 3 +SIGNAL_INSTANCE | BACTr.SI | BACTr | 0 | 2 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP11_.EXP | 11484 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IORDREQ.D1 | 11265 | ? | 0 | 4096 | IORDREQ | NULL | NULL | IORDREQ.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | BACTr.D1 | 10666 | ? | 0 | 4096 | BACTr | NULL | NULL | BACTr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IORDREQ.D2 | 11266 | ? | 0 | 4096 | IORDREQ | NULL | NULL | IORDREQ.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP11_.EXP +SIGNAL | NODE | BACTr.D2 | 10667 | ? | 0 | 4096 | BACTr | NULL | NULL | BACTr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf + +SRFF_INSTANCE | BACTr.REG | BACTr | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | BACTr.D | 10665 | ? | 0 | 0 | BACTr | NULL | NULL | BACTr.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | BACTr.Q | 10668 | ? | 0 | 0 | BACTr | NULL | NULL | BACTr.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | IORDREQ | WarpSE_COPY_0_COPY_0 | 2155873536 | 10 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 10145 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 10146 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 10167 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORDREQ | 10183 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 10096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_11_OBUF$BUF0.EXP | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.EXP | RA_11_OBUF$BUF0 | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd7.EXP | 10930 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.EXP | ram/RS_FSM_FFd7 | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | IORDREQ | 10183 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | IORDREQ.SI | IORDREQ | 0 | 9 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 10145 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 10146 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 10167 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORDREQ | 10183 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 10096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_11_OBUF$BUF0.EXP | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.EXP | RA_11_OBUF$BUF0 | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd7.EXP | 10930 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.EXP | ram/RS_FSM_FFd7 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IORDREQ.D1 | 10670 | ? | 0 | 4096 | IORDREQ | NULL | NULL | IORDREQ.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IORDREQ.D2 | 10671 | ? | 0 | 4096 | IORDREQ | NULL | NULL | IORDREQ.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | RA_11_OBUF$BUF0.EXP +SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd7.EXP SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | IORDREQ.EXP | 11473 | ? | 0 | 0 | IORDREQ | NULL | NULL | IORDREQ.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | iobs/IOACTr +SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd2 | IV_FALSE | IORDREQ +SPPTERM | 3 | IV_TRUE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 3 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SRFF_INSTANCE | IORDREQ.REG | IORDREQ | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IORDREQ.D | 11264 | ? | 0 | 0 | IORDREQ | NULL | NULL | IORDREQ.XOR | 0 | 7 | ALU_F +NODE | IORDREQ.D | 10669 | ? | 0 | 0 | IORDREQ | NULL | NULL | IORDREQ.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IORDREQ.Q | 11267 | ? | 0 | 0 | IORDREQ | NULL | NULL | IORDREQ.REG | 0 | 8 | SRFF_Q +NODE | IORDREQ.Q | 10672 | ? | 0 | 0 | IORDREQ | NULL | NULL | IORDREQ.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | IOWRREQ | WarpSE_COPY_0_COPY_0 | 2155873280 | 14 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10145 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10146 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOWRREQ | 10811 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM +NODE | IOWRREQ | 10184 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 10796 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 10167 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 10756 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 10126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAMUWE_OBUF.EXP | 11563 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT +NODE | EXP12_.EXP | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP22_.EXP | 11564 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT +NODE | EXP13_.EXP | 10883 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOWRREQ | 10811 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM +NODE | IOWRREQ | 10184 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM SIGNAL_INSTANCE | IOWRREQ.SI | IOWRREQ | 0 | 13 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10145 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10146 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOWRREQ | 10811 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM +NODE | IOWRREQ | 10184 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 10796 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 10167 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 10756 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 10126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAMUWE_OBUF.EXP | 11563 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT +NODE | EXP12_.EXP | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP22_.EXP | 11564 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT +NODE | EXP13_.EXP | 10883 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOWRREQ.D1 | 11269 | ? | 0 | 4096 | IOWRREQ | NULL | NULL | IOWRREQ.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOWRREQ.D1 | 10674 | ? | 0 | 4096 | IOWRREQ | NULL | NULL | IOWRREQ.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOWRREQ.D2 | 11270 | ? | 0 | 4096 | IOWRREQ | NULL | NULL | IOWRREQ.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nRAMUWE_OBUF.EXP -SPPTERM | 1 | IV_TRUE | EXP22_.EXP +SIGNAL | NODE | IOWRREQ.D2 | 10675 | ? | 0 | 4096 | IOWRREQ | NULL | NULL | IOWRREQ.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP12_.EXP +SPPTERM | 1 | IV_TRUE | EXP13_.EXP SPPTERM | 3 | IV_FALSE | iobs/TS_FSM_FFd1 | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | IOWRREQ SPPTERM | 3 | IV_TRUE | iobs/TS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | IOWRREQ SPPTERM | 4 | IV_FALSE | iobs/IORW1 | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | ALE1 @@ -5081,347 +5059,278 @@ SPPTERM | 7 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FS SRFF_INSTANCE | IOWRREQ.REG | IOWRREQ | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOWRREQ.D | 11268 | ? | 0 | 0 | IOWRREQ | NULL | NULL | IOWRREQ.XOR | 0 | 7 | ALU_F +NODE | IOWRREQ.D | 10673 | ? | 0 | 0 | IOWRREQ | NULL | NULL | IOWRREQ.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOWRREQ.Q | 11271 | ? | 0 | 0 | IOWRREQ | NULL | NULL | IOWRREQ.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | QoSReady | WarpSE_COPY_0_COPY_0 | 2155873280 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM | 10908 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$350_INV$537.Q | $OpTx$$OpTx$FX_DC$350_INV$537 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | QoSReady | 10812 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | QoSReady.Q | QoSReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP10_.EXP | 11476 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<2>.EXP | 11477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.EXP | cnt/WS<2> | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | QoSReady | 10812 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | QoSReady.Q | QoSReady | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | QoSReady.SI | QoSReady | 0 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM | 10908 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$350_INV$537.Q | $OpTx$$OpTx$FX_DC$350_INV$537 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | QoSReady | 10812 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | QoSReady.Q | QoSReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP10_.EXP | 11476 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<2>.EXP | 11477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.EXP | cnt/WS<2> | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | QoSReady.D1 | 11273 | ? | 0 | 4096 | QoSReady | NULL | NULL | QoSReady.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | QoSReady.D2 | 11274 | ? | 0 | 4096 | QoSReady | NULL | NULL | QoSReady.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP10_.EXP -SPPTERM | 1 | IV_TRUE | cnt/WS<2>.EXP -SPPTERM | 2 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM -SPPTERM | 2 | IV_FALSE | cnt/LTimer<0> | IV_FALSE | cnt/LTimer<1> -SPPTERM | 2 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM -SPPTERM | 2 | IV_TRUE | QoSReady | IV_FALSE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM - -SRFF_INSTANCE | QoSReady.REG | QoSReady | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | QoSReady.D | 11272 | ? | 0 | 0 | QoSReady | NULL | NULL | QoSReady.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | QoSReady.Q | 11275 | ? | 0 | 0 | QoSReady | NULL | NULL | QoSReady.REG | 0 | 8 | SRFF_Q +NODE | IOWRREQ.Q | 10676 | ? | 0 | 0 | IOWRREQ | NULL | NULL | IOWRREQ.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/Er<0> | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 10813 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10185 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/Er<0>.SI | cnt/Er<0> | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 10813 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10185 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/Er<0>.D1 | 11277 | ? | 0 | 4096 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Er<0>.D1 | 10678 | ? | 0 | 4096 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/Er<0>.D2 | 11278 | ? | 0 | 4096 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Er<0>.D2 | 10679 | ? | 0 | 4096 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | E_IBUF SRFF_INSTANCE | cnt/Er<0>.REG | cnt/Er<0> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/Er<0>.D | 11276 | ? | 0 | 0 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.XOR | 0 | 7 | ALU_F +NODE | cnt/Er<0>.D | 10677 | ? | 0 | 0 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/Er<0>.Q | 11279 | ? | 0 | 0 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.REG | 0 | 8 | SRFF_Q +NODE | cnt/Er<0>.Q | 10680 | ? | 0 | 0 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/WS<3> | WarpSE_COPY_0_COPY_0 | 2155877376 | 7 | 2 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/Wait<4> | WarpSE_COPY_0_COPY_0 | 2155877376 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<3> | 10815 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.Q | cnt/WS<3> | 1 | 0 | MC_UIM +NODE | cnt/Wait<4> | 10187 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Wait<4>.Q | cnt/Wait<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<0> | 10793 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM +NODE | cnt/Wait<0> | 10164 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Wait<0>.Q | cnt/Wait<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<1> | 10804 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM +NODE | cnt/Wait<1> | 10175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Wait<1>.Q | cnt/Wait<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<2> | 10805 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.Q | cnt/WS<2> | 1 | 0 | MC_UIM +NODE | cnt/Wait<2> | 10176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Wait<2>.Q | cnt/Wait<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | cnt/Wait<3> | 10177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Wait<3>.Q | cnt/Wait<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/WS<3> | 10815 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.Q | cnt/WS<3> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/WS<3>.EXP | 11481 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.EXP | cnt/WS<3> | 4 | 0 | MC_EXPORT +NODE | cnt/Wait<4> | 10187 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Wait<4>.Q | cnt/Wait<4> | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | cnt/WS<3>.SI | cnt/WS<3> | 0 | 6 | 3 +SIGNAL_INSTANCE | cnt/Wait<4>.SI | cnt/Wait<4> | 0 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<3> | 10815 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.Q | cnt/WS<3> | 1 | 0 | MC_UIM +NODE | cnt/Wait<4> | 10187 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Wait<4>.Q | cnt/Wait<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<0> | 10793 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM +NODE | cnt/Wait<0> | 10164 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Wait<0>.Q | cnt/Wait<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<1> | 10804 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM +NODE | cnt/Wait<1> | 10175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Wait<1>.Q | cnt/Wait<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<2> | 10805 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.Q | cnt/WS<2> | 1 | 0 | MC_UIM +NODE | cnt/Wait<2> | 10176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Wait<2>.Q | cnt/Wait<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Wait<3> | 10177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Wait<3>.Q | cnt/Wait<3> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/WS<3>.D1 | 11281 | ? | 0 | 4096 | cnt/WS<3> | NULL | NULL | cnt/WS<3>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Wait<4>.D1 | 10682 | ? | 0 | 4096 | cnt/Wait<4> | NULL | NULL | cnt/Wait<4>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/WS<3>.D2 | 11282 | ? | 0 | 4096 | cnt/WS<3> | NULL | NULL | cnt/WS<3>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | cnt/WS<3> | IV_FALSE | fsb/ASrf -SPPTERM | 4 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/WS<0> | IV_TRUE | cnt/WS<1> | IV_TRUE | cnt/WS<2> -SPPTERM | 4 | IV_TRUE | cnt/WS<0> | IV_TRUE | cnt/WS<1> | IV_TRUE | cnt/WS<2> | IV_TRUE | fsb/ASrf -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/WS<3>.EXP | 11469 | ? | 0 | 0 | cnt/WS<3> | NULL | NULL | cnt/WS<3>.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SIGNAL | NODE | cnt/Wait<4>.D2 | 10683 | ? | 0 | 4096 | cnt/Wait<4> | NULL | NULL | cnt/Wait<4>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | cnt/Wait<4> | IV_FALSE | fsb/ASrf +SPPTERM | 5 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/Wait<0> | IV_TRUE | cnt/Wait<1> | IV_TRUE | cnt/Wait<2> | IV_TRUE | cnt/Wait<3> +SPPTERM | 5 | IV_TRUE | cnt/Wait<0> | IV_TRUE | cnt/Wait<1> | IV_TRUE | cnt/Wait<2> | IV_TRUE | cnt/Wait<3> | IV_TRUE | fsb/ASrf -SRFF_INSTANCE | cnt/WS<3>.REG | cnt/WS<3> | 0 | 2 | 1 +SRFF_INSTANCE | cnt/Wait<4>.REG | cnt/Wait<4> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/WS<3>.D | 11280 | ? | 0 | 0 | cnt/WS<3> | NULL | NULL | cnt/WS<3>.XOR | 0 | 7 | ALU_F +NODE | cnt/Wait<4>.D | 10681 | ? | 0 | 0 | cnt/Wait<4> | NULL | NULL | cnt/Wait<4>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/WS<3>.Q | 11283 | ? | 0 | 0 | cnt/WS<3> | NULL | NULL | cnt/WS<3>.REG | 0 | 8 | SRFF_Q +NODE | cnt/Wait<4>.Q | 10684 | ? | 0 | 0 | cnt/Wait<4> | NULL | NULL | cnt/Wait<4>.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | nIPL2_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nIPL2 | 10933 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nIPL2 | 10301 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nIPL2_IBUF | 10816 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX +NODE | nIPL2_IBUF | 10188 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/nIPL2r | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nIPL2_IBUF | 10816 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX +NODE | nIPL2_IBUF | 10188 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/nIPL2r | 10817 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM +NODE | cnt/nIPL2r | 10189 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/nIPL2r.SI | cnt/nIPL2r | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nIPL2_IBUF | 10816 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX +NODE | nIPL2_IBUF | 10188 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/nIPL2r.D1 | 11285 | ? | 0 | 4096 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/nIPL2r.D1 | 10686 | ? | 0 | 4096 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/nIPL2r.D2 | 11286 | ? | 0 | 4096 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/nIPL2r.D2 | 10687 | ? | 0 | 4096 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | nIPL2_IBUF SRFF_INSTANCE | cnt/nIPL2r.REG | cnt/nIPL2r | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/nIPL2r.D | 11284 | ? | 0 | 0 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.XOR | 0 | 7 | ALU_F +NODE | cnt/nIPL2r.D | 10685 | ? | 0 | 0 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/nIPL2r.Q | 11287 | ? | 0 | 0 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.REG | 0 | 8 | SRFF_Q +NODE | cnt/nIPL2r.Q | 10688 | ? | 0 | 0 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobm/DoutOE | WarpSE_COPY_0_COPY_0 | 2155877376 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10776 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10147 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10778 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10149 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DoutOE | 10818 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM +NODE | iobm/DoutOE | 10190 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10821 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10193 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10777 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10148 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10780 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10151 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10782 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10783 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10154 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 10802 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 10173 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10806 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/DoutOE | 10818 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM +NODE | iobm/DoutOE | 10190 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobm/DoutOE.EXP | 11533 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.EXP | iobm/DoutOE | 4 | 0 | MC_EXPORT +NODE | iobm/DoutOE.EXP | 10918 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.EXP | iobm/DoutOE | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | iobm/DoutOE.SI | iobm/DoutOE | 0 | 10 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10776 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10147 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10778 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10149 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DoutOE | 10818 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM +NODE | iobm/DoutOE | 10190 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10821 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10193 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10777 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10148 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10780 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10151 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10782 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10783 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10154 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 10802 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 10173 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10806 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/DoutOE.D1 | 11289 | ? | 0 | 4096 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/DoutOE.D1 | 10690 | ? | 0 | 4096 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/DoutOE.D2 | 11290 | ? | 0 | 4096 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/DoutOE.D2 | 10691 | ? | 0 | 4096 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.SI | 2 | 9 | MC_SI_D2 SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/C8Mr | IV_FALSE | iobm/DoutOE | IV_TRUE | iobm/IOWRREQr SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | iobm/DoutOE SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/C8Mr | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | iobm/DoutOE SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | iobm/DoutOE | IV_FALSE | iobm/IOWRREQr OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobm/DoutOE.EXP | 11530 | ? | 0 | 0 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | iobm/DoutOE.EXP | 10915 | ? | 0 | 0 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/C8Mr | IV_TRUE | IOL0 | IV_TRUE | iobm/IORDREQr SRFF_INSTANCE | iobm/DoutOE.REG | iobm/DoutOE | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/DoutOE.D | 11288 | ? | 0 | 0 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.XOR | 0 | 7 | ALU_F +NODE | iobm/DoutOE.D | 10689 | ? | 0 | 0 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/DoutOE.Q | 11291 | ? | 0 | 0 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.REG | 0 | 8 | SRFF_Q +NODE | iobm/DoutOE.Q | 10692 | ? | 0 | 0 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/Er | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 10813 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10185 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/Er | 10819 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 10191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/Er.SI | iobm/Er | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 10813 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10185 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/Er.D1 | 11293 | ? | 0 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/Er.D1 | 10694 | ? | 0 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/Er.D2 | 11294 | ? | 0 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/Er.D2 | 10695 | ? | 0 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | E_IBUF SRFF_INSTANCE | iobm/Er.REG | iobm/Er | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/Er.D | 11292 | ? | 0 | 0 | iobm/Er | NULL | NULL | iobm/Er.XOR | 0 | 7 | ALU_F +NODE | iobm/Er.D | 10693 | ? | 0 | 0 | iobm/Er | NULL | NULL | iobm/Er.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/Er.Q | 11295 | ? | 0 | 0 | iobm/Er | NULL | NULL | iobm/Er.REG | 0 | 8 | SRFF_Q +NODE | iobm/Er.Q | 10696 | ? | 0 | 0 | iobm/Er | NULL | NULL | iobm/Er.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS0 | WarpSE_COPY_0_COPY_0 | 2155873280 | 13 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 10794 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 10165 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10776 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10147 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10778 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10149 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10235 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10806 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10821 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10193 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10777 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10148 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10780 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10151 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10782 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10783 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10154 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 10795 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 10166 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS0 | 10820 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM +NODE | iobm/IOS0 | 10192 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS0 | 10820 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM +NODE | iobm/IOS0 | 10192 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS0.SI | iobm/IOS0 | 0 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 10794 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 10165 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10776 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10147 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10778 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10149 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10235 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10806 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10821 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10193 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10777 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10148 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10780 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10151 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10782 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10783 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10154 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 10795 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 10166 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS0 | 10820 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM +NODE | iobm/IOS0 | 10192 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS0.D1 | 11297 | ? | 0 | 4096 | iobm/IOS0 | NULL | NULL | iobm/IOS0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS0.D1 | 10698 | ? | 0 | 4096 | iobm/IOS0 | NULL | NULL | iobm/IOS0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS0.D2 | 11298 | ? | 0 | 4096 | iobm/IOS0 | NULL | NULL | iobm/IOS0.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS0.D2 | 10699 | ? | 0 | 4096 | iobm/IOS0 | NULL | NULL | iobm/IOS0.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd1 SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_TRUE | iobm/C8Mr SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_TRUE | AoutOE @@ -5430,182 +5339,152 @@ SPPTERM | 7 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_F SRFF_INSTANCE | iobm/IOS0.REG | iobm/IOS0 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS0.D | 11296 | ? | 0 | 0 | iobm/IOS0 | NULL | NULL | iobm/IOS0.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS0.D | 10697 | ? | 0 | 0 | iobm/IOS0 | NULL | NULL | iobm/IOS0.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS0.Q | 11299 | ? | 0 | 0 | iobm/IOS0 | NULL | NULL | iobm/IOS0.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS0.Q | 10700 | ? | 0 | 0 | iobm/IOS0 | NULL | NULL | iobm/IOS0.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOWRREQr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOWRREQ | 10811 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM +NODE | IOWRREQ | 10184 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOWRREQr | 10821 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10193 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOWRREQr.SI | iobm/IOWRREQr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOWRREQ | 10811 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM +NODE | IOWRREQ | 10184 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOWRREQr.D1 | 11301 | ? | 0 | 4096 | iobm/IOWRREQr | NULL | NULL | iobm/IOWRREQr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOWRREQr.D1 | 10702 | ? | 0 | 4096 | iobm/IOWRREQr | NULL | NULL | iobm/IOWRREQr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOWRREQr.D2 | 11302 | ? | 0 | 4096 | iobm/IOWRREQr | NULL | NULL | iobm/IOWRREQr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOWRREQr.D2 | 10703 | ? | 0 | 4096 | iobm/IOWRREQr | NULL | NULL | iobm/IOWRREQr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | IOWRREQ SRFF_INSTANCE | iobm/IOWRREQr.REG | iobm/IOWRREQr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOWRREQr.D | 11300 | ? | 0 | 0 | iobm/IOWRREQr | NULL | NULL | iobm/IOWRREQr.XOR | 0 | 7 | ALU_F +NODE | iobm/IOWRREQr.D | 10701 | ? | 0 | 0 | iobm/IOWRREQr | NULL | NULL | iobm/IOWRREQr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOWRREQr.Q | 11303 | ? | 0 | 0 | iobm/IOWRREQr | NULL | NULL | iobm/IOWRREQr.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOWRREQr.Q | 10704 | ? | 0 | 0 | iobm/IOWRREQr | NULL | NULL | iobm/IOWRREQr.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | nVPA_IOB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nVPA_IOB | 10934 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nVPA_IOB | 10302 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nVPA_IOB_IBUF | 10822 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nVPA_IOB_IBUF | 10194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/VPAr | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_IOB_IBUF | 10822 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nVPA_IOB_IBUF | 10194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/VPAr | 10823 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPAr.Q | iobm/VPAr | 1 | 0 | MC_UIM +NODE | iobm/VPAr | 10195 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPAr.Q | iobm/VPAr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/VPAr.SI | iobm/VPAr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_IOB_IBUF | 10822 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nVPA_IOB_IBUF | 10194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/VPAr.D1 | 11305 | ? | 0 | 4096 | iobm/VPAr | NULL | NULL | iobm/VPAr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/VPAr.D1 | 10706 | ? | 0 | 4096 | iobm/VPAr | NULL | NULL | iobm/VPAr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/VPAr.D2 | 11306 | ? | 0 | 4096 | iobm/VPAr | NULL | NULL | iobm/VPAr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/VPAr.D2 | 10707 | ? | 0 | 4096 | iobm/VPAr | NULL | NULL | iobm/VPAr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nVPA_IOB_IBUF SRFF_INSTANCE | iobm/VPAr.REG | iobm/VPAr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/VPAr.D | 11304 | ? | 0 | 0 | iobm/VPAr | NULL | NULL | iobm/VPAr.XOR | 0 | 7 | ALU_F +NODE | iobm/VPAr.D | 10705 | ? | 0 | 0 | iobm/VPAr | NULL | NULL | iobm/VPAr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/VPAr.Q | 11307 | ? | 0 | 0 | iobm/VPAr | NULL | NULL | iobm/VPAr.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/BACTr | WarpSE_COPY_0_COPY_0 | 2155873536 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/BACTr | 10824 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ram/BACTr.SI | ram/BACTr | 0 | 2 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/BACTr.D1 | 11309 | ? | 0 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/BACTr.D2 | 11310 | ? | 0 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf - -SRFF_INSTANCE | ram/BACTr.REG | ram/BACTr | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/BACTr.D | 11308 | ? | 0 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/BACTr.Q | 11311 | ? | 0 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.REG | 0 | 8 | SRFF_Q +NODE | iobm/VPAr.Q | 10708 | ? | 0 | 0 | iobm/VPAr | NULL | NULL | iobm/VPAr.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | ALE0S | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10146 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ALE0S | 10827 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM +NODE | ALE0S | 10198 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ALE0S.SI | ALE0S | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10146 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ALE0S.D1 | 11313 | ? | 0 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ALE0S.D1 | 10710 | ? | 0 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ALE0S.D2 | 11314 | ? | 0 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ALE0S.D2 | 10711 | ? | 0 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobs/TS_FSM_FFd2 SRFF_INSTANCE | ALE0S.REG | ALE0S | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ALE0S.D | 11312 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.XOR | 0 | 7 | ALU_F +NODE | ALE0S.D | 10709 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ALE0S.Q | 11315 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.REG | 0 | 8 | SRFF_Q +NODE | ALE0S.Q | 10712 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | RAMReady | WarpSE_COPY_0_COPY_0 | 2155873536 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 10155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 10789 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd4 | 10160 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 10791 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 10162 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 10799 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd5 | 10170 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 10800 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd6 | 10171 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +NODE | ram/RASEN | 10161 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_5_OBUF.EXP | 11524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.EXP | RA_5_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_5_OBUF.EXP | 10909 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.EXP | RA_5_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | RAMReady | 10828 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.Q | RAMReady | 1 | 0 | MC_UIM +NODE | RAMReady | 10199 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.Q | RAMReady | 1 | 0 | MC_UIM SIGNAL_INSTANCE | RAMReady.SI | RAMReady | 0 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 10155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 10789 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd4 | 10160 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 10791 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 10162 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 10799 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd5 | 10170 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 10800 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd6 | 10171 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +NODE | ram/RASEN | 10161 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_5_OBUF.EXP | 11524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.EXP | RA_5_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_5_OBUF.EXP | 10909 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.EXP | RA_5_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RAMReady.D1 | 11317 | ? | 0 | 4096 | RAMReady | NULL | NULL | RAMReady.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RAMReady.D1 | 10714 | ? | 0 | 4096 | RAMReady | NULL | NULL | RAMReady.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RAMReady.D2 | 11318 | ? | 0 | 4096 | RAMReady | NULL | NULL | RAMReady.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RAMReady.D2 | 10715 | ? | 0 | 4096 | RAMReady | NULL | NULL | RAMReady.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | RA_5_OBUF.EXP SPPTERM | 5 | IV_FALSE | ram/RS_FSM_FFd8 | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RS_FSM_FFd6 SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RS_FSM_FFd6 @@ -5615,838 +5494,795 @@ SPPTERM | 6 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_FALSE | ram/RASEN | SRFF_INSTANCE | RAMReady.REG | RAMReady | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RAMReady.D | 11316 | ? | 0 | 0 | RAMReady | NULL | NULL | RAMReady.XOR | 0 | 7 | ALU_F +NODE | RAMReady.D | 10713 | ? | 0 | 0 | RAMReady | NULL | NULL | RAMReady.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RAMReady.Q | 11319 | ? | 0 | 0 | RAMReady | NULL | NULL | RAMReady.REG | 0 | 8 | SRFF_Q +NODE | RAMReady.Q | 10716 | ? | 0 | 0 | RAMReady | NULL | NULL | RAMReady.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/Er<1> | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/Er<1>.SI | cnt/Er<1> | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/Er<1>.D1 | 11321 | ? | 0 | 4096 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Er<1>.D1 | 10718 | ? | 0 | 4096 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/Er<1>.D2 | 11322 | ? | 0 | 4096 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Er<1>.D2 | 10719 | ? | 0 | 4096 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | cnt/Er<0> SRFF_INSTANCE | cnt/Er<1>.REG | cnt/Er<1> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/Er<1>.D | 11320 | ? | 0 | 0 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.XOR | 0 | 7 | ALU_F +NODE | cnt/Er<1>.D | 10717 | ? | 0 | 0 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/Er<1>.Q | 11323 | ? | 0 | 0 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.REG | 0 | 8 | SRFF_Q +NODE | cnt/Er<1>.Q | 10720 | ? | 0 | 0 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/LTimerTC | WarpSE_COPY_0_COPY_0 | 2155873280 | 13 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | fsb/ASrf | WarpSE_COPY_0_COPY_0 | 2424308736 | 19 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | FCLK_IBUF/FCLK- | 10095 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 10741 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 10065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | A_FSB_19_IBUF | 10067 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | A_FSB_18_IBUF | 10068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10747 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | A_FSB_17_IBUF | 10069 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10748 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | A_FSB_16_IBUF | 10070 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 10749 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | A_FSB_15_IBUF | 10071 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 10752 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +NODE | A_FSB_13_IBUF | 10072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | A_FSB_14_IBUF | 10090 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 10091 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 10092 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 10093 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 10196 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 10197 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimerTC | 10830 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | fsb/ASrf.EXP | 10860 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.EXP | fsb/ASrf | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | cnt/LTimerTC.SI | cnt/LTimerTC | 0 | 12 | 2 +SIGNAL_INSTANCE | fsb/ASrf.SI | fsb/ASrf | 0 | 18 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 10741 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 10065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | A_FSB_19_IBUF | 10067 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | A_FSB_18_IBUF | 10068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | A_FSB_17_IBUF | 10069 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10747 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | A_FSB_16_IBUF | 10070 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10748 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | A_FSB_15_IBUF | 10071 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 10749 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | A_FSB_13_IBUF | 10072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 10752 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +NODE | A_FSB_14_IBUF | 10090 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 10091 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 10092 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 10093 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 10196 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 10197 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimerTC.D1 | 11325 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/ASrf.D1 | 10722 | ? | 0 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimerTC.D2 | 11326 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 12 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/LTimer<11> - -SRFF_INSTANCE | cnt/LTimerTC.REG | cnt/LTimerTC | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimerTC.D | 11324 | ? | 0 | 0 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimerTC.Q | 11327 | ? | 0 | 0 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | fsb/ASrf | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK- | 10728 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | fsb/ASrf.SI | fsb/ASrf | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/ASrf.D1 | 11329 | ? | 0 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/ASrf.D2 | 11330 | ? | 0 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/ASrf.D2 | 10723 | ? | 0 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nAS_FSB_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | fsb/ASrf.EXP | 10853 | ? | 0 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 17 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | A_FSB_9_IBUF +SPPTERM | 17 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | A_FSB_8_IBUF +SPPTERM | 17 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | A_FSB_9_IBUF +SPPTERM | 17 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | A_FSB_8_IBUF SRFF_INSTANCE | fsb/ASrf.REG | fsb/ASrf | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/ASrf.D | 11328 | ? | 0 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.XOR | 0 | 7 | ALU_F +NODE | fsb/ASrf.D | 10721 | ? | 0 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK- | 10728 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK- | 10095 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/ASrf.Q | 11331 | ? | 0 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.REG | 0 | 8 | SRFF_Q +NODE | fsb/ASrf.Q | 10724 | ? | 0 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/Clear1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 11 | 2 +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/Clear1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10145 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10146 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/Clear1 | 10832 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobs/Clear1.EXP | 11483 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT +NODE | iobs/Clear1 | 10202 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | iobs/Clear1.SI | iobs/Clear1 | 0 | 10 | 3 +SIGNAL_INSTANCE | iobs/Clear1.SI | iobs/Clear1 | 0 | 2 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10145 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10146 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/Clear1.D1 | 11333 | ? | 0 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/Clear1.D1 | 10726 | ? | 0 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/Clear1.D2 | 11334 | ? | 0 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/Clear1.D2 | 10727 | ? | 0 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | iobs/TS_FSM_FFd1 | IV_TRUE | iobs/TS_FSM_FFd2 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobs/Clear1.EXP | 11471 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SRFF_INSTANCE | iobs/Clear1.REG | iobs/Clear1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/Clear1.D | 11332 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.XOR | 0 | 7 | ALU_F +NODE | iobs/Clear1.D | 10725 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/Clear1.Q | 11335 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.REG | 0 | 8 | SRFF_Q +NODE | iobs/Clear1.Q | 10728 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IODONEr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE | 10739 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +NODE | IODONE | 10106 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IODONEr | 10833 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODONEr.Q | iobs/IODONEr | 1 | 0 | MC_UIM +NODE | iobs/IODONEr | 10203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODONEr.Q | iobs/IODONEr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/IODONEr.SI | iobs/IODONEr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE | 10739 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +NODE | IODONE | 10106 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IODONEr.D1 | 11337 | ? | 0 | 4096 | iobs/IODONEr | NULL | NULL | iobs/IODONEr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IODONEr.D1 | 10730 | ? | 0 | 4096 | iobs/IODONEr | NULL | NULL | iobs/IODONEr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IODONEr.D2 | 11338 | ? | 0 | 4096 | iobs/IODONEr | NULL | NULL | iobs/IODONEr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IODONEr.D2 | 10731 | ? | 0 | 4096 | iobs/IODONEr | NULL | NULL | iobs/IODONEr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | IODONE SRFF_INSTANCE | iobs/IODONEr.REG | iobs/IODONEr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IODONEr.D | 11336 | ? | 0 | 0 | iobs/IODONEr | NULL | NULL | iobs/IODONEr.XOR | 0 | 7 | ALU_F +NODE | iobs/IODONEr.D | 10729 | ? | 0 | 0 | iobs/IODONEr | NULL | NULL | iobs/IODONEr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IODONEr.Q | 11339 | ? | 0 | 0 | iobs/IODONEr | NULL | NULL | iobs/IODONEr.REG | 0 | 8 | SRFF_Q +NODE | iobs/IODONEr.Q | 10732 | ? | 0 | 0 | iobs/IODONEr | NULL | NULL | iobs/IODONEr.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | nRESout | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | nRESout | WarpSE_COPY_0_COPY_0 | 2155873280 | 13 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10158 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10159 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 10096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 10145 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 10146 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 10110 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nRESout | 10834 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.Q | nRESout | 1 | 0 | MC_UIM +NODE | nRESout | 10204 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.Q | nRESout | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nRESout.EXP | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.EXP | nRESout | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | nRESout.SI | nRESout | 0 | 2 | 2 +SIGNAL_INSTANCE | nRESout.SI | nRESout | 0 | 12 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10158 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10159 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 10096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 10145 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 10146 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 10110 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRESout.D1 | 11341 | ? | 0 | 4096 | nRESout | NULL | NULL | nRESout.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRESout.D1 | 10734 | ? | 0 | 4096 | nRESout | NULL | NULL | nRESout.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRESout.D2 | 11342 | ? | 0 | 4096 | nRESout | NULL | NULL | nRESout.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nRESout.D2 | 10735 | ? | 0 | 4096 | nRESout | NULL | NULL | nRESout.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nRESout.EXP | 10927 | ? | 0 | 0 | nRESout | NULL | NULL | nRESout.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SRFF_INSTANCE | nRESout.REG | nRESout | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRESout.D | 11340 | ? | 0 | 0 | nRESout | NULL | NULL | nRESout.XOR | 0 | 7 | ALU_F +NODE | nRESout.D | 10733 | ? | 0 | 0 | nRESout | NULL | NULL | nRESout.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRESout.Q | 11343 | ? | 0 | 0 | nRESout | NULL | NULL | nRESout.REG | 0 | 8 | SRFF_Q +NODE | nRESout.Q | 10736 | ? | 0 | 0 | nRESout | NULL | NULL | nRESout.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | ram/RASrf | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 10800 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd6 | 10171 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK- | 10728 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK- | 10095 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RASrf | 10835 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrf.Q | ram/RASrf | 1 | 0 | MC_UIM +NODE | ram/RASrf | 10205 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrf.Q | ram/RASrf | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ram/RASrf.SI | ram/RASrf | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 10800 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd6 | 10171 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RASrf.D1 | 11345 | ? | 0 | 4096 | ram/RASrf | NULL | NULL | ram/RASrf.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RASrf.D1 | 10738 | ? | 0 | 4096 | ram/RASrf | NULL | NULL | ram/RASrf.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RASrf.D2 | 11346 | ? | 0 | 4096 | ram/RASrf | NULL | NULL | ram/RASrf.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RASrf.D2 | 10739 | ? | 0 | 4096 | ram/RASrf | NULL | NULL | ram/RASrf.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd6 SRFF_INSTANCE | ram/RASrf.REG | ram/RASrf | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RASrf.D | 11344 | ? | 0 | 0 | ram/RASrf | NULL | NULL | ram/RASrf.XOR | 0 | 7 | ALU_F +NODE | ram/RASrf.D | 10737 | ? | 0 | 0 | ram/RASrf | NULL | NULL | ram/RASrf.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK- | 10728 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK- | 10095 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RASrf.Q | 11347 | ? | 0 | 0 | ram/RASrf | NULL | NULL | ram/RASrf.REG | 0 | 8 | SRFF_Q +NODE | ram/RASrf.Q | 10740 | ? | 0 | 0 | ram/RASrf | NULL | NULL | ram/RASrf.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RASrr | WarpSE_COPY_0_COPY_0 | 2155873280 | 14 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 10801 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd7 | 10172 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 10789 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd4 | 10160 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 10155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 10791 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 10162 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10110 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +NODE | ram/RASEN | 10161 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP19_.EXP | 11528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT +NODE | EXP19_.EXP | 10913 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RASrr | 10836 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrr.Q | ram/RASrr | 1 | 0 | MC_UIM +NODE | ram/RASrr | 10206 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrr.Q | ram/RASrr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | ram/RASrr.EXP | 11529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrr.EXP | ram/RASrr | 4 | 0 | MC_EXPORT +NODE | ram/RASrr.EXP | 10914 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrr.EXP | ram/RASrr | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | ram/RASrr.SI | ram/RASrr | 0 | 13 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 10801 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd7 | 10172 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 10789 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd4 | 10160 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 10155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 10791 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 10162 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10110 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +NODE | ram/RASEN | 10161 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP19_.EXP | 11528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT +NODE | EXP19_.EXP | 10913 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RASrr.D1 | 11349 | ? | 0 | 4096 | ram/RASrr | NULL | NULL | ram/RASrr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RASrr.D1 | 10742 | ? | 0 | 4096 | ram/RASrr | NULL | NULL | ram/RASrr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RASrr.D2 | 11350 | ? | 0 | 4096 | ram/RASrr | NULL | NULL | ram/RASrr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RASrr.D2 | 10743 | ? | 0 | 4096 | ram/RASrr | NULL | NULL | ram/RASrr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd7 SPPTERM | 1 | IV_TRUE | EXP19_.EXP SPPTERM | 3 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd4 OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | ram/RASrr.EXP | 11515 | ? | 0 | 0 | ram/RASrr | NULL | NULL | ram/RASrr.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | ram/RASrr.EXP | 10900 | ? | 0 | 0 | ram/RASrr | NULL | NULL | ram/RASrr.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 4 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd8 | IV_FALSE | ram/RS_FSM_FFd1 SPPTERM | 5 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | fsb/ASrf SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd4 | IV_TRUE | ram/RASEN | IV_FALSE | ram/RS_FSM_FFd1 SRFF_INSTANCE | ram/RASrr.REG | ram/RASrr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RASrr.D | 11348 | ? | 0 | 0 | ram/RASrr | NULL | NULL | ram/RASrr.XOR | 0 | 7 | ALU_F +NODE | ram/RASrr.D | 10741 | ? | 0 | 0 | ram/RASrr | NULL | NULL | ram/RASrr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RASrr.Q | 11351 | ? | 0 | 0 | ram/RASrr | NULL | NULL | ram/RASrr.REG | 0 | 8 | SRFF_Q +NODE | ram/RASrr.Q | 10744 | ? | 0 | 0 | ram/RASrr | NULL | NULL | ram/RASrr.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_1_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<1> | 10937 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<1> | 10305 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_1_IBUF | 10837 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_1_IBUF | 10207 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_7_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<7> | 10938 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<7> | 10306 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_7_IBUF | 10838 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_7_IBUF | 10208 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_2_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<2> | 10939 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<2> | 10307 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_2_IBUF | 10839 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_2_IBUF | 10209 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_3_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<3> | 10940 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<3> | 10308 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_3_IBUF | 10840 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_3_IBUF | 10210 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_4_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<4> | 10941 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<4> | 10309 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_4_IBUF | 10841 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_4_IBUF | 10211 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_5_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<5> | 10942 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<5> | 10310 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_5_IBUF | 10842 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_5_IBUF | 10212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_6_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<6> | 10943 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<6> | 10311 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_6_IBUF | 10843 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_6_IBUF | 10213 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | OptxMapped | RA_0_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10144 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_1_IBUF | 10837 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_1_IBUF | 10207 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10825 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 10196 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_0_OBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q +NODE | RA_0_OBUF | 10214 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | RA_0_OBUF.SI | RA_0_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10144 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_1_IBUF | 10837 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_1_IBUF | 10207 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10825 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 10196 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_0_OBUF.D1 | 11353 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_0_OBUF.D1 | 10746 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_0_OBUF.D2 | 11354 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_0_OBUF.D2 | 10747 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_1_IBUF SPPTERM | 2 | IV_FALSE | ram/RASEL | IV_TRUE | A_FSB_9_IBUF SRFF_INSTANCE | RA_0_OBUF.REG | RA_0_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_0_OBUF.D | 11352 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_0_OBUF.D | 10745 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_0_OBUF.Q | 11355 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_0_OBUF.Q | 10748 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_10_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10069 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10144 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_7_IBUF | 10838 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_7_IBUF | 10208 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_10_OBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_10_OBUF.Q | RA_10_OBUF | 0 | 0 | MC_Q +NODE | RA_10_OBUF | 10215 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_10_OBUF.Q | RA_10_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | RA_10_OBUF.SI | RA_10_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10069 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10144 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_7_IBUF | 10838 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_7_IBUF | 10208 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_10_OBUF.D1 | 11357 | ? | 0 | 4096 | RA_10_OBUF | NULL | NULL | RA_10_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_10_OBUF.D1 | 10750 | ? | 0 | 4096 | RA_10_OBUF | NULL | NULL | RA_10_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_10_OBUF.D2 | 11358 | ? | 0 | 4096 | RA_10_OBUF | NULL | NULL | RA_10_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_10_OBUF.D2 | 10751 | ? | 0 | 4096 | RA_10_OBUF | NULL | NULL | RA_10_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_17_IBUF | IV_FALSE | ram/RASEL SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_7_IBUF SRFF_INSTANCE | RA_10_OBUF.REG | RA_10_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_10_OBUF.D | 11356 | ? | 0 | 0 | RA_10_OBUF | NULL | NULL | RA_10_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_10_OBUF.D | 10749 | ? | 0 | 0 | RA_10_OBUF | NULL | NULL | RA_10_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_10_OBUF.Q | 11359 | ? | 0 | 0 | RA_10_OBUF | NULL | NULL | RA_10_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_10_OBUF.Q | 10752 | ? | 0 | 0 | RA_10_OBUF | NULL | NULL | RA_10_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | OptxMapped | RA_1_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 16 | 2 +MACROCELL_INSTANCE | OptxMapped | RA_1_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10726 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 10093 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10144 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_2_IBUF | 10839 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10747 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10748 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | A_FSB_2_IBUF | 10209 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_1_OBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_1_OBUF.EXP | 11540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.EXP | RA_1_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_1_OBUF | 10216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q -SIGNAL_INSTANCE | RA_1_OBUF.SI | RA_1_OBUF | 0 | 16 | 3 +SIGNAL_INSTANCE | RA_1_OBUF.SI | RA_1_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10726 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 10093 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10144 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_2_IBUF | 10839 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10747 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10748 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | A_FSB_2_IBUF | 10209 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_1_OBUF.D1 | 11361 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_1_OBUF.D1 | 10754 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_1_OBUF.D2 | 11362 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_1_OBUF.D2 | 10755 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | ram/RASEL SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_2_IBUF -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_1_OBUF.EXP | 11537 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 13 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | RA_1_OBUF.REG | RA_1_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_1_OBUF.D | 11360 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_1_OBUF.D | 10753 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_1_OBUF.Q | 11363 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_1_OBUF.Q | 10756 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_2_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 5 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10070 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10144 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_7_IBUF | 10838 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_7_IBUF | 10208 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_5_IBUF | 10842 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_5_IBUF | 10212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_2_OBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q +NODE | RA_2_OBUF | 10217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_2_OBUF.EXP | 11525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_2_OBUF.EXP | 10910 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | RA_2_OBUF.SI | RA_2_OBUF | 0 | 5 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10070 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10144 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_7_IBUF | 10838 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_7_IBUF | 10208 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_5_IBUF | 10842 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_5_IBUF | 10212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_2_OBUF.D1 | 11365 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_2_OBUF.D1 | 10758 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_2_OBUF.D2 | 11366 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_2_OBUF.D2 | 10759 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | ram/RASEL SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_7_IBUF OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_2_OBUF.EXP | 11511 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_2_OBUF.EXP | 10896 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 2 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | ram/RASEL SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_5_IBUF SRFF_INSTANCE | RA_2_OBUF.REG | RA_2_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_2_OBUF.D | 11364 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_2_OBUF.D | 10757 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_2_OBUF.Q | 11367 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_2_OBUF.Q | 10760 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_4_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 10791 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 10162 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | RefReq | 10111 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 10789 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd4 | 10160 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 10824 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | BACTr | 10182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | BACTr.Q | BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<0>.EXP | 11521 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.EXP | cnt/WS<0> | 4 | 0 | MC_EXPORT +NODE | cnt/Wait<0>.EXP | 10906 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Wait<0>.EXP | cnt/Wait<0> | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_4_OBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q +NODE | RA_4_OBUF | 10218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_4_OBUF.EXP | 11522 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_4_OBUF.EXP | 10907 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | RA_4_OBUF.SI | RA_4_OBUF | 0 | 11 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 10791 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 10162 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | RefReq | 10111 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 10789 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd4 | 10160 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 10824 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | BACTr | 10182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | BACTr.Q | BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<0>.EXP | 11521 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.EXP | cnt/WS<0> | 4 | 0 | MC_EXPORT +NODE | cnt/Wait<0>.EXP | 10906 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Wait<0>.EXP | cnt/Wait<0> | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_4_OBUF.D1 | 11369 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_4_OBUF.D1 | 10762 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_4_OBUF.D2 | 11370 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | cnt/WS<0>.EXP +SIGNAL | NODE | RA_4_OBUF.D2 | 10763 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cnt/Wait<0>.EXP OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_4_OBUF.EXP | 11508 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_4_OBUF.EXP | 10893 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 5 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | fsb/ASrf -SPPTERM | 7 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr -SPPTERM | 7 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | BACTr +SPPTERM | 7 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | BACTr +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | BACTr | IV_TRUE | fsb/ASrf SRFF_INSTANCE | RA_4_OBUF.REG | RA_4_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_4_OBUF.D | 11368 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_4_OBUF.D | 10761 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_4_OBUF.Q | 11371 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_4_OBUF.Q | 10764 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_5_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 13 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 10791 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 10162 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 10799 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd5 | 10170 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 10800 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd6 | 10171 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | RefReq | 10111 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 10789 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd4 | 10160 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 10824 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | BACTr | 10182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | BACTr.Q | BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_11_OBUF.EXP | 11523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF.EXP | RA_11_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_11_OBUF.EXP | 10908 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF.EXP | RA_11_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_5_OBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q +NODE | RA_5_OBUF | 10219 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_5_OBUF.EXP | 11524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.EXP | RA_5_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_5_OBUF.EXP | 10909 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.EXP | RA_5_OBUF | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | RA_5_OBUF.SI | RA_5_OBUF | 0 | 13 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 10791 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 10162 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 10799 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd5 | 10170 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 10800 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd6 | 10171 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | RefReq | 10111 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 10789 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd4 | 10160 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 10824 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | BACTr | 10182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | BACTr.Q | BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_11_OBUF.EXP | 11523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF.EXP | RA_11_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_11_OBUF.EXP | 10908 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF.EXP | RA_11_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_5_OBUF.D1 | 11373 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_5_OBUF.D1 | 10766 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_5_OBUF.D2 | 11374 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_5_OBUF.D2 | 10767 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | RA_11_OBUF.EXP OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_5_OBUF.EXP | 11510 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_5_OBUF.EXP | 10895 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 7 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RS_FSM_FFd6 | IV_FALSE | fsb/ASrf -SPPTERM | 9 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RS_FSM_FFd6 | IV_FALSE | ram/BACTr -SPPTERM | 9 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RS_FSM_FFd6 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 9 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RS_FSM_FFd6 | IV_FALSE | ram/BACTr -SPPTERM | 9 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RS_FSM_FFd6 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 9 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RS_FSM_FFd6 | IV_FALSE | BACTr +SPPTERM | 9 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RS_FSM_FFd6 | IV_FALSE | BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 9 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RS_FSM_FFd6 | IV_FALSE | BACTr +SPPTERM | 9 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RS_FSM_FFd6 | IV_FALSE | BACTr | IV_TRUE | fsb/ASrf SRFF_INSTANCE | RA_5_OBUF.REG | RA_5_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_5_OBUF.D | 11372 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_5_OBUF.D | 10765 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_5_OBUF.Q | 11375 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_5_OBUF.Q | 10768 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_6_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 17 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 10758 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +NODE | IONPReady | 10128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IODONEr | 10833 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODONEr.Q | iobs/IODONEr | 1 | 0 | MC_UIM +NODE | iobs/IODONEr | 10203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODONEr.Q | iobs/IODONEr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10067 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10069 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10070 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10090 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_2_OBUF.EXP | 11525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_2_OBUF.EXP | 10910 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_6_OBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q +NODE | RA_6_OBUF | 10220 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_6_OBUF.EXP | 11526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_6_OBUF.EXP | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | RA_6_OBUF.SI | RA_6_OBUF | 0 | 17 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 10758 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +NODE | IONPReady | 10128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IODONEr | 10833 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODONEr.Q | iobs/IODONEr | 1 | 0 | MC_UIM +NODE | iobs/IODONEr | 10203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODONEr.Q | iobs/IODONEr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10067 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10069 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10070 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10090 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_2_OBUF.EXP | 11525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_2_OBUF.EXP | 10910 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_6_OBUF.D1 | 11377 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_6_OBUF.D1 | 10770 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_6_OBUF.D2 | 11378 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_6_OBUF.D2 | 10771 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | RA_2_OBUF.EXP OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_6_OBUF.EXP | 11512 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_6_OBUF.EXP | 10897 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 2 | IV_FALSE | iobs/Sent | IV_FALSE | IONPReady SPPTERM | 2 | IV_FALSE | IONPReady | IV_FALSE | iobs/IODONEr SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf @@ -6455,263 +6291,279 @@ SPPTERM | 11 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A SRFF_INSTANCE | RA_6_OBUF.REG | RA_6_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_6_OBUF.D | 11376 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_6_OBUF.D | 10769 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_6_OBUF.Q | 11379 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_6_OBUF.Q | 10772 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_7_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10090 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10144 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_6_IBUF | 10843 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_6_IBUF | 10213 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_7_OBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q +NODE | RA_7_OBUF | 10221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | RA_7_OBUF.SI | RA_7_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10090 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10144 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_6_IBUF | 10843 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_6_IBUF | 10213 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_7_OBUF.D1 | 11381 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_7_OBUF.D1 | 10774 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_7_OBUF.D2 | 11382 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_7_OBUF.D2 | 10775 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | ram/RASEL SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_6_IBUF SRFF_INSTANCE | RA_7_OBUF.REG | RA_7_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_7_OBUF.D | 11380 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_7_OBUF.D | 10773 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_7_OBUF.Q | 11383 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_7_OBUF.Q | 10776 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_8_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10144 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_8_OBUF | 10852 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q +NODE | RA_8_OBUF | 10222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | RA_8_OBUF.SI | RA_8_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10144 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_8_OBUF.D1 | 11385 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_8_OBUF.D1 | 10778 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_8_OBUF.D2 | 11386 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_8_OBUF.D2 | 10779 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | ram/RASEL SPPTERM | 2 | IV_TRUE | A_FSB_18_IBUF | IV_FALSE | ram/RASEL SRFF_INSTANCE | RA_8_OBUF.REG | RA_8_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_8_OBUF.D | 11384 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_8_OBUF.D | 10777 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_8_OBUF.Q | 11387 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_8_OBUF.Q | 10780 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_9_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 10071 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10144 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 10197 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_9_OBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q +NODE | RA_9_OBUF | 10223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | RA_9_OBUF.SI | RA_9_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 10071 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10144 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 10197 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_9_OBUF.D1 | 11389 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_9_OBUF.D1 | 10782 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_9_OBUF.D2 | 11390 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_9_OBUF.D2 | 10783 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | ram/RASEL SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_8_IBUF SRFF_INSTANCE | RA_9_OBUF.REG | RA_9_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_9_OBUF.D | 11388 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_9_OBUF.D | 10781 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_9_OBUF.Q | 11391 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_9_OBUF.Q | 10784 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+OptxMapped | nROMWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 15 | 2 +MACROCELL_INSTANCE | Inv+OptxMapped | nROMWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 19 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10067 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10069 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10070 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 10071 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | A_FSB_13_IBUF | 10072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_14_IBUF | 10090 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_12_IBUF | 10091 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 10092 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 10093 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 10196 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 10197 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nROMWE_OBUF | 10854 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q +NODE | nROMWE_OBUF | 10224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nROMWE_OBUF.EXP | 11486 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT +NODE | nROMWE_OBUF.EXP | 10859 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | nROMWE_OBUF.SI | nROMWE_OBUF | 0 | 15 | 3 +SIGNAL_INSTANCE | nROMWE_OBUF.SI | nROMWE_OBUF | 0 | 19 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10067 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10069 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10070 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 10071 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | A_FSB_13_IBUF | 10072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_14_IBUF | 10090 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_12_IBUF | 10091 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 10092 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 10093 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 10196 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 10197 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nROMWE_OBUF.D1 | 11393 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nROMWE_OBUF.D1 | 10786 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nROMWE_OBUF.D2 | 11394 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nROMWE_OBUF.D2 | 10787 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nROMWE_OBUF.EXP | 11474 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ALE1 -SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ALE1 -SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SIGNAL | NODE | nROMWE_OBUF.EXP | 10852 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 17 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | fsb/ASrf +SPPTERM | 17 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | fsb/ASrf +SPPTERM | 17 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | fsb/ASrf +SPPTERM | 17 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | fsb/ASrf SRFF_INSTANCE | nROMWE_OBUF.REG | nROMWE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nROMWE_OBUF.D | 11392 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nROMWE_OBUF.D | 10785 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nROMWE_OBUF.Q | 11395 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nROMWE_OBUF.Q | 10788 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | nADoutLE0_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0M | 10809 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM +NODE | ALE0M | 10181 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0S | 10827 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM +NODE | ALE0S | 10198 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nADoutLE0_OBUF | 10855 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q +NODE | nADoutLE0_OBUF | 10225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | nADoutLE0_OBUF.SI | nADoutLE0_OBUF | 0 | 2 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0M | 10809 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM +NODE | ALE0M | 10181 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0S | 10827 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM +NODE | ALE0S | 10198 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nADoutLE0_OBUF.D1 | 11397 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nADoutLE0_OBUF.D1 | 10790 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nADoutLE0_OBUF.D2 | 11398 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nADoutLE0_OBUF.D2 | 10791 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | ALE0M | IV_FALSE | ALE0S SRFF_INSTANCE | nADoutLE0_OBUF.REG | nADoutLE0_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nADoutLE0_OBUF.D | 11396 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.XOR | 0 | 7 | ALU_F +NODE | nADoutLE0_OBUF.D | 10789 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nADoutLE0_OBUF.Q | 11399 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nADoutLE0_OBUF.Q | 10792 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nCAS_OBUF | WarpSE_COPY_0_COPY_0 | 2424308736 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 10807 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 10179 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 10808 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 10180 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 10791 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 10162 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/DTACKr | 10798 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/DTACKr.Q | ram/DTACKr | 1 | 0 | MC_UIM +NODE | ram/DTACKr | 10169 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/DTACKr.Q | ram/DTACKr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 10799 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd5 | 10170 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 10801 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd7 | 10172 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP17_.EXP | 11518 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT +NODE | EXP17_.EXP | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nOE_OBUF.EXP | 11519 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT +NODE | nOE_OBUF.EXP | 10904 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK- | 10728 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK- | 10095 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nCAS_OBUF | 10856 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q +NODE | nCAS_OBUF | 10226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | nCAS_OBUF.SI | nCAS_OBUF | 0 | 9 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 10807 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 10179 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 10808 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 10180 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 10791 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 10162 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/DTACKr | 10798 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/DTACKr.Q | ram/DTACKr | 1 | 0 | MC_UIM +NODE | ram/DTACKr | 10169 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/DTACKr.Q | ram/DTACKr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 10799 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd5 | 10170 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 10801 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd7 | 10172 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP17_.EXP | 11518 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT +NODE | EXP17_.EXP | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nOE_OBUF.EXP | 11519 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT +NODE | nOE_OBUF.EXP | 10904 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nCAS_OBUF.D1 | 11401 | ? | 0 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nCAS_OBUF.D1 | 10794 | ? | 0 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nCAS_OBUF.D2 | 11402 | ? | 0 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nCAS_OBUF.D2 | 10795 | ? | 0 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd1 SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd2 SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd3 @@ -6722,135 +6574,135 @@ SPPTERM | 2 | IV_TRUE | ram/DTACKr | IV_TRUE | ram/RS_FSM_FFd5 SRFF_INSTANCE | nCAS_OBUF.REG | nCAS_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nCAS_OBUF.D | 11400 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.XOR | 0 | 7 | ALU_F +NODE | nCAS_OBUF.D | 10793 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK- | 10728 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK- | 10095 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nCAS_OBUF.Q | 11403 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nCAS_OBUF.Q | 10796 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped+Ce | nDinLE_OBUF | WarpSE_COPY_0_COPY_0 | 2424308992 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10777 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10148 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10780 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10151 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 10714 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 10080 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 10736 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 10103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE | 10739 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +NODE | IODONE | 10106 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10776 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10147 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10821 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10193 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10235 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 10792 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +NODE | IOACT | 10163 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 10794 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 10165 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 10795 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 10166 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDinLE_OBUF | 10857 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q +NODE | nDinLE_OBUF | 10227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nDinLE_OBUF.EXP | 11535 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.EXP | nDinLE_OBUF | 4 | 0 | MC_EXPORT +NODE | nDinLE_OBUF.EXP | 10920 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.EXP | nDinLE_OBUF | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | nDinLE_OBUF.SI | nDinLE_OBUF | 0 | 10 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10777 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10148 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10780 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10151 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 10736 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 10103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE | 10739 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +NODE | IODONE | 10106 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10776 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10147 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10821 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10193 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10235 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 10792 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +NODE | IOACT | 10163 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 10794 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 10165 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 10795 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 10166 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDinLE_OBUF.D1 | 11405 | ? | 0 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDinLE_OBUF.D1 | 10798 | ? | 0 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDinLE_OBUF.D2 | 11406 | ? | 0 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nDinLE_OBUF.D2 | 10799 | ? | 0 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nDinLE_OBUF.EXP | 11532 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nDinLE_OBUF.EXP | 10917 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 3 | IV_FALSE | IOBERR | IV_FALSE | IODONE | IV_TRUE | iobm/IOS_FSM_FFd3 SPPTERM | 3 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_TRUE | iobm/IOWRREQr | IV_FALSE | AoutOE SPPTERM | 5 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | IOACT | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 SRFF_INSTANCE | nDinLE_OBUF.REG | nDinLE_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDinLE_OBUF.D | 11404 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nDinLE_OBUF.D | 10797 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 10714 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 10080 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDinLE_OBUF.Q | 11407 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nDinLE_OBUF.Q | 10800 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | nOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155873280 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 10155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 10824 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | BACTr | 10182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | BACTr.Q | BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +NODE | ram/RASEN | 10161 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP18_.EXP | 11520 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT +NODE | EXP18_.EXP | 10905 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nOE_OBUF | 10858 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q +NODE | nOE_OBUF | 10228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nOE_OBUF.EXP | 11519 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT +NODE | nOE_OBUF.EXP | 10904 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | nOE_OBUF.SI | nOE_OBUF | 0 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 10155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 10824 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | BACTr | 10182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | BACTr.Q | BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +NODE | ram/RASEN | 10161 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP18_.EXP | 11520 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT +NODE | EXP18_.EXP | 10905 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nOE_OBUF.D1 | 11409 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nOE_OBUF.D1 | 10802 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nOE_OBUF.D2 | 11410 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nOE_OBUF.D2 | 10803 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | EXP18_.EXP OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nOE_OBUF.EXP | 11505 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_FALSE | RefUrg | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | ram/BACTr +SIGNAL | NODE | nOE_OBUF.EXP | 10890 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | RefUrg | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | BACTr SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | RefUrg | IV_TRUE | ram/RS_FSM_FFd8 SPPTERM | 4 | IV_FALSE | RefUrg | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | fsb/ASrf SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | ram/RASEN @@ -6858,1557 +6710,1345 @@ SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | ra SRFF_INSTANCE | nOE_OBUF.REG | nOE_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nOE_OBUF.D | 11408 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nOE_OBUF.D | 10801 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nOE_OBUF.Q | 11411 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nOE_OBUF.Q | 10804 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | FbkInv+PinTrst+Merge+OptxMapped | N0 | WarpSE_COPY_0_COPY_0 | 2155923456 | 1 | 2 +MACROCELL_INSTANCE | FbkInv+PinTrst+Merge+OptxMapped | N0 | WarpSE_COPY_0_COPY_0 | 2155923456 | 11 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRESout | 10834 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.Q | nRESout | 1 | 0 | MC_UIM +NODE | nRESout | 10204 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.Q | nRESout | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOL1 | 10131 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOL0 | 10173 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 10146 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | N0 | 10859 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.Q | N0 | 0 | 0 | MC_Q +NODE | N0 | 10229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.Q | N0 | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | N0.EXP | 10879 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.EXP | N0 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | N0$OE | 10860 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.BUFOE.OUT | N0 | 2 | 0 | MC_OE +NODE | N0$OE | 10230 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.BUFOE.OUT | N0 | 2 | 0 | MC_OE -SIGNAL_INSTANCE | N0.SI | N0 | 0 | 1 | 3 +SIGNAL_INSTANCE | N0.SI | N0 | 0 | 11 | 4 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRESout | 10834 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.Q | nRESout | 1 | 0 | MC_UIM +NODE | nRESout | 10204 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.Q | nRESout | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOL1 | 10131 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOL0 | 10173 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 10146 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | N0.D1 | 11413 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | N0.D1 | 10806 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | N0.D2 | 11414 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | N0.D2 | 10807 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 2 | 9 | MC_SI_D2 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | N0.TRST | 11416 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | N0.TRST | 10809 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | nRESout +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | N0.EXP | 10866 | ? | 0 | 0 | N0 | NULL | NULL | N0.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | iobs/IOL1 | IV_FALSE | IOL0 | IV_FALSE | ALE1 +SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SRFF_INSTANCE | N0.REG | N0 | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | N0.D | 11412 | ? | 0 | 0 | N0 | NULL | NULL | N0.XOR | 0 | 7 | ALU_F +NODE | N0.D | 10805 | ? | 0 | 0 | N0 | NULL | NULL | N0.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | N0.Q | 11417 | ? | 0 | 0 | N0 | NULL | NULL | N0.REG | 0 | 8 | SRFF_Q +NODE | N0.Q | 10810 | ? | 0 | 0 | N0 | NULL | NULL | N0.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | N0.BUFOE | N0 | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | N0.TRST | 11416 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | N0.TRST | 10809 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | nRESout OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | N0.BUFOE.OUT | 11415 | ? | 0 | 0 | N0 | NULL | NULL | N0.BUFOE | 0 | 10 | BUF_OUT +NODE | N0.BUFOE.OUT | 10808 | ? | 0 | 0 | N0 | NULL | NULL | N0.BUFOE | 0 | 10 | BUF_OUT -MACROCELL_INSTANCE | OptxMapped | RA_11_OBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 12 | 2 +MACROCELL_INSTANCE | OptxMapped | RA_11_OBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10067 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | ram/RASEL | 10144 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 10126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10146 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | ALE1 | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2.EXP | 11558 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.EXP | iobs/TS_FSM_FFd2 | 4 | 0 | MC_EXPORT +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_11_OBUF$BUF0 | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.Q | RA_11_OBUF$BUF0 | 0 | 0 | MC_Q +NODE | RA_11_OBUF$BUF0 | 10231 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.Q | RA_11_OBUF$BUF0 | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_11_OBUF$BUF0.EXP | 11557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.EXP | RA_11_OBUF$BUF0 | 4 | 0 | MC_EXPORT +NODE | RA_11_OBUF$BUF0.EXP | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.EXP | RA_11_OBUF$BUF0 | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | RA_11_OBUF$BUF0.SI | RA_11_OBUF$BUF0 | 0 | 12 | 3 +SIGNAL_INSTANCE | RA_11_OBUF$BUF0.SI | RA_11_OBUF$BUF0 | 0 | 10 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10067 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | ram/RASEL | 10144 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 10126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10146 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | ALE1 | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2.EXP | 11558 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.EXP | iobs/TS_FSM_FFd2 | 4 | 0 | MC_EXPORT +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_11_OBUF$BUF0.D1 | 11419 | ? | 0 | 4096 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_11_OBUF$BUF0.D1 | 10812 | ? | 0 | 4096 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_11_OBUF$BUF0.D2 | 11420 | ? | 0 | 4096 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobs/TS_FSM_FFd2.EXP +SIGNAL | NODE | RA_11_OBUF$BUF0.D2 | 10813 | ? | 0 | 4096 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | ram/RASEL +SPPTERM | 2 | IV_TRUE | A_FSB_19_IBUF | IV_FALSE | ram/RASEL OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_11_OBUF$BUF0.EXP | 11542 | ? | 0 | 0 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_11_OBUF$BUF0.EXP | 10922 | ? | 0 | 0 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | iobs/IORW1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | ALE1 +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 + +SRFF_INSTANCE | RA_11_OBUF$BUF0.REG | RA_11_OBUF$BUF0 | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_11_OBUF$BUF0.D | 10811 | ? | 0 | 0 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_11_OBUF$BUF0.Q | 10814 | ? | 0 | 0 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | nADoutLE1_OBUF | WarpSE_COPY_0_COPY_0 | 2155873024 | 4 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Clear1 | 10202 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Load1 | 10168 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nADoutLE1_OBUF | 10232 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ALE1 | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | nADoutLE1_OBUF.SI | nADoutLE1_OBUF | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Clear1 | 10202 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Load1 | 10168 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nADoutLE1_OBUF.D1 | 10816 | ? | 0 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nADoutLE1_OBUF.D2 | 10817 | ? | 0 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/Load1 +SPPTERM | 2 | IV_FALSE | iobs/Clear1 | IV_FALSE | ALE1 + +SRFF_INSTANCE | nADoutLE1_OBUF.REG | nADoutLE1_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nADoutLE1_OBUF.D | 10815 | ? | 0 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nADoutLE1_OBUF.Q | 10818 | ? | 0 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | nAoutOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155873024 | 11 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBR_IOB_OBUF | 10140 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd1 | 10158 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd2 | 10159 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | AoutOE | 10235 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10090 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 10146 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nAoutOE_OBUF | 10234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | AoutOE | 10235 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nAoutOE_OBUF.EXP | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.EXP | nAoutOE_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nAoutOE_OBUF.SI | nAoutOE_OBUF | 0 | 10 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBR_IOB_OBUF | 10140 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd1 | 10158 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd2 | 10159 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | AoutOE | 10235 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10090 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 10146 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nAoutOE_OBUF.D1 | 10820 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nAoutOE_OBUF.D2 | 10821 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_FALSE | nBR_IOB_OBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_TRUE | cnt/IS_FSM_FFd2 +SPPTERM | 3 | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_FALSE | AoutOE +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nAoutOE_OBUF.EXP | 10862 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 + +SRFF_INSTANCE | nAoutOE_OBUF.REG | nAoutOE_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nAoutOE_OBUF.D | 10819 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nAoutOE_OBUF.Q | 10822 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nDinOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 12 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 10110 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DoutOE | 10190 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | AoutOE | 10235 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IORDREQr | 10178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS0 | 10192 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOWRREQr | 10193 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nDinOE_OBUF | 10236 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nDinOE_OBUF.EXP | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.EXP | nDinOE_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nDinOE_OBUF.SI | nDinOE_OBUF | 0 | 12 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 10110 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DoutOE | 10190 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | AoutOE | 10235 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IORDREQr | 10178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS0 | 10192 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOWRREQr | 10193 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDinOE_OBUF.D1 | 10824 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nDinOE_OBUF.D2 | 10825 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | cs/nOverlay | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF +SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF +SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | cs/nOverlay | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nDinOE_OBUF.EXP | 10865 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_TRUE | iobm/DoutOE | IV_FALSE | AoutOE +SPPTERM | 4 | IV_FALSE | iobm/IORDREQr | IV_TRUE | iobm/IOS0 | IV_FALSE | iobm/IOWRREQr | IV_FALSE | AoutOE + +SRFF_INSTANCE | nDinOE_OBUF.REG | nDinOE_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nDinOE_OBUF.D | 10823 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nDinOE_OBUF.Q | 10826 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nDoutOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 12 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10067 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 10146 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10069 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10070 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 10110 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDinOE_OBUF.EXP | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.EXP | nDinOE_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nDoutOE_OBUF | 10237 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nDoutOE_OBUF.EXP | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.EXP | nDoutOE_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nDoutOE_OBUF.SI | nDoutOE_OBUF | 0 | 12 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10067 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 10146 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10069 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10070 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 10110 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDinOE_OBUF.EXP | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.EXP | nDinOE_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDoutOE_OBUF.D1 | 10828 | ? | 0 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nDoutOE_OBUF.D2 | 10829 | ? | 0 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nDinOE_OBUF.EXP +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nDoutOE_OBUF.EXP | 10864 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SRFF_INSTANCE | RA_11_OBUF$BUF0.REG | RA_11_OBUF$BUF0 | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_11_OBUF$BUF0.D | 11418 | ? | 0 | 0 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_11_OBUF$BUF0.Q | 11421 | ? | 0 | 0 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | nADoutLE1_OBUF | WarpSE_COPY_0_COPY_0 | 2155873024 | 4 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Clear1 | 10832 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 10797 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nADoutLE1_OBUF | 10862 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | nADoutLE1_OBUF.SI | nADoutLE1_OBUF | 0 | 3 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Clear1 | 10832 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 10797 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nADoutLE1_OBUF.D1 | 11423 | ? | 0 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nADoutLE1_OBUF.D2 | 11424 | ? | 0 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobs/Load1 -SPPTERM | 2 | IV_FALSE | iobs/Clear1 | IV_FALSE | ALE1 - -SRFF_INSTANCE | nADoutLE1_OBUF.REG | nADoutLE1_OBUF | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nADoutLE1_OBUF.D | 11422 | ? | 0 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nADoutLE1_OBUF.Q | 11425 | ? | 0 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | nAoutOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155873024 | 24 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10725 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10726 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10825 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10907 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP13_.EXP | 11497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nAoutOE_OBUF | 10864 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nAoutOE_OBUF.EXP | 11496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.EXP | nAoutOE_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | nAoutOE_OBUF.SI | nAoutOE_OBUF | 0 | 23 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10725 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10726 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10825 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10907 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP13_.EXP | 11497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nAoutOE_OBUF.D1 | 11427 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nAoutOE_OBUF.D2 | 11428 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP13_.EXP -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nAoutOE_OBUF.EXP | 11489 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM - -SRFF_INSTANCE | nAoutOE_OBUF.REG | nAoutOE_OBUF | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nAoutOE_OBUF.D | 11426 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nAoutOE_OBUF.Q | 11429 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+OptxMapped | nDinOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 6 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDinOE_OBUF | 10866 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nDinOE_OBUF.SI | nDinOE_OBUF | 0 | 6 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDinOE_OBUF.D1 | 11431 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDinOE_OBUF.D2 | 11432 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF -SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF -SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF - -SRFF_INSTANCE | nDinOE_OBUF.REG | nDinOE_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDinOE_OBUF.D | 11430 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDinOE_OBUF.Q | 11433 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+OptxMapped | nDoutOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 5 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DoutOE | 10818 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10806 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS0 | 10820 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10821 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDoutOE_OBUF | 10867 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nDoutOE_OBUF.SI | nDoutOE_OBUF | 0 | 5 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DoutOE | 10818 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10806 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS0 | 10820 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10821 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDoutOE_OBUF.D1 | 11435 | ? | 0 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDoutOE_OBUF.D2 | 11436 | ? | 0 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | iobm/DoutOE | IV_FALSE | AoutOE -SPPTERM | 4 | IV_FALSE | iobm/IORDREQr | IV_TRUE | iobm/IOS0 | IV_FALSE | iobm/IOWRREQr | IV_FALSE | AoutOE - SRFF_INSTANCE | nDoutOE_OBUF.REG | nDoutOE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDoutOE_OBUF.D | 11434 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nDoutOE_OBUF.D | 10827 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDoutOE_OBUF.Q | 11437 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nDoutOE_OBUF.Q | 10830 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+OptxMapped | nRAMLWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 10759 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 10130 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10144 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASrf | 10835 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrf.Q | ram/RASrf | 1 | 0 | MC_UIM +NODE | ram/RASrf | 10205 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrf.Q | ram/RASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASrr | 10836 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrr.Q | ram/RASrr | 1 | 0 | MC_UIM +NODE | ram/RASrr | 10206 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrr.Q | ram/RASrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10110 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +NODE | ram/RASEN | 10161 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nRAMLWE_OBUF | 10868 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q +NODE | nRAMLWE_OBUF | 10238 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nRAMLWE_OBUF.EXP | 11561 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT +NODE | nRAMLWE_OBUF.EXP | 10932 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | nRAMLWE_OBUF.SI | nRAMLWE_OBUF | 0 | 10 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 10759 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 10130 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10144 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASrf | 10835 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrf.Q | ram/RASrf | 1 | 0 | MC_UIM +NODE | ram/RASrf | 10205 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrf.Q | ram/RASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASrr | 10836 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrr.Q | ram/RASrr | 1 | 0 | MC_UIM +NODE | ram/RASrr | 10206 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrr.Q | ram/RASrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10110 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +NODE | ram/RASEN | 10161 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRAMLWE_OBUF.D1 | 11439 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRAMLWE_OBUF.D1 | 10832 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRAMLWE_OBUF.D2 | 11440 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nRAMLWE_OBUF.D2 | 10833 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nLDS_FSB_IBUF | IV_TRUE | ram/RASEL OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nRAMLWE_OBUF.EXP | 11546 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nRAMLWE_OBUF.EXP | 10925 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 1 | IV_TRUE | ram/RASrf SPPTERM | 1 | IV_TRUE | ram/RASrr SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RASEN SRFF_INSTANCE | nRAMLWE_OBUF.REG | nRAMLWE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRAMLWE_OBUF.D | 11438 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nRAMLWE_OBUF.D | 10831 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRAMLWE_OBUF.Q | 11441 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nRAMLWE_OBUF.Q | 10834 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+OptxMapped | nRAMUWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 12 | 2 +MACROCELL_INSTANCE | Inv+OptxMapped | nRAMUWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 18 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 10132 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10144 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 10065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_19_IBUF | 10067 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_18_IBUF | 10068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10069 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | A_FSB_16_IBUF | 10070 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP21_.EXP | 11562 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT +NODE | A_FSB_13_IBUF | 10072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 10096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 10145 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10090 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nRAMUWE_OBUF | 10869 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q +NODE | nRAMUWE_OBUF | 10239 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nRAMUWE_OBUF.EXP | 11563 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT +NODE | nRAMUWE_OBUF.EXP | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | nRAMUWE_OBUF.SI | nRAMUWE_OBUF | 0 | 12 | 3 +SIGNAL_INSTANCE | nRAMUWE_OBUF.SI | nRAMUWE_OBUF | 0 | 18 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 10132 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10144 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 10065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_19_IBUF | 10067 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_18_IBUF | 10068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10069 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | A_FSB_16_IBUF | 10070 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP21_.EXP | 11562 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT +NODE | A_FSB_13_IBUF | 10072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 10096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 10145 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10090 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRAMUWE_OBUF.D1 | 11443 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRAMUWE_OBUF.D1 | 10836 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRAMUWE_OBUF.D2 | 11444 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP21_.EXP +SIGNAL | NODE | nRAMUWE_OBUF.D2 | 10837 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nUDS_FSB_IBUF | IV_TRUE | ram/RASEL OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nRAMUWE_OBUF.EXP | 11548 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nRAMUWE_OBUF.EXP | 10926 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 + +SRFF_INSTANCE | nRAMUWE_OBUF.REG | nRAMUWE_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nRAMUWE_OBUF.D | 10835 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nRAMUWE_OBUF.Q | 10838 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nROMCS_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 12 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefReq | 10111 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 10127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd4 | 10160 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 10162 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BACTr | 10182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | BACTr.Q | BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 10110 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEN | 10161 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP16_.EXP | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nROMCS_OBUF | 10240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nROMCS_OBUF.EXP | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nROMCS_OBUF.SI | nROMCS_OBUF | 0 | 12 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefReq | 10111 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 10127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd4 | 10160 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 10162 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BACTr | 10182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | BACTr.Q | BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 10110 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEN | 10161 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP16_.EXP | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nROMCS_OBUF.D1 | 10840 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nROMCS_OBUF.D2 | 10841 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP16_.EXP +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nROMCS_OBUF.EXP | 10887 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 7 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | BACTr +SPPTERM | 7 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd4 | IV_TRUE | ram/RASEN | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | BACTr +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | BACTr | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | nROMCS_OBUF.REG | nROMCS_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nROMCS_OBUF.D | 10839 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nROMCS_OBUF.Q | 10842 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | FbkInv+Merge+OptxMapped | C25MEN_OBUF | WarpSE_COPY_0_COPY_0 | 2155907072 | 0 | 1 +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | C25MEN_OBUF | 10241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C25MEN_OBUF.Q | C25MEN_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | C25MEN_OBUF.SI | C25MEN_OBUF | 0 | 0 | 2 +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | C25MEN_OBUF.D1 | 10844 | ? | 0 | 4096 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | C25MEN_OBUF.D2 | 10845 | ? | 0 | 4096 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 0 | IV_DC + +SRFF_INSTANCE | C25MEN_OBUF.REG | C25MEN_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | C25MEN_OBUF.D | 10843 | ? | 0 | 0 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | C25MEN_OBUF.Q | 10846 | ? | 0 | 0 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.REG | 0 | 8 | SRFF_Q + +OUTPUT_INSTANCE | 0 | nVMA_IOB | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nVMA_IOBout$Q | 10076 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 0 | 0 | MC_Q +INPUT_NODE_TYPE | 2 | 6 | OI_OE +NODE | nVMA_IOBout$OE | 10078 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.BUFOE.OUT | nVMA_IOBout | 2 | 0 | MC_OE +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nVMA_IOB | 10242 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVMA_IOB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nAS_IOB | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nAS_IOBout$Q | 10081 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 0 | 0 | MC_Q +INPUT_NODE_TYPE | 2 | 6 | OI_OE +NODE | nAS_IOBout$OE | 10083 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.BUFOE.OUT | nAS_IOBout | 2 | 0 | MC_OE +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nAS_IOB | 10243 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_IOB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nLDS_IOB | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nLDS_IOBout$Q | 10084 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 0 | 0 | MC_Q +INPUT_NODE_TYPE | 2 | 6 | OI_OE +NODE | nLDS_IOBout$OE | 10086 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.BUFOE.OUT | nLDS_IOBout | 2 | 0 | MC_OE +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nLDS_IOB | 10244 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_IOB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nUDS_IOB | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nUDS_IOBout$Q | 10087 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 0 | 0 | MC_Q +INPUT_NODE_TYPE | 2 | 6 | OI_OE +NODE | nUDS_IOBout$OE | 10089 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.BUFOE.OUT | nUDS_IOBout | 2 | 0 | MC_OE +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nUDS_IOB | 10245 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_IOB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nBERR_FSB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nBERR_FSB_OBUF | 10135 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nBERR_FSB | 10246 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_FSB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nVPA_FSB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nVPA_FSB_OBUF | 10137 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nVPA_FSB | 10247 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_FSB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nRAS | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nRAS_OBUF | 10138 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nRAS | 10248 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAS | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nBR_IOB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nBR_IOB_OBUF$Q | 10139 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nBR_IOB | 10249 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBR_IOB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<3> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_11_OBUF$Q | 10141 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF.Q | RA_11_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<3> | 10250 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<3> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nDTACK_FSB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nDTACK_FSB_OBUF$Q | 10142 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nDTACK_FSB | 10251 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_FSB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<0> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_0_OBUF | 10214 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<0> | 10252 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<0> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<10> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_10_OBUF | 10215 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_10_OBUF.Q | RA_10_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<10> | 10253 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<10> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<1> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_1_OBUF | 10216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<1> | 10254 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<1> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<2> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_2_OBUF | 10217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<2> | 10255 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<2> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<4> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_4_OBUF | 10218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<4> | 10256 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<4> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<5> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_5_OBUF | 10219 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<5> | 10257 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<5> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<6> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_6_OBUF | 10220 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<6> | 10258 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<6> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<7> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_7_OBUF | 10221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<7> | 10259 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<7> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<8> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_8_OBUF | 10222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<8> | 10260 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<8> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<9> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_9_OBUF | 10223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<9> | 10261 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<9> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nROMWE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nROMWE_OBUF | 10224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nROMWE | 10262 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nROMWE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nADoutLE0 | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nADoutLE0_OBUF | 10225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nADoutLE0 | 10263 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE0 | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nCAS | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nCAS_OBUF | 10226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nCAS | 10264 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nCAS | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nDinLE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nDinLE_OBUF | 10227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nDinLE | 10265 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDinLE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nOE_OBUF | 10228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nOE | 10266 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nOE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nRES | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | N0 | 10229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.Q | N0 | 0 | 0 | MC_Q +INPUT_NODE_TYPE | 2 | 6 | OI_OE +NODE | N0$OE | 10230 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.BUFOE.OUT | N0 | 2 | 0 | MC_OE +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nRES | 10267 | PIPO | 0 | 64 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<11> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_11_OBUF$BUF0 | 10231 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.Q | RA_11_OBUF$BUF0 | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<11> | 10268 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<11> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nADoutLE1 | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nADoutLE1_OBUF | 10232 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nADoutLE1 | 10269 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE1 | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nAoutOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nAoutOE_OBUF | 10234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nAoutOE | 10270 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAoutOE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nDinOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nDinOE_OBUF | 10236 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nDinOE | 10271 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDinOE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nDoutOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nDoutOE_OBUF | 10237 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nDoutOE | 10272 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDoutOE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nRAMLWE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nRAMLWE_OBUF | 10238 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nRAMLWE | 10273 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAMLWE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nRAMUWE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nRAMUWE_OBUF | 10239 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nRAMUWE | 10274 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAMUWE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nROMCS | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nROMCS_OBUF | 10240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nROMCS | 10275 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nROMCS | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | C25MEN | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | C25MEN_OBUF | 10241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C25MEN_OBUF.Q | C25MEN_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | C25MEN | 10276 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C25MEN | 0 | 6 | OI_OUT + +MACROCELL_INSTANCE | NULL | EXP10_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOU1 | 10133 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOU0 | 10174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 10146 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAoutOE_OBUF.EXP | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.EXP | nAoutOE_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP10_.EXP | 10876 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP10_.SI | EXP10_ | 0 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOU1 | 10133 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOU0 | 10174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 10146 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAoutOE_OBUF.EXP | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.EXP | nAoutOE_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP10_.EXP | 10863 | ? | 0 | 0 | EXP10_ | NULL | NULL | EXP10_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | nAoutOE_OBUF.EXP +SPPTERM | 3 | IV_FALSE | iobs/IOU1 | IV_FALSE | IOU0 | IV_FALSE | ALE1 +SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 + +MACROCELL_INSTANCE | NULL | EXP11_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 10 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 10146 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10067 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10069 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10070 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVPA_FSB_OBUF.EXP | 10881 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.EXP | nVPA_FSB_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP11_.EXP | 10880 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP11_.SI | EXP11_ | 0 | 10 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 10146 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10067 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10069 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10070 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVPA_FSB_OBUF.EXP | 10881 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.EXP | nVPA_FSB_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP11_.EXP | 10867 | ? | 0 | 0 | EXP11_ | NULL | NULL | EXP11_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | nVPA_FSB_OBUF.EXP +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 + +MACROCELL_INSTANCE | NULL | EXP12_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 10096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 10145 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 10146 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 10110 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP12_.EXP | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP12_.SI | EXP12_ | 0 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 10096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 10145 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 10146 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 10110 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP12_.EXP | 10869 | ? | 0 | 0 | EXP12_ | NULL | NULL | EXP12_.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SRFF_INSTANCE | nRAMUWE_OBUF.REG | nRAMUWE_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRAMUWE_OBUF.D | 11442 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRAMUWE_OBUF.Q | 11445 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+OptxMapped | nROMCS_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 12 | 2 +MACROCELL_INSTANCE | NULL | EXP13_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 17 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10110 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 10789 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10145 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 10791 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10146 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 10824 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | ALE1 | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +NODE | A_FSB_19_IBUF | 10067 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP16_.EXP | 11517 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nROMCS_OBUF | 10870 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q +NODE | A_FSB_18_IBUF | 10068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10069 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10070 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10090 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nROMCS_OBUF.EXP | 11516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT +NODE | EXP13_.EXP | 10883 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | nROMCS_OBUF.SI | nROMCS_OBUF | 0 | 12 | 3 +SIGNAL_INSTANCE | EXP13_.SI | EXP13_ | 0 | 17 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10110 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 10789 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10145 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 10791 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10146 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 10824 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | ALE1 | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +NODE | A_FSB_19_IBUF | 10067 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP16_.EXP | 11517 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nROMCS_OBUF.D1 | 11447 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nROMCS_OBUF.D2 | 11448 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP16_.EXP +NODE | A_FSB_18_IBUF | 10068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10069 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10070 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10090 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nROMCS_OBUF.EXP | 11502 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 7 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr -SPPTERM | 7 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd4 | IV_TRUE | ram/RASEN | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SIGNAL | NODE | EXP13_.EXP | 10870 | ? | 0 | 0 | EXP13_ | NULL | NULL | EXP13_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 13 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 13 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 13 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 13 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SRFF_INSTANCE | nROMCS_OBUF.REG | nROMCS_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nROMCS_OBUF.D | 11446 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nROMCS_OBUF.Q | 11449 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | FbkInv+Merge+OptxMapped | C25MEN_OBUF | WarpSE_COPY_0_COPY_0 | 2155907072 | 0 | 1 -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | C25MEN_OBUF | 10871 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C25MEN_OBUF.Q | C25MEN_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | C25MEN_OBUF.SI | C25MEN_OBUF | 0 | 0 | 2 -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | C25MEN_OBUF.D1 | 11451 | ? | 0 | 4096 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | C25MEN_OBUF.D2 | 11452 | ? | 0 | 4096 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 0 | IV_DC - -SRFF_INSTANCE | C25MEN_OBUF.REG | C25MEN_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | C25MEN_OBUF.D | 11450 | ? | 0 | 0 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | C25MEN_OBUF.Q | 11453 | ? | 0 | 0 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.REG | 0 | 8 | SRFF_Q - -OUTPUT_INSTANCE | 0 | nVMA_IOB | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nVMA_IOBout$Q | 10710 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 0 | 0 | MC_Q -INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | nVMA_IOBout$OE | 10712 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.BUFOE.OUT | nVMA_IOBout | 2 | 0 | MC_OE -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nVMA_IOB | 10872 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVMA_IOB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nAS_IOB | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nAS_IOBout$Q | 10715 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 0 | 0 | MC_Q -INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | nAS_IOBout$OE | 10717 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.BUFOE.OUT | nAS_IOBout | 2 | 0 | MC_OE -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nAS_IOB | 10873 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_IOB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nLDS_IOB | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nLDS_IOBout$Q | 10718 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 0 | 0 | MC_Q -INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | nLDS_IOBout$OE | 10720 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.BUFOE.OUT | nLDS_IOBout | 2 | 0 | MC_OE -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nLDS_IOB | 10874 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_IOB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nUDS_IOB | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nUDS_IOBout$Q | 10721 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 0 | 0 | MC_Q -INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | nUDS_IOBout$OE | 10723 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.BUFOE.OUT | nUDS_IOBout | 2 | 0 | MC_OE -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nUDS_IOB | 10875 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_IOB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nBERR_FSB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nBERR_FSB_OBUF | 10764 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nBERR_FSB | 10876 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_FSB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nVPA_FSB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nVPA_FSB_OBUF | 10766 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nVPA_FSB | 10877 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_FSB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nRAS | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nRAS_OBUF | 10767 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRAS | 10878 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAS | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nBR_IOB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nBR_IOB_OBUF$Q | 10768 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nBR_IOB | 10879 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBR_IOB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<3> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_11_OBUF$Q | 10770 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF.Q | RA_11_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<3> | 10880 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<3> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nDTACK_FSB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDTACK_FSB_OBUF$Q | 10771 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDTACK_FSB | 10881 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_FSB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<0> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_0_OBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<0> | 10882 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<0> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<10> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_10_OBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_10_OBUF.Q | RA_10_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<10> | 10883 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<10> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<1> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_1_OBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<1> | 10884 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<1> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<2> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_2_OBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<2> | 10885 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<2> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<4> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_4_OBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<4> | 10886 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<4> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<5> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_5_OBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<5> | 10887 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<5> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<6> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_6_OBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<6> | 10888 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<6> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<7> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_7_OBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<7> | 10889 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<7> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<8> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_8_OBUF | 10852 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<8> | 10890 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<8> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<9> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_9_OBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<9> | 10891 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<9> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nROMWE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nROMWE_OBUF | 10854 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nROMWE | 10892 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nROMWE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nADoutLE0 | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nADoutLE0_OBUF | 10855 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nADoutLE0 | 10893 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE0 | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nCAS | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nCAS_OBUF | 10856 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nCAS | 10894 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nCAS | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nDinLE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDinLE_OBUF | 10857 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDinLE | 10895 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDinLE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nOE_OBUF | 10858 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nOE | 10896 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nOE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nRES | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | N0 | 10859 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.Q | N0 | 0 | 0 | MC_Q -INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | N0$OE | 10860 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.BUFOE.OUT | N0 | 2 | 0 | MC_OE -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRES | 10897 | PIPO | 0 | 64 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<11> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_11_OBUF$BUF0 | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.Q | RA_11_OBUF$BUF0 | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<11> | 10898 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<11> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nADoutLE1 | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nADoutLE1_OBUF | 10862 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nADoutLE1 | 10899 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE1 | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nAoutOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nAoutOE_OBUF | 10864 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nAoutOE | 10900 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAoutOE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nDinOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDinOE_OBUF | 10866 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDinOE | 10901 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDinOE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nDoutOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDoutOE_OBUF | 10867 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDoutOE | 10902 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDoutOE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nRAMLWE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nRAMLWE_OBUF | 10868 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRAMLWE | 10903 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAMLWE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nRAMUWE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nRAMUWE_OBUF | 10869 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRAMUWE | 10904 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAMUWE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nROMCS | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nROMCS_OBUF | 10870 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nROMCS | 10905 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nROMCS | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | C25MEN | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | C25MEN_OBUF | 10871 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C25MEN_OBUF.Q | C25MEN_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | C25MEN | 10906 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C25MEN | 0 | 6 | OI_OUT - -MACROCELL_INSTANCE | SoftPfbk | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | WarpSE_COPY_0_COPY_0 | 2181038080 | 37 | 1 +MACROCELL_INSTANCE | NULL | EXP14_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 10065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10146 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | ALE1 | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 10741 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | A_FSB_18_IBUF | 10068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | A_FSB_17_IBUF | 10069 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10747 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10748 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 10749 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 10752 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10725 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10726 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10825 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9>.EXP | 11539 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.EXP | cnt/LTimer<9> | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10>.EXP | 11541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.EXP | cnt/LTimer<10> | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10907 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.SI | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 0 | 37 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 10741 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10747 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10748 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 10749 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 10752 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10725 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10726 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10825 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9>.EXP | 11539 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.EXP | cnt/LTimer<9> | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10>.EXP | 11541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.EXP | cnt/LTimer<10> | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.D1 | 11455 | ? | 0 | 4096 | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | NULL | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.D2 | 11456 | ? | 0 | 4096 | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | NULL | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | cnt/LTimer<9>.EXP -SPPTERM | 1 | IV_TRUE | cnt/LTimer<10>.EXP -SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -SPPTERM | 14 | IV_FALSE | cnt/LTimer<0> | IV_FALSE | cnt/LTimer<1> | IV_FALSE | cnt/LTimer<10> | IV_FALSE | cnt/LTimer<2> | IV_FALSE | cnt/LTimer<3> | IV_FALSE | cnt/LTimer<4> | IV_FALSE | cnt/LTimer<5> | IV_FALSE | cnt/LTimer<6> | IV_FALSE | cnt/LTimer<7> | IV_FALSE | cnt/LTimer<8> | IV_FALSE | cnt/LTimer<9> | IV_FALSE | cnt/LTimer<11> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 -SPPTERM | 19 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF -SPPTERM | 19 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF -SPPTERM | 19 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF - -SRFF_INSTANCE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.REG | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.D | 11454 | ? | 0 | 0 | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | NULL | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | 11457 | ? | 0 | 0 | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | NULL | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | SoftPfbk | $OpTx$$OpTx$FX_DC$350_INV$537 | WarpSE_COPY_0_COPY_0 | 2181038080 | 22 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10725 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10726 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10825 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10907 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM | 10908 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$350_INV$537.Q | $OpTx$$OpTx$FX_DC$350_INV$537 | 1 | 0 | MC_UIM +NODE | A_FSB_16_IBUF | 10070 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | $OpTx$$OpTx$FX_DC$350_INV$537.EXP | 11498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$350_INV$537.EXP | $OpTx$$OpTx$FX_DC$350_INV$537 | 4 | 0 | MC_EXPORT +NODE | EXP14_.EXP | 10884 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | $OpTx$$OpTx$FX_DC$350_INV$537.SI | $OpTx$$OpTx$FX_DC$350_INV$537 | 0 | 22 | 3 +SIGNAL_INSTANCE | EXP14_.SI | EXP14_ | 0 | 9 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | iobs/TS_FSM_FFd2 | 10146 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | ALE1 | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10069 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10725 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10726 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10825 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10907 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | $OpTx$$OpTx$FX_DC$350_INV$537.D1 | 11459 | ? | 0 | 4096 | $OpTx$$OpTx$FX_DC$350_INV$537 | NULL | NULL | $OpTx$$OpTx$FX_DC$350_INV$537.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | $OpTx$$OpTx$FX_DC$350_INV$537.D2 | 11460 | ? | 0 | 4096 | $OpTx$$OpTx$FX_DC$350_INV$537 | NULL | NULL | $OpTx$$OpTx$FX_DC$350_INV$537.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +NODE | A_FSB_16_IBUF | 10070 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | $OpTx$$OpTx$FX_DC$350_INV$537.EXP | 11491 | ? | 0 | 0 | $OpTx$$OpTx$FX_DC$350_INV$537 | NULL | NULL | $OpTx$$OpTx$FX_DC$350_INV$537.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM +SIGNAL | NODE | EXP14_.EXP | 10871 | ? | 0 | 0 | EXP14_ | NULL | NULL | EXP14_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SRFF_INSTANCE | $OpTx$$OpTx$FX_DC$350_INV$537.REG | $OpTx$$OpTx$FX_DC$350_INV$537 | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | $OpTx$$OpTx$FX_DC$350_INV$537.D | 11458 | ? | 0 | 0 | $OpTx$$OpTx$FX_DC$350_INV$537 | NULL | NULL | $OpTx$$OpTx$FX_DC$350_INV$537.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | $OpTx$$OpTx$FX_DC$350_INV$537.Q | 11461 | ? | 0 | 0 | $OpTx$$OpTx$FX_DC$350_INV$537 | NULL | NULL | $OpTx$$OpTx$FX_DC$350_INV$537.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | NULL | EXP10_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 8 | 1 +MACROCELL_INSTANCE | NULL | EXP15_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 19 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM | 10908 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$350_INV$537.Q | $OpTx$$OpTx$FX_DC$350_INV$537 | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 10065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10067 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10069 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4.EXP | 11487 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.EXP | ram/RS_FSM_FFd4 | 4 | 0 | MC_EXPORT +NODE | A_FSB_16_IBUF | 10070 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 10096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 10126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 10145 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 10146 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10090 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay.EXP | 10874 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.EXP | cs/nOverlay | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP10_.EXP | 11476 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT +NODE | EXP15_.EXP | 10886 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP10_.SI | EXP10_ | 0 | 8 | 1 +SIGNAL_INSTANCE | EXP15_.SI | EXP15_ | 0 | 19 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM | 10908 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$350_INV$537.Q | $OpTx$$OpTx$FX_DC$350_INV$537 | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 10065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10067 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10069 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4.EXP | 11487 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.EXP | ram/RS_FSM_FFd4 | 4 | 0 | MC_EXPORT +NODE | A_FSB_16_IBUF | 10070 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 10096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 10126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 10145 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 10146 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10090 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay.EXP | 10874 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.EXP | cs/nOverlay | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP10_.EXP | 11464 | ? | 0 | 0 | EXP10_ | NULL | NULL | EXP10_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd4.EXP -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM - -MACROCELL_INSTANCE | NULL | EXP11_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 10796 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORDREQ | 10810 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 10756 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Clear1.EXP | 11483 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP11_.EXP | 11484 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP11_.SI | EXP11_ | 0 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 10796 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORDREQ | 10810 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 10756 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Clear1.EXP | 11483 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP11_.EXP | 11472 | ? | 0 | 0 | EXP11_ | NULL | NULL | EXP11_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | iobs/Clear1.EXP -SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | iobs/IOACTr -SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd2 | IV_FALSE | IORDREQ -SPPTERM | 3 | IV_TRUE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 3 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 3 | IV_FALSE | iobs/IORW1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | ALE1 - -MACROCELL_INSTANCE | NULL | EXP12_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 26 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 10741 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10907 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10748 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 10749 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 10752 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10725 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10726 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAoutOE_OBUF.EXP | 11496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.EXP | nAoutOE_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP12_.EXP | 11495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP12_.SI | EXP12_ | 0 | 26 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 10741 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10907 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10748 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 10749 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 10752 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10725 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10726 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAoutOE_OBUF.EXP | 11496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.EXP | nAoutOE_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP12_.EXP | 11488 | ? | 0 | 0 | EXP12_ | NULL | NULL | EXP12_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | nAoutOE_OBUF.EXP -SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<11> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM - -MACROCELL_INSTANCE | NULL | EXP13_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 4 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBR_IOB_OBUF | 10769 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP13_.EXP | 11497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP13_.SI | EXP13_ | 0 | 4 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBR_IOB_OBUF | 10769 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP13_.EXP | 11490 | ? | 0 | 0 | EXP13_ | NULL | NULL | EXP13_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_FALSE | nBR_IOB_OBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_TRUE | cnt/IS_FSM_FFd2 -SPPTERM | 3 | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_FALSE | AoutOE - -MACROCELL_INSTANCE | NULL | EXP14_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 23 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10725 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10726 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10825 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10907 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$350_INV$537.EXP | 11498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$350_INV$537.EXP | $OpTx$$OpTx$FX_DC$350_INV$537 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP14_.EXP | 11499 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP14_.SI | EXP14_ | 0 | 23 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10725 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10726 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10825 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10907 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$350_INV$537.EXP | 11498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$350_INV$537.EXP | $OpTx$$OpTx$FX_DC$350_INV$537 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP14_.EXP | 11492 | ? | 0 | 0 | EXP14_ | NULL | NULL | EXP14_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | $OpTx$$OpTx$FX_DC$350_INV$537.EXP -SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM - -MACROCELL_INSTANCE | NULL | EXP15_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10907 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10747 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1>.EXP | 11500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.EXP | cnt/LTimer<1> | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP15_.EXP | 11501 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP15_.SI | EXP15_ | 0 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10907 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10747 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1>.EXP | 11500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.EXP | cnt/LTimer<1> | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP15_.EXP | 11494 | ? | 0 | 0 | EXP15_ | NULL | NULL | EXP15_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | cnt/LTimer<1>.EXP -SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM +SIGNAL | NODE | EXP15_.EXP | 10873 | ? | 0 | 0 | EXP15_ | NULL | NULL | EXP15_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | cs/nOverlay.EXP +SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 MACROCELL_INSTANCE | NULL | EXP16_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay | 10110 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP16_.EXP | 11517 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT +NODE | EXP16_.EXP | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | EXP16_.SI | EXP16_ | 0 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay | 10110 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP16_.EXP | 11503 | ? | 0 | 0 | EXP16_ | NULL | NULL | EXP16_.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP16_.EXP | 10888 | ? | 0 | 0 | EXP16_ | NULL | NULL | EXP16_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_FALSE | cs/nOverlay SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay MACROCELL_INSTANCE | NULL | EXP17_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 10789 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd4 | 10160 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 10155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 10801 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd7 | 10172 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | RefReq | 10111 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP17_.EXP | 11518 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT +NODE | EXP17_.EXP | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | EXP17_.SI | EXP17_ | 0 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 10789 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd4 | 10160 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 10155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 10801 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd7 | 10172 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | RefReq | 10111 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP17_.EXP | 11504 | ? | 0 | 0 | EXP17_ | NULL | NULL | EXP17_.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP17_.EXP | 10889 | ? | 0 | 0 | EXP17_ | NULL | NULL | EXP17_.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 2 | IV_FALSE | RefUrg | IV_TRUE | ram/RS_FSM_FFd4 SPPTERM | 2 | IV_TRUE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd8 SPPTERM | 2 | IV_TRUE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd4 @@ -8417,82 +8057,82 @@ SPPTERM | 3 | IV_FALSE | RefUrg | IV_FALSE | RefReq | IV_TRUE | ram/RS_FSM_FFd8 MACROCELL_INSTANCE | NULL | EXP18_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/DTACKr | 10798 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/DTACKr.Q | ram/DTACKr | 1 | 0 | MC_UIM +NODE | ram/DTACKr | 10169 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/DTACKr.Q | ram/DTACKr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 10824 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | BACTr | 10182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | BACTr.Q | BACTr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP18_.EXP | 11520 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT +NODE | EXP18_.EXP | 10905 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | EXP18_.SI | EXP18_ | 0 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/DTACKr | 10798 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/DTACKr.Q | ram/DTACKr | 1 | 0 | MC_UIM +NODE | ram/DTACKr | 10169 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/DTACKr.Q | ram/DTACKr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 10824 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | BACTr | 10182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | BACTr.Q | BACTr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP18_.EXP | 11506 | ? | 0 | 0 | EXP18_ | NULL | NULL | EXP18_.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP18_.EXP | 10891 | ? | 0 | 0 | EXP18_ | NULL | NULL | EXP18_.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 1 | IV_FALSE | nWE_FSB_IBUF SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 2 | IV_TRUE | ram/DTACKr | IV_TRUE | ram/BACTr +SPPTERM | 2 | IV_TRUE | ram/DTACKr | IV_TRUE | BACTr MACROCELL_INSTANCE | NULL | EXP19_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 10155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +NODE | ram/RASEN | 10161 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10110 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady.EXP | 11527 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.EXP | IONPReady | 4 | 0 | MC_EXPORT +NODE | IONPReady.EXP | 10912 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.EXP | IONPReady | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP19_.EXP | 11528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT +NODE | EXP19_.EXP | 10913 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | EXP19_.SI | EXP19_ | 0 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 10155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +NODE | ram/RASEN | 10161 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10110 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady.EXP | 11527 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.EXP | IONPReady | 4 | 0 | MC_EXPORT +NODE | IONPReady.EXP | 10912 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.EXP | IONPReady | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP19_.EXP | 11514 | ? | 0 | 0 | EXP19_ | NULL | NULL | EXP19_.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP19_.EXP | 10899 | ? | 0 | 0 | EXP19_ | NULL | NULL | EXP19_.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 1 | IV_TRUE | IONPReady.EXP SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd8 SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd8 @@ -8500,382 +8140,163 @@ SPPTERM | 4 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_F SPPTERM | 5 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | fsb/ASrf SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | ram/RASEN -MACROCELL_INSTANCE | NULL | EXP20_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 11 | 1 +MACROCELL_INSTANCE | NULL | EXP20_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 18 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10110 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10145 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | iobs/TS_FSM_FFd2 | 10146 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | ALE1 | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAS_OBUF.EXP | 11560 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT +NODE | A_FSB_19_IBUF | 10067 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10069 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10070 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10090 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP20_.EXP | 11559 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT +NODE | EXP20_.EXP | 10928 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP20_.SI | EXP20_ | 0 | 11 | 1 +SIGNAL_INSTANCE | EXP20_.SI | EXP20_ | 0 | 18 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10110 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10145 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | iobs/TS_FSM_FFd2 | 10146 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 10201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | ALE1 | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAS_OBUF.EXP | 11560 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT +NODE | A_FSB_19_IBUF | 10067 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10069 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10070 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10090 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP20_.EXP | 11544 | ? | 0 | 0 | EXP20_ | NULL | NULL | EXP20_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | nRAS_OBUF.EXP -SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 - -MACROCELL_INSTANCE | NULL | EXP21_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 10761 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP21_.EXP | 11562 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP21_.SI | EXP21_ | 0 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 10761 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP21_.EXP | 11547 | ? | 0 | 0 | EXP21_ | NULL | NULL | EXP21_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nUDS_FSB_IBUF | IV_TRUE | ram/RASEL - -MACROCELL_INSTANCE | NULL | EXP22_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 17 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP22_.EXP | 11564 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP22_.SI | EXP22_ | 0 | 17 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP22_.EXP | 11549 | ? | 0 | 0 | EXP22_ | NULL | NULL | EXP22_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 13 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 13 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 13 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 13 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 - -MACROCELL_INSTANCE | NULL | EXP23_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 10736 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_FSB_OBUF.UIM | 10765 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP23_.EXP | 11565 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP23_.SI | EXP23_ | 0 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 10736 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_FSB_OBUF.UIM | 10765 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP23_.EXP | 11550 | ? | 0 | 0 | EXP23_ | NULL | NULL | EXP23_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_FALSE | IOBERR | IV_TRUE | nBERR_FSB_OBUF.UIM - -MACROCELL_INSTANCE | NULL | EXP24_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOU1 | 10762 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 10803 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_FSB_OBUF.EXP | 11566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP24_.EXP | 11567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP24_.SI | EXP24_ | 0 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOU1 | 10762 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 10803 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_FSB_OBUF.EXP | 11566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP24_.EXP | 11552 | ? | 0 | 0 | EXP24_ | NULL | NULL | EXP24_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | nBERR_FSB_OBUF.EXP -SPPTERM | 3 | IV_FALSE | iobs/IOU1 | IV_FALSE | IOU0 | IV_FALSE | ALE1 -SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 - -MACROCELL_INSTANCE | NULL | EXP25_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOL1 | 10760 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 10802 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1.EXP | 11570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.EXP | iobs/IORW1 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP25_.EXP | 11571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP25_.SI | EXP25_ | 0 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOL1 | 10760 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 10802 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1.EXP | 11570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.EXP | iobs/IORW1 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP25_.EXP | 11556 | ? | 0 | 0 | EXP25_ | NULL | NULL | EXP25_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | iobs/IORW1.EXP -SPPTERM | 3 | IV_FALSE | iobs/IOL1 | IV_FALSE | IOL0 | IV_FALSE | ALE1 -SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SIGNAL | NODE | EXP20_.EXP | 10921 | ? | 0 | 0 | EXP20_ | NULL | NULL | EXP20_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ALE1 +SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ALE1 +SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 FB_INSTANCE | FOOBAR1_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | ram/RS_FSM_FFd3 | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | ram/RS_FSM_FFd2 | 1 | A_FSB_13_IBUF | 1 | NULL | 0 | 11 | 49152 -FBPIN | 3 | ram/RS_FSM_FFd1 | 1 | A_FSB_14_IBUF | 1 | NULL | 0 | 12 | 49152 -FBPIN | 4 | cnt/Er<1> | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | cnt/Er<0> | 1 | A_FSB_15_IBUF | 1 | NULL | 0 | 13 | 49152 -FBPIN | 6 | ram/RefDone | 1 | A_FSB_16_IBUF | 1 | NULL | 0 | 14 | 49152 -FBPIN | 7 | iobs/IOU1 | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | iobs/IOL1 | 1 | A_FSB_17_IBUF | 1 | NULL | 0 | 15 | 49152 -FBPIN | 9 | cnt/TimerTC | 1 | A_FSB_18_IBUF | 1 | NULL | 0 | 16 | 49152 -FBPIN | 10 | cnt/Timer<0> | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | cnt/IS_FSM_FFd2 | 1 | A_FSB_19_IBUF | 1 | NULL | 0 | 17 | 49152 -FBPIN | 12 | RefUrg | 1 | A_FSB_20_IBUF | 1 | NULL | 0 | 18 | 49152 -FBPIN | 13 | RefReq | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | iobm/ES<2> | 1 | A_FSB_21_IBUF | 1 | NULL | 0 | 19 | 49152 -FBPIN | 15 | cnt/Timer<1> | 1 | A_FSB_22_IBUF | 1 | NULL | 0 | 20 | 49152 -FBPIN | 16 | cnt/Timer<3> | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | cnt/Timer<2> | 1 | C16M_IBUF | 0 | NULL | 0 | 22 | 57344 -FBPIN | 18 | ram/RS_FSM_FFd7 | 1 | NULL | 0 | NULL | 0 +FBPIN | 1 | ram/RS_FSM_FFd4 | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | ram/RS_FSM_FFd3 | 1 | A_FSB_13_IBUF | 1 | NULL | 0 | 11 | 49152 +FBPIN | 3 | ram/RS_FSM_FFd2 | 1 | A_FSB_14_IBUF | 1 | NULL | 0 | 12 | 49152 +FBPIN | 4 | ram/RS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | ram/RASrf | 1 | A_FSB_15_IBUF | 1 | NULL | 0 | 13 | 49152 +FBPIN | 6 | iobm/Er | 1 | A_FSB_16_IBUF | 1 | NULL | 0 | 14 | 49152 +FBPIN | 7 | cnt/nIPL2r | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | cnt/IS_FSM_FFd1 | 1 | A_FSB_17_IBUF | 1 | NULL | 0 | 15 | 49152 +FBPIN | 9 | cnt/Er<0> | 1 | A_FSB_18_IBUF | 1 | NULL | 0 | 16 | 49152 +FBPIN | 10 | ram/RefDone | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | ram/RS_FSM_FFd5 | 1 | A_FSB_19_IBUF | 1 | NULL | 0 | 17 | 49152 +FBPIN | 12 | iobs/IOU1 | 1 | A_FSB_20_IBUF | 1 | NULL | 0 | 18 | 49152 +FBPIN | 13 | iobs/IOL1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | cnt/Timer<0> | 1 | A_FSB_21_IBUF | 1 | NULL | 0 | 19 | 49152 +FBPIN | 15 | cnt/IS_FSM_FFd2 | 1 | A_FSB_22_IBUF | 1 | NULL | 0 | 20 | 49152 +FBPIN | 16 | RefUrg | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | IOBERR | 1 | C16M_IBUF | 0 | NULL | 0 | 22 | 57344 +FBPIN | 18 | iobm/ES<2> | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR2_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 4 | ram/RASrf | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | ram/DTACKr | 1 | NULL | 0 | NULL | 0 | 1 | 53248 -FBPIN | 6 | iobs/IODONEr | 1 | A_FSB_5_IBUF | 1 | NULL | 0 | 2 | 53248 -FBPIN | 7 | iobs/IOACTr | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | iobm/VPAr | 1 | A_FSB_6_IBUF | 1 | NULL | 0 | 3 | 53248 -FBPIN | 9 | iobm/IOWRREQr | 1 | A_FSB_7_IBUF | 1 | NULL | 0 | 4 | 53248 -FBPIN | 10 | iobm/IOS_FSM_FFd5 | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | iobm/IOS_FSM_FFd4 | 1 | A_FSB_8_IBUF | 1 | NULL | 0 | 6 | 49152 -FBPIN | 12 | iobm/IOS_FSM_FFd1 | 1 | A_FSB_9_IBUF | 1 | NULL | 0 | 7 | 49152 -FBPIN | 13 | iobm/IORDREQr | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | iobm/Er | 1 | A_FSB_10_IBUF | 1 | NULL | 0 | 8 | 49152 -FBPIN | 15 | iobm/C8Mr | 1 | A_FSB_11_IBUF | 1 | NULL | 0 | 9 | 49152 -FBPIN | 16 | cnt/nIPL2r | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | iobm/IOS_FSM_FFd2 | 1 | A_FSB_12_IBUF | 1 | NULL | 0 | 10 | 49152 -FBPIN | 18 | IOBERR | 1 | NULL | 0 | NULL | 0 +FBPIN | 6 | NULL | 0 | A_FSB_5_IBUF | 1 | NULL | 0 | 2 | 53248 +FBPIN | 8 | ram/DTACKr | 1 | A_FSB_6_IBUF | 1 | NULL | 0 | 3 | 53248 +FBPIN | 9 | iobs/IODONEr | 1 | A_FSB_7_IBUF | 1 | NULL | 0 | 4 | 53248 +FBPIN | 10 | iobs/IOACTr | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | iobm/VPAr | 1 | A_FSB_8_IBUF | 1 | NULL | 0 | 6 | 49152 +FBPIN | 12 | iobm/IOWRREQr | 1 | A_FSB_9_IBUF | 1 | NULL | 0 | 7 | 49152 +FBPIN | 13 | iobm/IOS_FSM_FFd5 | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | iobm/IOS_FSM_FFd4 | 1 | A_FSB_10_IBUF | 1 | NULL | 0 | 8 | 49152 +FBPIN | 15 | iobm/IOS_FSM_FFd1 | 1 | A_FSB_11_IBUF | 1 | NULL | 0 | 9 | 49152 +FBPIN | 16 | iobm/IORDREQr | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | iobm/C8Mr | 1 | A_FSB_12_IBUF | 1 | NULL | 0 | 10 | 49152 +FBPIN | 18 | iobm/IOS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR3_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | EXP10_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | QoSReady | 1 | C8M_IBUF | 1 | NULL | 0 | 23 | 57344 -FBPIN | 3 | cnt/WS<2> | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | ram/RS_FSM_FFd6 | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | ram/RS_FSM_FFd5 | 1 | A_FSB_23_IBUF | 1 | NULL | 0 | 24 | 49152 -FBPIN | 6 | iobs/TS_FSM_FFd1 | 1 | E_IBUF | 1 | NULL | 0 | 25 | 49152 -FBPIN | 7 | ram/RASEL | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | cs/nOverlay | 1 | FCLK_IBUF | 0 | NULL | 0 | 27 | 57344 +FBPIN | 1 | cnt/QS<1> | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | cnt/LTimer<0> | 1 | C8M_IBUF | 1 | NULL | 0 | 23 | 57344 +FBPIN | 3 | cnt/Er<1> | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | cnt/LTimer<6> | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | cnt/LTimer<5> | 1 | A_FSB_23_IBUF | 1 | NULL | 0 | 24 | 49152 +FBPIN | 6 | cnt/LTimer<4> | 1 | E_IBUF | 1 | NULL | 0 | 25 | 49152 +FBPIN | 7 | cnt/LTimer<3> | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | cnt/LTimer<2> | 1 | FCLK_IBUF | 0 | NULL | 0 | 27 | 57344 FBPIN | 9 | nDTACK_FSB_OBUF | 1 | NULL | 0 | nDTACK_FSB | 1 | 28 | 49152 -FBPIN | 10 | cnt/WS<3> | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | cnt/WS<1> | 1 | nWE_FSB_IBUF | 1 | NULL | 0 | 29 | 49152 -FBPIN | 12 | iobs/Load1 | 1 | nLDS_FSB_IBUF | 1 | NULL | 0 | 30 | 49152 -FBPIN | 13 | iobs/Clear1 | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | EXP11_ | 1 | nAS_FSB_IBUF | 1 | NULL | 0 | 32 | 49152 -FBPIN | 15 | IORDREQ | 1 | nUDS_FSB_IBUF | 1 | NULL | 0 | 33 | 49152 -FBPIN | 16 | iobs/Sent | 1 | NULL | 0 | NULL | 0 +FBPIN | 10 | cnt/LTimer<1> | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | cnt/Wait<4> | 1 | nWE_FSB_IBUF | 1 | NULL | 0 | 29 | 49152 +FBPIN | 12 | cnt/Wait<3> | 1 | nLDS_FSB_IBUF | 1 | NULL | 0 | 30 | 49152 +FBPIN | 13 | cnt/Wait<2> | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | cnt/Wait<1> | 1 | nAS_FSB_IBUF | 1 | NULL | 0 | 32 | 49152 +FBPIN | 15 | QoSReady | 1 | nUDS_FSB_IBUF | 1 | NULL | 0 | 33 | 49152 +FBPIN | 16 | cnt/QS<0> | 1 | NULL | 0 | NULL | 0 FBPIN | 17 | nROMWE_OBUF | 1 | NULL | 0 | nROMWE | 1 | 34 | 49152 -FBPIN | 18 | ram/RS_FSM_FFd4 | 1 | NULL | 0 | NULL | 0 +FBPIN | 18 | fsb/ASrf | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR4_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | EXP12_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 1 | cs/nOverlay | 1 | NULL | 0 | NULL | 0 FBPIN | 2 | nAoutOE_OBUF | 1 | NULL | 0 | nAoutOE | 1 | 87 | 49152 -FBPIN | 3 | EXP13_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 3 | EXP10_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | IOU0 | 1 | NULL | 0 | NULL | 0 FBPIN | 5 | nDoutOE_OBUF | 1 | NULL | 0 | nDoutOE | 1 | 89 | 49152 FBPIN | 6 | nDinOE_OBUF | 1 | NULL | 0 | nDinOE | 1 | 90 | 49152 -FBPIN | 7 | ram/BACTr | 1 | NULL | 0 | NULL | 0 FBPIN | 8 | N0 | 1 | N01 | 1 | nRES | 1 | 91 | 49152 -FBPIN | 9 | nRESout | 1 | nIPL2_IBUF | 1 | NULL | 0 | 92 | 49152 -FBPIN | 10 | fsb/ASrf | 1 | NULL | 0 | NULL | 0 +FBPIN | 9 | IOL0 | 1 | nIPL2_IBUF | 1 | NULL | 0 | 92 | 49152 +FBPIN | 10 | EXP11_ | 1 | NULL | 0 | NULL | 0 FBPIN | 11 | nVPA_FSB_OBUF | 1 | NULL | 0 | nVPA_FSB | 1 | 93 | 49152 -FBPIN | 12 | cnt/LTimerTC | 1 | A_FSB_1_IBUF | 1 | NULL | 0 | 94 | 49152 -FBPIN | 13 | ALE0S | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | $OpTx$$OpTx$FX_DC$350_INV$537 | 1 | A_FSB_2_IBUF | 1 | NULL | 0 | 95 | 49152 +FBPIN | 12 | EXP12_ | 1 | A_FSB_1_IBUF | 1 | NULL | 0 | 94 | 49152 +FBPIN | 13 | IOWRREQ | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | EXP13_ | 1 | A_FSB_2_IBUF | 1 | NULL | 0 | 95 | 49152 FBPIN | 15 | EXP14_ | 1 | A_FSB_3_IBUF | 1 | NULL | 0 | 96 | 49152 -FBPIN | 16 | cnt/LTimer<1> | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | EXP15_ | 1 | A_FSB_4_IBUF | 1 | NULL | 0 | 97 | 49152 -FBPIN | 18 | cnt/LTimer<0> | 1 | NULL | 0 | NULL | 0 +FBPIN | 16 | iobs/TS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | iobs/IORW1 | 1 | A_FSB_4_IBUF | 1 | NULL | 0 | 97 | 49152 +FBPIN | 18 | EXP15_ | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR5_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 FBPIN | 1 | ram/RS_FSM_FFd8 | 1 | NULL | 0 | NULL | 0 @@ -8885,7 +8306,7 @@ FBPIN | 4 | EXP17_ | 1 | NULL | 0 | NULL | 0 FBPIN | 5 | nCAS_OBUF | 1 | NULL | 0 | nCAS | 1 | 36 | 49152 FBPIN | 6 | nOE_OBUF | 1 | NULL | 0 | nOE | 1 | 37 | 49152 FBPIN | 7 | EXP18_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | cnt/WS<0> | 1 | NULL | 0 | NULL | 0 | 39 | 49152 +FBPIN | 8 | cnt/Wait<0> | 1 | NULL | 0 | NULL | 0 | 39 | 49152 FBPIN | 9 | RA_4_OBUF | 1 | NULL | 0 | RA<4> | 1 | 40 | 49152 FBPIN | 10 | ram/RASEN | 1 | NULL | 0 | NULL | 0 FBPIN | 11 | RA_11_OBUF | 1 | NULL | 0 | RA<3> | 1 | 41 | 49152 @@ -8918,44 +8339,44 @@ FBPIN | 17 | nDinLE_OBUF | 1 | NULL | 0 | nDinLE | 1 | 86 | 49152 FBPIN | 18 | IOACT | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR7_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | cnt/LTimer<9> | 1 | NULL | 0 | NULL | 0 +FBPIN | 1 | cnt/TimerTC | 1 | NULL | 0 | NULL | 0 FBPIN | 2 | RA_1_OBUF | 1 | NULL | 0 | RA<1> | 1 | 50 | 49152 -FBPIN | 3 | cnt/LTimer<8> | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | cnt/LTimer<7> | 1 | NULL | 0 | NULL | 0 +FBPIN | 3 | cnt/LTimerTC | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | cnt/LTimer<9> | 1 | NULL | 0 | NULL | 0 FBPIN | 5 | RA_7_OBUF | 1 | NULL | 0 | RA<7> | 1 | 52 | 49152 FBPIN | 6 | RA_0_OBUF | 1 | NULL | 0 | RA<0> | 1 | 53 | 49152 -FBPIN | 7 | cnt/LTimer<6> | 1 | NULL | 0 | NULL | 0 +FBPIN | 7 | cnt/LTimer<8> | 1 | NULL | 0 | NULL | 0 FBPIN | 8 | RA_8_OBUF | 1 | NULL | 0 | RA<8> | 1 | 54 | 49152 FBPIN | 9 | RA_10_OBUF | 1 | NULL | 0 | RA<10> | 1 | 55 | 49152 -FBPIN | 10 | cnt/LTimer<5> | 1 | NULL | 0 | NULL | 0 +FBPIN | 10 | cnt/LTimer<7> | 1 | NULL | 0 | NULL | 0 FBPIN | 11 | RA_9_OBUF | 1 | NULL | 0 | RA<9> | 1 | 56 | 49152 FBPIN | 12 | C25MEN_OBUF | 1 | NULL | 0 | C25MEN | 1 | 58 | 49152 -FBPIN | 13 | cnt/LTimer<4> | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | cnt/LTimer<3> | 1 | NULL | 0 | NULL | 0 | 59 | 49152 -FBPIN | 15 | cnt/LTimer<2> | 1 | NULL | 0 | NULL | 0 | 60 | 49152 -FBPIN | 16 | cnt/LTimer<11> | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | cnt/LTimer<10> | 1 | NULL | 0 | NULL | 0 | 61 | 49152 -FBPIN | 18 | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | NULL | 0 | NULL | 0 +FBPIN | 13 | cnt/LTimer<11> | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | cnt/LTimer<10> | 1 | NULL | 0 | NULL | 0 | 59 | 49152 +FBPIN | 15 | RefReq | 1 | NULL | 0 | NULL | 0 | 60 | 49152 +FBPIN | 16 | cnt/Timer<1> | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | cnt/Timer<3> | 1 | NULL | 0 | NULL | 0 | 61 | 49152 +FBPIN | 18 | cnt/Timer<2> | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR8_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | IOL0 | 1 | NULL | 0 | NULL | 0 +FBPIN | 1 | EXP20_ | 1 | NULL | 0 | NULL | 0 FBPIN | 2 | RA_11_OBUF$BUF0 | 1 | NULL | 0 | RA<11> | 1 | 63 | 49152 -FBPIN | 3 | iobs/TS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | EXP20_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 3 | IORDREQ | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | ram/RS_FSM_FFd7 | 1 | NULL | 0 | NULL | 0 FBPIN | 5 | nRAS_OBUF | 1 | NULL | 0 | nRAS | 1 | 64 | 49152 FBPIN | 6 | nRAMLWE_OBUF | 1 | NULL | 0 | nRAMLWE | 1 | 65 | 49152 -FBPIN | 7 | EXP21_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 7 | iobs/Load1 | 1 | NULL | 0 | NULL | 0 FBPIN | 8 | nRAMUWE_OBUF | 1 | NULL | 0 | nRAMUWE | 1 | 66 | 49152 -FBPIN | 9 | IOWRREQ | 1 | NULL | 0 | NULL | 0 | 67 | 49152 -FBPIN | 10 | EXP22_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | EXP23_ | 1 | NULL | 0 | NULL | 0 | 68 | 49152 +FBPIN | 9 | ram/RASEL | 1 | NULL | 0 | NULL | 0 | 67 | 49152 +FBPIN | 10 | iobs/TS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | ram/RS_FSM_FFd6 | 1 | NULL | 0 | NULL | 0 | 68 | 49152 FBPIN | 12 | nBERR_FSB_OBUF | 1 | NULL | 0 | nBERR_FSB | 1 | 70 | 49152 -FBPIN | 13 | EXP24_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | IOU0 | 1 | NULL | 0 | NULL | 0 | 71 | 49152 +FBPIN | 13 | ALE0S | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | BACTr | 1 | NULL | 0 | NULL | 0 | 71 | 49152 FBPIN | 15 | nBR_IOB_OBUF | 1 | NULL | 0 | nBR_IOB | 1 | 72 | 49152 -FBPIN | 16 | cnt/IS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | iobs/IORW1 | 1 | NULL | 0 | NULL | 0 | 73 | 49152 -FBPIN | 18 | EXP25_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 16 | iobs/Clear1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | nRESout | 1 | NULL | 0 | NULL | 0 | 73 | 49152 +FBPIN | 18 | iobs/Sent | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | INPUTPINS_FOOBAR9_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 @@ -8963,99 +8384,93 @@ BUSINFO | A_FSB<23:1> | 23 | 0 | 0 | A_FSB<10> | 13 | A_FSB<11> | 12 | A_FSB<12> BUSINFO | RA<11:0> | 12 | 0 | 1 | RA<0> | 11 | RA<10> | 1 | RA<11> | 0 | RA<1> | 10 | RA<2> | 9 | RA<3> | 8 | RA<4> | 7 | RA<5> | 6 | RA<6> | 5 | RA<7> | 4 | RA<8> | 3 | RA<9> | 2 BUSINFO | SW<3:1> | 3 | 0 | 0 | SW<1> | 2 | SW<2> | 1 | SW<3> | 0 -FB_ORDER_OF_INPUTS | FOOBAR1_ | 0 | ram/RS_FSM_FFd8 | NULL | 2 | ram/RS_FSM_FFd1 | NULL | 3 | cnt/Er<1> | NULL | 4 | cnt/Er<0> | NULL | 8 | nAS_FSB | 32 -FB_ORDER_OF_INPUTS | FOOBAR1_ | 9 | cnt/Timer<0> | NULL | 11 | iobs/Load1 | NULL | 13 | iobm/Er | NULL | 14 | cnt/Timer<1> | NULL | 15 | cnt/Timer<3> | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 16 | cnt/Timer<2> | NULL | 17 | ram/RS_FSM_FFd4 | NULL | 19 | cnt/IS_FSM_FFd1 | NULL | 22 | ram/RS_FSM_FFd2 | NULL | 23 | A_FSB<23> | 24 -FB_ORDER_OF_INPUTS | FOOBAR1_ | 24 | A_FSB<22> | 20 | 28 | iobm/ES<0> | NULL | 29 | nLDS_FSB | 30 | 30 | ram/RASEN | NULL | 31 | cnt/TimerTC | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 32 | iobm/ES<1> | NULL | 33 | E | 25 | 34 | ram/RS_FSM_FFd7 | NULL | 35 | ram/BACTr | NULL | 36 | fsb/ASrf | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 39 | cnt/IS_FSM_FFd2 | NULL | 41 | RefUrg | NULL | 42 | ram/RS_FSM_FFd3 | NULL | 44 | ram/RefDone | NULL | 48 | iobm/ES<2> | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 51 | nUDS_FSB | 33 | 52 | RefReq | NULL | 53 | cnt/LTimerTC | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 0 | cnt/TimerTC | NULL | 2 | cnt/Er<1> | NULL | 3 | ram/RS_FSM_FFd7 | NULL | 6 | iobs/Load1 | NULL | 7 | cnt/IS_FSM_FFd1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 9 | ram/RefDone | NULL | 11 | nAS_IOBout | NULL | 12 | nUDS_FSB | 33 | 13 | cnt/Timer<0> | NULL | 14 | cnt/IS_FSM_FFd2 | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 15 | RefUrg | NULL | 16 | cnt/Timer<3> | NULL | 17 | cnt/Timer<2> | NULL | 19 | cnt/Timer<1> | NULL | 22 | ram/RS_FSM_FFd3 | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 23 | ram/DTACKr | NULL | 25 | RefReq | NULL | 27 | ram/RS_FSM_FFd2 | NULL | 28 | iobm/ES<0> | NULL | 32 | iobm/ES<1> | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 33 | cnt/Er<0> | NULL | 34 | iobm/ES<2> | NULL | 36 | cnt/LTimerTC | NULL | 37 | nLDS_FSB | 30 | 39 | ram/RS_FSM_FFd5 | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 43 | cnt/nIPL2r | NULL | 44 | iobm/Er | NULL | 46 | nBERR_IOB | 76 | 47 | ram/RS_FSM_FFd1 | NULL | 49 | nIPL2 | 92 +FB_ORDER_OF_INPUTS | FOOBAR1_ | 50 | ram/RS_FSM_FFd6 | NULL | 52 | E | 25 -FB_IMUX_INDEX | FOOBAR1_ | 72 | -1 | 2 | 3 | 4 | -1 | -1 | -1 | 268 | 9 | -1 | 47 | -1 | 31 | 14 | 15 | 16 | 53 | -1 | 141 | -1 | -1 | 1 | 240 | 230 | -1 | -1 | -1 | 94 | 260 | 81 | 8 | 96 | 242 | 17 | 60 | 63 | -1 | -1 | 10 | -1 | 11 | 0 | -1 | 5 | -1 | -1 | -1 | 13 | -1 | -1 | 272 | 12 | 65 +FB_IMUX_INDEX | FOOBAR1_ | 108 | -1 | 38 | 129 | -1 | -1 | 132 | 7 | -1 | 9 | -1 | 101 | 272 | 13 | 14 | 15 | 124 | 125 | -1 | 123 | -1 | -1 | 1 | 25 | -1 | 122 | -1 | 2 | 94 | -1 | -1 | -1 | 96 | 8 | 17 | -1 | 110 | 260 | -1 | 10 | -1 | -1 | -1 | 6 | 5 | -1 | 175 | 3 | -1 | 150 | 136 | -1 | 242 | -1 -FB_ORDER_OF_INPUTS | FOOBAR2_ | 3 | ram/RS_FSM_FFd6 | NULL | 6 | nIPL2 | 92 | 8 | nDTACK_FSB_OBUF | NULL | 9 | iobm/IOS_FSM_FFd5 | NULL | 11 | nAS_IOBout | NULL -FB_ORDER_OF_INPUTS | FOOBAR2_ | 12 | C8M | 23 | 15 | nBERR_IOB | 76 | 16 | E | 25 | 17 | IOBERR | NULL | 24 | iobm/IOS_FSM_FFd6 | NULL -FB_ORDER_OF_INPUTS | FOOBAR2_ | 33 | iobm/IOS_FSM_FFd3 | NULL | 37 | iobm/C8Mr | NULL | 41 | IOWRREQ | NULL | 42 | IORDREQ | NULL | 45 | nVPA_IOB | 77 -FB_ORDER_OF_INPUTS | FOOBAR2_ | 46 | iobm/IOS_FSM_FFd2 | NULL | 47 | IODONE | NULL | 53 | IOACT | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 8 | nDTACK_FSB_OBUF | NULL | 9 | IODONE | NULL | 11 | nVPA_IOB | 77 | 12 | IOWRREQ | NULL | 16 | IOBERR | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 17 | iobm/IOS_FSM_FFd2 | NULL | 24 | iobm/IOS_FSM_FFd6 | NULL | 33 | iobm/IOS_FSM_FFd3 | NULL | 37 | IORDREQ | NULL | 46 | iobm/C8Mr | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 47 | iobm/IOS_FSM_FFd5 | NULL | 48 | C8M | 23 | 53 | IOACT | NULL -FB_IMUX_INDEX | FOOBAR2_ | -1 | -1 | -1 | 39 | -1 | -1 | 150 | -1 | 44 | 27 | -1 | 101 | 238 | -1 | -1 | 175 | 242 | 35 | -1 | -1 | -1 | -1 | -1 | -1 | 90 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 93 | -1 | -1 | -1 | 32 | -1 | -1 | -1 | 134 | 50 | -1 | -1 | 171 | 34 | 99 | -1 | -1 | -1 | -1 | -1 | 107 +FB_IMUX_INDEX | FOOBAR2_ | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 44 | 99 | -1 | 171 | 66 | -1 | -1 | -1 | 16 | 35 | -1 | -1 | -1 | -1 | -1 | -1 | 90 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 93 | -1 | -1 | -1 | 128 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 34 | 30 | 238 | -1 | -1 | -1 | -1 | 107 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 0 | ram/RS_FSM_FFd8 | NULL | 1 | QoSReady | NULL | 2 | cnt/WS<2> | NULL | 3 | ram/RS_FSM_FFd6 | NULL | 4 | ram/DTACKr | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 5 | iobs/TS_FSM_FFd1 | NULL | 6 | iobs/IOACTr | NULL | 7 | cs/nOverlay | NULL | 8 | nAS_FSB | 32 | 9 | cnt/WS<3> | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 10 | cnt/WS<1> | NULL | 12 | RAMReady | NULL | 13 | $OpTx$$OpTx$FX_DC$350_INV$537.UIM | NULL | 14 | IORDREQ | NULL | 15 | iobs/Sent | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 16 | iobs/IORW1 | NULL | 17 | cnt/LTimer<0> | NULL | 19 | A_FSB<20> | 18 | 20 | A_FSB<16> | 14 | 21 | A_FSB<19> | 17 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 23 | A_FSB<23> | 24 | 28 | nWE_FSB | 29 | 30 | ram/RASEN | NULL | 31 | A_FSB<10> | 8 | 34 | A_FSB<17> | 15 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 35 | A_FSB<14> | 12 | 36 | fsb/ASrf | NULL | 37 | iobs/TS_FSM_FFd2 | NULL | 39 | A_FSB<22> | 20 | 40 | A_FSB<8> | 6 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 41 | nRES | 91 | 42 | A_FSB<12> | 10 | 43 | IONPReady | NULL | 44 | A_FSB<13> | 11 | 45 | A_FSB<18> | 16 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 46 | A_FSB<15> | 13 | 47 | A_FSB<21> | 19 | 48 | cnt/WS<0> | NULL | 49 | ALE1 | NULL | 50 | cnt/LTimer<1> | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 51 | ram/RS_FSM_FFd5 | NULL | 52 | A_FSB<11> | 9 | 53 | A_FSB<9> | 7 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 0 | cnt/QS<1> | NULL | 1 | cnt/LTimer<0> | NULL | 2 | cnt/Er<1> | NULL | 3 | A_FSB<15> | 13 | 4 | cnt/LTimer<5> | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 5 | cnt/LTimer<4> | NULL | 6 | cnt/LTimer<3> | NULL | 7 | cnt/LTimer<2> | NULL | 8 | cnt/Er<0> | NULL | 9 | cnt/LTimer<1> | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 10 | cnt/Wait<4> | NULL | 11 | cnt/Wait<3> | NULL | 12 | cnt/Wait<2> | NULL | 13 | cnt/Wait<1> | NULL | 14 | QoSReady | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 15 | cnt/QS<0> | NULL | 16 | A_FSB<13> | 11 | 17 | fsb/ASrf | NULL | 18 | A_FSB<19> | 17 | 20 | A_FSB<16> | 14 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 21 | iobs/Sent | NULL | 28 | nWE_FSB | 29 | 30 | A_FSB<20> | 18 | 31 | A_FSB<10> | 8 | 33 | nAS_FSB | 32 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 34 | A_FSB<17> | 15 | 36 | A_FSB<23> | 24 | 37 | RAMReady | NULL | 39 | A_FSB<22> | 20 | 40 | A_FSB<8> | 6 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 42 | A_FSB<12> | 10 | 43 | IONPReady | NULL | 44 | A_FSB<14> | 12 | 45 | A_FSB<18> | 16 | 47 | A_FSB<21> | 19 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 48 | cnt/Wait<0> | NULL | 49 | ALE1 | NULL | 50 | cnt/TimerTC | NULL | 52 | A_FSB<11> | 9 | 53 | A_FSB<9> | 7 -FB_IMUX_INDEX | FOOBAR3_ | 72 | 37 | 38 | 39 | 22 | 41 | 24 | 43 | 268 | 45 | 46 | -1 | 84 | 67 | 50 | 51 | 142 | 71 | -1 | 226 | 214 | 222 | -1 | 240 | -1 | -1 | -1 | -1 | 256 | -1 | 81 | 198 | -1 | -1 | 216 | 210 | 63 | 128 | -1 | 230 | 194 | 148 | 206 | 87 | 208 | 218 | 212 | 228 | 79 | 103 | 69 | 40 | 202 | 196 +FB_IMUX_INDEX | FOOBAR3_ | 36 | 37 | 38 | 212 | 40 | 41 | 42 | 43 | 8 | 45 | 46 | 47 | 48 | 49 | 50 | 51 | 208 | 53 | 222 | -1 | 214 | 143 | -1 | -1 | -1 | -1 | -1 | -1 | 256 | -1 | 226 | 198 | -1 | 268 | 216 | -1 | 240 | 84 | -1 | 230 | 194 | -1 | 206 | 87 | 210 | 218 | -1 | 228 | 79 | 103 | 108 | -1 | 202 | 196 -FB_ORDER_OF_INPUTS | FOOBAR4_ | 0 | cnt/LTimer<9> | NULL | 1 | AoutOE | NULL | 2 | cnt/LTimer<8> | NULL | 3 | cnt/LTimer<7> | NULL | 4 | A_FSB<9> | 7 -FB_ORDER_OF_INPUTS | FOOBAR4_ | 5 | A_FSB<16> | 14 | 6 | cnt/LTimer<6> | NULL | 7 | iobm/DoutOE | NULL | 8 | iobm/IOWRREQr | NULL | 9 | fsb/ASrf | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 10 | cnt/IS_FSM_FFd2 | NULL | 12 | iobm/IORDREQr | NULL | 13 | cnt/LTimer<3> | NULL | 14 | cnt/LTimer<2> | NULL | 15 | cnt/LTimer<1> | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 16 | cnt/LTimer<10> | NULL | 17 | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | NULL | 19 | A_FSB<20> | 18 | 21 | A_FSB<19> | 17 | 22 | A_FSB<12> | 10 -FB_ORDER_OF_INPUTS | FOOBAR4_ | 23 | A_FSB<23> | 24 | 28 | nWE_FSB | 29 | 29 | iobm/IOS0 | NULL | 30 | cnt/IS_FSM_FFd1 | NULL | 31 | A_FSB<10> | 8 -FB_ORDER_OF_INPUTS | FOOBAR4_ | 33 | cnt/LTimer<11> | NULL | 34 | A_FSB<17> | 15 | 35 | A_FSB<14> | 12 | 36 | nAS_FSB | 32 | 37 | iobs/TS_FSM_FFd2 | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 38 | nRESout | NULL | 39 | A_FSB<22> | 20 | 40 | A_FSB<8> | 6 | 42 | nBR_IOB_OBUF | NULL | 43 | IONPReady | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 44 | A_FSB<13> | 11 | 45 | A_FSB<21> | 19 | 46 | A_FSB<15> | 13 | 47 | cnt/LTimer<0> | NULL | 48 | cnt/LTimer<4> | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 49 | cnt/LTimer<5> | NULL | 50 | A_FSB<18> | 16 | 52 | A_FSB<11> | 9 +FB_ORDER_OF_INPUTS | FOOBAR4_ | 0 | cs/nOverlay | NULL | 1 | AoutOE | NULL | 2 | A_FSB<21> | 19 | 3 | IOU0 | NULL | 4 | nRES | 91 +FB_ORDER_OF_INPUTS | FOOBAR4_ | 7 | iobm/DoutOE | NULL | 8 | IOL0 | NULL | 9 | iobs/IOACTr | NULL | 11 | iobs/IOU1 | NULL | 12 | iobs/IOL1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 13 | ALE1 | NULL | 14 | nBR_IOB_OBUF | NULL | 15 | iobm/IORDREQr | NULL | 16 | iobs/IORW1 | NULL | 17 | fsb/ASrf | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 18 | A_FSB<19> | 17 | 19 | A_FSB<20> | 18 | 20 | A_FSB<16> | 14 | 21 | iobs/Sent | NULL | 24 | A_FSB<22> | 20 +FB_ORDER_OF_INPUTS | FOOBAR4_ | 26 | IONPReady | NULL | 28 | nWE_FSB | 29 | 29 | iobm/IOS0 | NULL | 33 | nAS_FSB | 32 | 34 | A_FSB<17> | 15 +FB_ORDER_OF_INPUTS | FOOBAR4_ | 35 | A_FSB<14> | 12 | 36 | A_FSB<23> | 24 | 37 | nLDS_FSB | 30 | 40 | iobs/TS_FSM_FFd1 | NULL | 42 | QoSReady | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 43 | iobm/IOWRREQr | NULL | 44 | A_FSB<13> | 11 | 45 | A_FSB<18> | 16 | 46 | IOWRREQ | NULL | 49 | cnt/IS_FSM_FFd2 | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 50 | iobs/TS_FSM_FFd2 | NULL | 51 | nUDS_FSB | 33 | 52 | nRESout | NULL | 53 | cnt/IS_FSM_FFd1 | NULL -FB_IMUX_INDEX | FOOBAR4_ | 108 | 55 | 110 | 111 | 196 | 214 | 114 | 97 | 26 | 63 | 10 | -1 | 30 | 121 | 122 | 69 | 124 | 125 | -1 | 226 | -1 | 222 | 206 | 240 | -1 | -1 | -1 | -1 | 256 | 102 | 141 | 198 | -1 | 123 | 216 | 210 | 268 | 128 | 62 | 230 | 194 | -1 | 140 | 87 | 208 | 228 | 212 | 71 | 120 | 117 | 218 | -1 | 202 | -1 +FB_IMUX_INDEX | FOOBAR4_ | 54 | 55 | 228 | 57 | 148 | -1 | -1 | 97 | 62 | 27 | -1 | 11 | 12 | 103 | 140 | 33 | 70 | 53 | 222 | 226 | 214 | 143 | -1 | -1 | 230 | -1 | 87 | -1 | 256 | 102 | -1 | -1 | -1 | 268 | 216 | 210 | 240 | 260 | -1 | -1 | 135 | -1 | 50 | 29 | 208 | 218 | 66 | -1 | -1 | 14 | 69 | 272 | 142 | 7 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 0 | ram/RS_FSM_FFd3 | NULL | 1 | ram/RS_FSM_FFd2 | NULL | 2 | A_FSB<21> | 19 | 4 | ram/RS_FSM_FFd5 | NULL | 5 | ram/RefDone | NULL -FB_ORDER_OF_INPUTS | FOOBAR5_ | 6 | ram/BACTr | NULL | 7 | cs/nOverlay | NULL | 8 | nAS_FSB | 32 | 9 | ram/RASEN | NULL | 10 | A_FSB<11> | 9 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 11 | RefUrg | NULL | 12 | RefReq | NULL | 13 | A_FSB<19> | 17 | 14 | A_FSB<7> | 4 | 15 | iobs/Sent | NULL -FB_ORDER_OF_INPUTS | FOOBAR5_ | 16 | A_FSB<13> | 11 | 17 | ram/RS_FSM_FFd7 | NULL | 19 | A_FSB<20> | 18 | 20 | A_FSB<16> | 14 | 22 | A_FSB<12> | 10 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 23 | A_FSB<23> | 24 | 24 | A_FSB<22> | 20 | 25 | ram/DTACKr | NULL | 27 | ram/RS_FSM_FFd1 | NULL | 28 | nWE_FSB | 29 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 30 | A_FSB<4> | 97 | 33 | ram/RASEL | NULL | 34 | A_FSB<17> | 15 | 35 | A_FSB<14> | 12 | 36 | fsb/ASrf | NULL -FB_ORDER_OF_INPUTS | FOOBAR5_ | 38 | ram/RS_FSM_FFd6 | NULL | 39 | A_FSB<5> | 2 | 41 | cnt/WS<0> | NULL | 42 | A_FSB<3> | 96 | 43 | IONPReady | NULL -FB_ORDER_OF_INPUTS | FOOBAR5_ | 45 | A_FSB<18> | 16 | 47 | ram/RS_FSM_FFd8 | NULL | 48 | iobs/IODONEr | NULL | 50 | ram/RS_FSM_FFd4 | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 0 | ram/RS_FSM_FFd8 | NULL | 1 | ram/RS_FSM_FFd3 | NULL | 2 | ram/RS_FSM_FFd2 | NULL | 3 | ram/RS_FSM_FFd1 | NULL | 4 | A_FSB<22> | 20 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 5 | A_FSB<16> | 14 | 6 | A_FSB<5> | 2 | 7 | ram/DTACKr | NULL | 8 | iobs/IODONEr | NULL | 9 | A_FSB<18> | 16 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 10 | ram/RS_FSM_FFd5 | NULL | 12 | A_FSB<4> | 97 | 13 | BACTr | NULL | 14 | RefReq | NULL | 15 | IONPReady | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 16 | A_FSB<13> | 11 | 17 | fsb/ASrf | NULL | 18 | A_FSB<19> | 17 | 19 | A_FSB<20> | 18 | 21 | A_FSB<3> | 96 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 22 | A_FSB<12> | 10 | 23 | A_FSB<23> | 24 | 28 | nWE_FSB | 29 | 30 | ram/RASEN | NULL | 33 | nAS_FSB | 32 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 34 | A_FSB<17> | 15 | 36 | ram/RS_FSM_FFd6 | NULL | 38 | A_FSB<11> | 9 | 40 | A_FSB<7> | 4 | 42 | ram/RS_FSM_FFd4 | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 44 | A_FSB<14> | 12 | 45 | ram/RS_FSM_FFd7 | NULL | 47 | A_FSB<21> | 19 | 48 | cnt/Wait<0> | NULL | 49 | iobs/Sent | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 50 | RefUrg | NULL | 51 | ram/RefDone | NULL | 52 | cs/nOverlay | NULL | 53 | ram/RASEL | NULL -FB_IMUX_INDEX | FOOBAR5_ | 0 | 1 | 228 | -1 | 40 | 5 | 60 | 43 | 268 | 81 | 202 | 11 | 12 | 222 | 190 | 51 | 208 | 17 | -1 | 226 | 214 | -1 | 206 | 240 | 230 | 22 | -1 | 2 | 256 | -1 | 172 | -1 | -1 | 42 | 216 | 210 | 63 | -1 | 39 | 182 | -1 | 79 | 168 | 87 | -1 | 218 | -1 | 72 | 23 | -1 | 53 | -1 | -1 | -1 +FB_IMUX_INDEX | FOOBAR5_ | 72 | 1 | 2 | 3 | 230 | 214 | 182 | 25 | 26 | 218 | 10 | -1 | 172 | 139 | 122 | 87 | 208 | 53 | 222 | 226 | -1 | 168 | 206 | 240 | -1 | -1 | -1 | -1 | 256 | -1 | 81 | -1 | -1 | 268 | 216 | -1 | 136 | -1 | 202 | -1 | 190 | -1 | 0 | -1 | 210 | 129 | -1 | 228 | 79 | 143 | 15 | 9 | 54 | 134 FB_ORDER_OF_INPUTS | FOOBAR6_ | 0 | iobm/IOS_FSM_FFd6 | NULL | 1 | nVMA_IOBout | NULL | 2 | iobm/IOS_FSM_FFd7 | NULL | 3 | iobm/IOS_FSM_FFd3 | NULL | 4 | iobm/ES<0> | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 5 | iobm/ES<3> | NULL | 6 | iobm/ES<1> | NULL | 7 | nDTACK_IOB | 78 | 8 | nLDS_IOBout | NULL | 9 | IODONE | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 10 | iobm/IOS_FSM_FFd4 | NULL | 11 | iobm/IOS_FSM_FFd1 | NULL | 12 | iobs/Clear1 | NULL | 13 | iobm/ES<2> | NULL | 14 | iobm/C8Mr | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 15 | ALE0M | NULL | 16 | iobm/IOS_FSM_FFd2 | NULL | 17 | IOACT | NULL | 20 | IOL0 | NULL | 21 | iobm/IOWRREQr | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 27 | nUDS_IOBout | NULL | 29 | iobm/IOS0 | NULL | 33 | E | 25 | 34 | iobm/VPAr | NULL | 38 | iobm/IOS_FSM_FFd5 | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 40 | IOBERR | NULL | 41 | nRES | 91 | 42 | iobm/DoutOE | NULL | 43 | IOU0 | NULL | 46 | ALE1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 47 | iobm/IORDREQr | NULL | 48 | iobs/Load1 | NULL | 49 | ALE0S | NULL | 50 | iobm/Er | NULL | 51 | AoutOE | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 52 | nAS_IOBout | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 5 | iobm/Er | NULL | 6 | iobm/ES<1> | NULL | 7 | iobm/DoutOE | NULL | 8 | nLDS_IOBout | NULL | 9 | IODONE | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 10 | iobm/VPAr | NULL | 11 | iobm/IOWRREQr | NULL | 12 | iobm/IOS_FSM_FFd5 | NULL | 13 | iobm/IOS_FSM_FFd4 | NULL | 14 | iobm/IOS_FSM_FFd1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 15 | ALE0M | NULL | 16 | iobm/C8Mr | NULL | 17 | iobm/ES<2> | NULL | 18 | iobs/Load1 | NULL | 27 | nUDS_IOBout | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 29 | iobm/IOS0 | NULL | 30 | iobs/Clear1 | NULL | 32 | ALE0S | NULL | 33 | E | 25 | 38 | IOL0 | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 39 | iobm/ES<3> | NULL | 40 | iobm/IOS_FSM_FFd2 | NULL | 41 | nRES | 91 | 42 | IOU0 | NULL | 43 | nDTACK_IOB | 78 +FB_ORDER_OF_INPUTS | FOOBAR6_ | 45 | IOBERR | NULL | 49 | ALE1 | NULL | 50 | nAS_IOBout | NULL | 51 | AoutOE | NULL | 52 | iobm/IORDREQr | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 53 | IOACT | NULL -FB_IMUX_INDEX | FOOBAR6_ | 90 | 91 | 92 | 93 | 94 | 95 | 96 | 167 | 98 | 99 | 28 | 29 | 48 | 13 | 32 | 105 | 34 | 107 | -1 | -1 | 126 | 26 | -1 | -1 | -1 | -1 | -1 | 100 | -1 | 102 | -1 | -1 | -1 | 242 | 25 | -1 | -1 | -1 | 27 | -1 | 35 | 148 | 97 | 139 | -1 | -1 | 103 | 30 | 47 | 66 | 31 | 55 | 101 | -1 +FB_IMUX_INDEX | FOOBAR6_ | 90 | 91 | 92 | 93 | 94 | 5 | 96 | 97 | 98 | 99 | 28 | 29 | 30 | 31 | 32 | 105 | 34 | 17 | 132 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 100 | -1 | 102 | 141 | -1 | 138 | 242 | -1 | -1 | -1 | -1 | 62 | 95 | 35 | 148 | 57 | 167 | -1 | 16 | -1 | -1 | -1 | 103 | 101 | 55 | 33 | 107 -FB_ORDER_OF_INPUTS | FOOBAR7_ | 0 | cnt/LTimer<9> | NULL | 1 | A_FSB<14> | 12 | 2 | A_FSB<8> | 6 | 3 | cnt/Er<1> | NULL | 4 | cnt/Er<0> | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 6 | ram/RASEL | NULL | 7 | A_FSB<17> | 15 | 8 | cnt/TimerTC | NULL | 9 | A_FSB<18> | 16 | 10 | A_FSB<6> | 3 -FB_ORDER_OF_INPUTS | FOOBAR7_ | 12 | cnt/LTimer<4> | NULL | 13 | A_FSB<19> | 17 | 14 | cnt/LTimer<2> | NULL | 15 | cnt/LTimer<11> | NULL | 16 | A_FSB<13> | 11 -FB_ORDER_OF_INPUTS | FOOBAR7_ | 17 | A_FSB<22> | 20 | 19 | A_FSB<20> | 18 | 20 | A_FSB<16> | 14 | 22 | A_FSB<12> | 10 | 23 | A_FSB<23> | 24 -FB_ORDER_OF_INPUTS | FOOBAR7_ | 24 | A_FSB<15> | 13 | 26 | cnt/LTimer<0> | NULL | 28 | nWE_FSB | 29 | 29 | cnt/LTimer<10> | NULL | 30 | cnt/IS_FSM_FFd1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 31 | A_FSB<10> | 8 | 33 | nAS_FSB | 32 | 36 | fsb/ASrf | NULL | 38 | A_FSB<11> | 9 | 39 | cnt/IS_FSM_FFd2 | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 40 | A_FSB<7> | 4 | 44 | cnt/LTimer<8> | NULL | 45 | A_FSB<1> | 94 | 46 | cnt/LTimer<3> | NULL | 47 | A_FSB<21> | 19 -FB_ORDER_OF_INPUTS | FOOBAR7_ | 48 | A_FSB<2> | 95 | 49 | cnt/LTimer<5> | NULL | 50 | cnt/LTimer<1> | NULL | 51 | cnt/LTimer<7> | NULL | 52 | cnt/LTimer<6> | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 53 | A_FSB<9> | 7 +FB_ORDER_OF_INPUTS | FOOBAR7_ | 0 | cnt/TimerTC | NULL | 2 | A_FSB<8> | 6 | 3 | cnt/LTimer<9> | NULL | 4 | A_FSB<2> | 95 | 6 | cnt/LTimer<8> | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 7 | A_FSB<17> | 15 | 8 | cnt/Er<0> | NULL | 9 | cnt/LTimer<7> | NULL | 10 | A_FSB<6> | 3 | 12 | cnt/LTimer<11> | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 13 | cnt/LTimer<10> | NULL | 14 | A_FSB<7> | 4 | 15 | cnt/Timer<1> | NULL | 18 | cnt/LTimer<1> | NULL | 26 | A_FSB<9> | 7 +FB_ORDER_OF_INPUTS | FOOBAR7_ | 27 | cnt/LTimer<0> | NULL | 29 | cnt/Timer<3> | NULL | 31 | A_FSB<10> | 8 | 33 | cnt/LTimer<3> | NULL | 35 | A_FSB<14> | 12 +FB_ORDER_OF_INPUTS | FOOBAR7_ | 37 | cnt/LTimer<4> | NULL | 38 | cnt/LTimer<6> | NULL | 39 | cnt/Er<1> | NULL | 40 | cnt/Timer<2> | NULL | 45 | A_FSB<1> | 94 +FB_ORDER_OF_INPUTS | FOOBAR7_ | 46 | A_FSB<15> | 13 | 47 | A_FSB<21> | 19 | 48 | cnt/Timer<0> | NULL | 49 | cnt/LTimer<2> | NULL | 50 | A_FSB<18> | 16 +FB_ORDER_OF_INPUTS | FOOBAR7_ | 51 | cnt/LTimer<5> | NULL | 53 | ram/RASEL | NULL -FB_IMUX_INDEX | FOOBAR7_ | 108 | 210 | 194 | 3 | 4 | -1 | 42 | 216 | 8 | 218 | 186 | -1 | 120 | 222 | 122 | 123 | 208 | 230 | -1 | 226 | 214 | -1 | 206 | 240 | 212 | -1 | 71 | -1 | 256 | 124 | 141 | 198 | -1 | 268 | -1 | -1 | 63 | -1 | 202 | 10 | 190 | -1 | -1 | -1 | 110 | 156 | 121 | 228 | 164 | 117 | 69 | 111 | 114 | 196 +FB_IMUX_INDEX | FOOBAR7_ | 108 | -1 | 194 | 111 | 164 | -1 | 114 | 216 | 8 | 117 | 186 | -1 | 120 | 121 | 190 | 123 | -1 | -1 | 45 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 196 | 37 | -1 | 124 | -1 | 198 | -1 | 42 | -1 | 210 | -1 | 41 | 39 | 38 | 125 | -1 | -1 | -1 | -1 | 156 | 212 | 228 | 13 | 43 | 218 | 40 | -1 | 134 -FB_ORDER_OF_INPUTS | FOOBAR8_ | 0 | A_FSB<20> | 18 | 2 | iobs/TS_FSM_FFd2 | NULL | 3 | ram/RASrf | NULL | 4 | A_FSB<22> | 20 | 5 | A_FSB<16> | 14 -FB_ORDER_OF_INPUTS | FOOBAR8_ | 6 | iobs/IOU1 | NULL | 7 | iobs/IOL1 | NULL | 8 | nAS_FSB | 32 | 9 | fsb/ASrf | NULL | 10 | cnt/IS_FSM_FFd2 | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 11 | nBERR_FSB_OBUF.UIM | NULL | 12 | nUDS_FSB | 33 | 13 | ALE1 | NULL | 14 | A_FSB<23> | 24 | 15 | cnt/nIPL2r | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 16 | iobs/IORW1 | NULL | 17 | IOBERR | NULL | 19 | cnt/IS_FSM_FFd1 | NULL | 21 | A_FSB<19> | 17 | 23 | ram/RASEL | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 27 | IOL0 | NULL | 28 | nWE_FSB | 29 | 29 | nLDS_FSB | 30 | 30 | ram/RASEN | NULL | 33 | cnt/TimerTC | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 34 | A_FSB<17> | 15 | 35 | A_FSB<14> | 12 | 36 | cnt/Er<0> | NULL | 37 | iobs/TS_FSM_FFd1 | NULL | 39 | nBR_IOB_OBUF | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 41 | IOWRREQ | NULL | 42 | iobs/IOACTr | NULL | 43 | IOU0 | NULL | 44 | A_FSB<13> | 11 | 45 | A_FSB<21> | 19 -FB_ORDER_OF_INPUTS | FOOBAR8_ | 46 | ram/RASrr | NULL | 47 | cnt/Er<1> | NULL | 49 | cs/nOverlay | NULL | 50 | A_FSB<18> | 16 | 52 | iobs/Sent | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 53 | cnt/LTimerTC | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 0 | ram/RS_FSM_FFd4 | NULL | 1 | A_FSB<14> | 12 | 2 | IORDREQ | NULL | 4 | ram/RASrf | NULL | 5 | A_FSB<16> | 14 +FB_ORDER_OF_INPUTS | FOOBAR8_ | 6 | cnt/nIPL2r | NULL | 7 | cnt/IS_FSM_FFd1 | NULL | 8 | ram/RASEL | NULL | 9 | A_FSB<18> | 16 | 10 | ram/RS_FSM_FFd6 | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 11 | nBERR_FSB_OBUF.UIM | NULL | 12 | nUDS_FSB | 33 | 13 | ALE1 | NULL | 14 | cnt/IS_FSM_FFd2 | NULL | 15 | RefUrg | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 16 | IOBERR | NULL | 17 | ram/RASrr | NULL | 18 | A_FSB<19> | 17 | 19 | A_FSB<20> | 18 | 21 | iobs/Sent | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 24 | A_FSB<22> | 20 | 25 | BACTr | NULL | 28 | nWE_FSB | 29 | 29 | nLDS_FSB | 30 | 30 | ram/RASEN | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 33 | nAS_FSB | 32 | 34 | A_FSB<17> | 15 | 36 | A_FSB<23> | 24 | 37 | iobs/IORW1 | NULL | 38 | iobs/IOACTr | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 39 | RefReq | NULL | 40 | iobs/TS_FSM_FFd1 | NULL | 42 | nBR_IOB_OBUF | NULL | 43 | iobs/TS_FSM_FFd2 | NULL | 44 | A_FSB<13> | 11 +FB_ORDER_OF_INPUTS | FOOBAR8_ | 45 | A_FSB<21> | 19 | 47 | ram/RS_FSM_FFd8 | NULL | 50 | fsb/ASrf | NULL | 51 | ram/RefDone | NULL | 52 | cs/nOverlay | NULL -FB_IMUX_INDEX | FOOBAR8_ | 226 | -1 | 128 | 21 | 230 | 214 | 6 | 7 | 268 | 63 | 10 | 137 | 272 | 103 | 240 | 33 | 142 | 35 | -1 | 141 | -1 | 222 | -1 | 42 | -1 | -1 | -1 | 126 | 256 | 260 | 81 | -1 | -1 | 8 | 216 | 210 | 4 | 41 | -1 | 140 | -1 | 134 | 24 | 139 | 208 | 228 | 89 | 3 | -1 | 43 | 218 | -1 | 51 | 65 +FB_IMUX_INDEX | FOOBAR8_ | 0 | 210 | 128 | -1 | 4 | 214 | 6 | 7 | 134 | 218 | 136 | 137 | 272 | 103 | 14 | 15 | 16 | 89 | 222 | 226 | -1 | 143 | -1 | -1 | 230 | 139 | -1 | -1 | 256 | 260 | 81 | -1 | -1 | 268 | 216 | -1 | 240 | 70 | 27 | 122 | 135 | -1 | 140 | 69 | 208 | 228 | -1 | 72 | -1 | -1 | 53 | 9 | 54 | -1 GLOBAL_FCLK | C16M | 0 | 0 | C8M | 1 | 1 | FCLK | 2 | 2 diff --git a/cpld/XC95144XL/WarpSE.xml b/cpld/XC95144XL/WarpSE.xml index f855bfa..162be34 100644 --- a/cpld/XC95144XL/WarpSE.xml +++ b/cpld/XC95144XL/WarpSE.xml @@ -1,3 +1,3 @@ -WarpSE.rptC:/Xilinx/14.7/ISE_DS/ISE/xc9500xl/data/xc95144xl.chpWarpSE.mfd
Cpld - Unable to retrieve the path to the iSE Project Repository. Will use the default filename of 'WarpSE.ise'.INFO:Cpld - Inferring BUFG constraint for signal 'C16M' based upon the LOC constraint 'P22'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.INFO:Cpld - Inferring BUFG constraint for signal 'C8M' based upon the LOC constraint 'P23'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.INFO:Cpld - Inferring BUFG constraint for signal 'FCLK' based upon the LOC constraint 'P27'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.Cpld:1007 - Removing unused input(s) 'C20MEN'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'SW<1>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'SW<2>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'SW<3>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'nBG_IOB'. The input(s) are unused after optimization. Please verify functionality via simulation. +WarpSE.rptC:/Xilinx/14.7/ISE_DS/ISE/xc9500xl/data/xc95144xl.chpWarpSE.mfd
Cpld - Unable to retrieve the path to the iSE Project Repository. Will use the default filename of 'WarpSE.ise'.INFO:Cpld - Inferring BUFG constraint for signal 'C16M' based upon the LOC constraint 'P22'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.INFO:Cpld - Inferring BUFG constraint for signal 'C8M' based upon the LOC constraint 'P23'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.INFO:Cpld - Inferring BUFG constraint for signal 'FCLK' based upon the LOC constraint 'P27'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.Cpld:1007 - Removing unused input(s) 'C20MEN'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'SW<1>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'SW<2>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'SW<3>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'nBG_IOB'. The input(s) are unused after optimization. Please verify functionality via simulation. diff --git a/cpld/XC95144XL/WarpSE_build.xml b/cpld/XC95144XL/WarpSE_build.xml index 32b4f37..f569e0f 100644 --- a/cpld/XC95144XL/WarpSE_build.xml +++ b/cpld/XC95144XL/WarpSE_build.xml @@ -5,7 +5,7 @@ - + diff --git a/cpld/XC95144XL/WarpSE_envsettings.html b/cpld/XC95144XL/WarpSE_envsettings.html index 305276d..645691e 100644 --- a/cpld/XC95144XL/WarpSE_envsettings.html +++ b/cpld/XC95144XL/WarpSE_envsettings.html @@ -18,8 +18,8 @@ Path -C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\lib\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;
C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;
C:\Xilinx\14.7\ISE_DS\EDK\bin\nt64;
C:\Xilinx\14.7\ISE_DS\EDK\lib\nt64;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_be\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_le\bin;
C:\Xilinx\14.7\ISE_DS\common\bin\nt64;
C:\Xilinx\14.7\ISE_DS\common\lib\nt64;
C:\Program Files (x86)\AMD APP\bin\x86_64;
C:\Program Files (x86)\AMD APP\bin\x86;
C:\Windows\system32;
C:\Windows;
C:\Windows\System32\Wbem;
C:\Windows\System32\WindowsPowerShell\v1.0\;
C:\intelFPGA_lite\19.1\modelsim_ase\win32aloem;
C:\altera\13.0sp1\modelsim_ase\win32aloem;
C:\Users\Wolf\AppData\Local\GitHubDesktop\bin -C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\lib\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;
C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;
C:\Xilinx\14.7\ISE_DS\EDK\bin\nt64;
C:\Xilinx\14.7\ISE_DS\EDK\lib\nt64;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_be\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_le\bin;
C:\Xilinx\14.7\ISE_DS\common\bin\nt64;
C:\Xilinx\14.7\ISE_DS\common\lib\nt64;
C:\Program Files (x86)\AMD APP\bin\x86_64;
C:\Program Files (x86)\AMD APP\bin\x86;
C:\Windows\system32;
C:\Windows;
C:\Windows\System32\Wbem;
C:\Windows\System32\WindowsPowerShell\v1.0\;
C:\intelFPGA_lite\19.1\modelsim_ase\win32aloem;
C:\altera\13.0sp1\modelsim_ase\win32aloem;
C:\Users\Wolf\AppData\Local\GitHubDesktop\bin +C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\lib\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;
C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;
C:\Xilinx\14.7\ISE_DS\EDK\bin\nt64;
C:\Xilinx\14.7\ISE_DS\EDK\lib\nt64;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_be\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_le\bin;
C:\Xilinx\14.7\ISE_DS\common\bin\nt64;
C:\Xilinx\14.7\ISE_DS\common\lib\nt64;
C:\Program Files (x86)\NVIDIA Corporation\PhysX\Common;
C:\Windows\system32;
C:\Windows;
C:\Windows\System32\Wbem;
C:\Windows\System32\WindowsPowerShell\v1.0\;
C:\altera\13.0sp1\modelsim_ase\win32aloem;
C:\intelFPGA_lite\19.1\modelsim_ase\win32aloem +C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\lib\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;
C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;
C:\Xilinx\14.7\ISE_DS\EDK\bin\nt64;
C:\Xilinx\14.7\ISE_DS\EDK\lib\nt64;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_be\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_le\bin;
C:\Xilinx\14.7\ISE_DS\common\bin\nt64;
C:\Xilinx\14.7\ISE_DS\common\lib\nt64;
C:\Program Files (x86)\NVIDIA Corporation\PhysX\Common;
C:\Windows\system32;
C:\Windows;
C:\Windows\System32\Wbem;
C:\Windows\System32\WindowsPowerShell\v1.0\;
C:\altera\13.0sp1\modelsim_ase\win32aloem;
C:\intelFPGA_lite\19.1\modelsim_ase\win32aloem XILINX @@ -206,7 +206,7 @@ -uc   -C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf +C:/Users/GWolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf None @@ -222,8 +222,8 @@ CPU Architecture/Speed -AMD FX(tm)-8320 Eight-Core Processor /3792 MHz -AMD FX(tm)-8320 Eight-Core Processor /3792 MHz +AMD FX(tm)-8320 Eight-Core Processor /3512 MHz +AMD FX(tm)-8320 Eight-Core Processor /3512 MHz Host diff --git a/cpld/XC95144XL/WarpSE_html/fit/ascii.htm b/cpld/XC95144XL/WarpSE_html/fit/ascii.htm index e72ed6f..2f11969 100644 --- a/cpld/XC95144XL/WarpSE_html/fit/ascii.htm +++ b/cpld/XC95144XL/WarpSE_html/fit/ascii.htm @@ -3,7 +3,7 @@ cpldfit: version P.20131013 Xilinx Inc. Fitter Report -Design Name: WarpSE Date: 4- 9-2023, 5:01AM +Design Name: WarpSE Date: 9- 9-2023, 8:19AM Device Used: XC95144XL-10-TQ100 Fitting Status: Successful @@ -11,22 +11,22 @@ Fitting Status: Successful Macrocells Product Terms Function Block Registers Pins Used/Tot Used/Tot Inps Used/Tot Used/Tot Used/Tot -125/144 ( 87%) 438 /720 ( 61%) 285/432 ( 66%) 100/144 ( 69%) 70 /81 ( 86%) +125/144 ( 87%) 441 /720 ( 61%) 271/432 ( 63%) 103/144 ( 72%) 70 /81 ( 86%) ** Function Block Resources ** Function Mcells FB Inps Pterms IO Block Used/Tot Used/Tot Used/Tot Used/Tot -FB1 18/18* 28/54 40/90 11/11* -FB2 15/18 16/54 16/90 8/10 -FB3 16/18 39/54 81/90 10/10* -FB4 17/18 42/54 62/90 10/10* -FB5 11/18 42/54 38/90 8/10 +FB1 18/18* 32/54 28/90 11/11* +FB2 11/18 13/54 12/90 8/10 +FB3 18/18* 40/54 66/90 10/10* +FB4 11/18 39/54 82/90 10/10* +FB5 14/18 39/54 81/90 8/10 FB6 18/18* 36/54 68/90 10/10* -FB7 18/18* 41/54 52/90 7/10 -FB8 12/18 41/54 81/90 6/10 +FB7 18/18* 32/54 42/90 7/10 +FB8 17/18 40/54 62/90 6/10 ----- ----- ----- ----- - 125/144 285/432 438/720 70/81 + 125/144 271/432 441/720 70/81 * - Resource is exhausted @@ -89,184 +89,184 @@ WARNING:Cpld:1007 - Removing unused input(s) 'nBG_IOB'. The input(s) are unused ** 35 Outputs ** -Signal Total Total Loc Pin Pin Pin Pwr Slew Reg Init -Name Pts Inps No. Type Use Mode Rate State -nDTACK_FSB 9 17 FB3_9 28 I/O O STD FAST RESET -nROMWE 1 2 FB3_17 34 I/O O STD FAST -nAoutOE 2 4 FB4_2 87 I/O O STD FAST SET -nDoutOE 2 5 FB4_5 89 I/O O STD FAST -nDinOE 3 6 FB4_6 90 I/O O STD FAST -nRES 1 1 FB4_8 91 I/O I/O STD FAST -nVPA_FSB 3 12 FB4_11 93 I/O O STD FAST RESET -nROMCS 2 5 FB5_2 35 I/O O STD FAST -nCAS 1 1 FB5_5 36 I/O O STD FAST RESET -nOE 1 2 FB5_6 37 I/O O STD FAST -RA<4> 2 3 FB5_9 40 I/O O STD FAST -RA<3> 2 3 FB5_11 41 I/O O STD FAST -RA<5> 2 3 FB5_12 42 I/O O STD FAST -RA<2> 2 3 FB5_14 43 I/O O STD FAST -RA<6> 2 3 FB5_15 46 I/O O STD FAST -nVMA_IOB 3 8 FB6_2 74 I/O O STD FAST RESET -nLDS_IOB 6 10 FB6_9 79 I/O O STD FAST RESET -nUDS_IOB 6 10 FB6_11 80 I/O O STD FAST RESET -nAS_IOB 4 9 FB6_12 81 I/O O STD FAST RESET -nADoutLE1 2 3 FB6_14 82 I/O O STD FAST SET -nADoutLE0 1 2 FB6_15 85 I/O O STD FAST -nDinLE 1 2 FB6_17 86 I/O O STD FAST RESET -RA<1> 2 3 FB7_2 50 I/O O STD FAST -RA<7> 2 3 FB7_5 52 I/O O STD FAST -RA<0> 2 3 FB7_6 53 I/O O STD FAST -RA<8> 2 3 FB7_8 54 I/O O STD FAST -RA<10> 2 3 FB7_9 55 I/O O STD FAST -RA<9> 2 3 FB7_11 56 I/O O STD FAST -C25MEN 0 0 FB7_12 58 I/O O STD FAST -RA<11> 2 3 FB8_2 63 I/O O STD FAST -nRAS 3 7 FB8_5 64 I/O O STD FAST -nRAMLWE 1 4 FB8_6 65 I/O O STD FAST -nRAMUWE 1 4 FB8_8 66 I/O O STD FAST -nBERR_FSB 3 5 FB8_12 70 I/O O STD FAST RESET -nBR_IOB 2 4 FB8_15 72 I/O O STD FAST RESET +Signal Total Total Loc Pin Pin Pin Pwr Slew Reg Init +Name Pts Inps No. Type Use Mode Rate State +nDTACK_FSB 9 18 FB3_9 28 I/O O STD FAST RESET +nROMWE 1 2 FB3_17 34 I/O O STD FAST +nAoutOE 2 4 FB4_2 87 I/O O STD FAST SET +nDoutOE 2 5 FB4_5 89 I/O O STD FAST +nDinOE 3 7 FB4_6 90 I/O O STD FAST +nRES 1 1 FB4_8 91 I/O I/O STD FAST +nVPA_FSB 3 12 FB4_11 93 I/O O STD FAST RESET +nROMCS 2 5 FB5_2 35 I/O O STD FAST +nCAS 15 17 FB5_5 36 I/O O STD FAST RESET +nOE 3 5 FB5_6 37 I/O O STD FAST RESET +RA<4> 2 3 FB5_9 40 I/O O STD FAST +RA<3> 2 3 FB5_11 41 I/O O STD FAST +RA<5> 2 3 FB5_12 42 I/O O STD FAST +RA<2> 2 3 FB5_14 43 I/O O STD FAST +RA<6> 2 3 FB5_15 46 I/O O STD FAST +nVMA_IOB 3 8 FB6_2 74 I/O O STD FAST RESET +nLDS_IOB 6 10 FB6_9 79 I/O O STD FAST RESET +nUDS_IOB 6 10 FB6_11 80 I/O O STD FAST RESET +nAS_IOB 4 9 FB6_12 81 I/O O STD FAST RESET +nADoutLE1 2 3 FB6_14 82 I/O O STD FAST SET +nADoutLE0 1 2 FB6_15 85 I/O O STD FAST +nDinLE 1 2 FB6_17 86 I/O O STD FAST RESET +RA<1> 2 3 FB7_2 50 I/O O STD FAST +RA<7> 2 3 FB7_5 52 I/O O STD FAST +RA<0> 2 3 FB7_6 53 I/O O STD FAST +RA<8> 2 3 FB7_8 54 I/O O STD FAST +RA<10> 2 3 FB7_9 55 I/O O STD FAST +RA<9> 2 3 FB7_11 56 I/O O STD FAST +C25MEN 0 0 FB7_12 58 I/O O STD FAST +RA<11> 2 3 FB8_2 63 I/O O STD FAST +nRAS 3 7 FB8_5 64 I/O O STD FAST +nRAMLWE 1 3 FB8_6 65 I/O O STD FAST +nRAMUWE 1 3 FB8_8 66 I/O O STD FAST +nBERR_FSB 3 5 FB8_12 70 I/O O STD FAST RESET +nBR_IOB 2 4 FB8_15 72 I/O O STD FAST RESET ** 90 Buried Nodes ** -Signal Total Total Loc Pwr Reg Init -Name Pts Inps Mode State -iobs/Clear1 1 2 FB1_1 STD RESET -iobm/Er 1 1 FB1_2 STD RESET -cnt/nIPL2r 1 1 FB1_3 STD RESET -cnt/Er<1> 1 1 FB1_4 STD RESET -cnt/Er<0> 1 1 FB1_5 STD RESET -ram/RS_FSM_FFd4 2 4 FB1_6 STD RESET -iobs/TS_FSM_FFd1 2 3 FB1_7 STD RESET -iobs/IOU1 2 2 FB1_8 STD RESET -cnt/Timer<0> 2 4 FB1_9 STD RESET -cnt/IS_FSM_FFd2 2 6 FB1_10 STD RESET -RefUrg 2 6 FB1_11 STD RESET -RefReq 2 6 FB1_12 STD RESET -IOPWReady 2 3 FB1_13 STD RESET -IOBERR 2 2 FB1_14 STD RESET -iobm/ES<2> 3 5 FB1_15 STD RESET -cnt/Timer<1> 4 5 FB1_16 STD RESET -cnt/Timer<3> 5 7 FB1_17 STD RESET -cnt/Timer<2> 5 6 FB1_18 STD RESET -ram/RS_FSM_FFd5 1 1 FB2_4 STD RESET -ram/RS_FSM_FFd3 1 1 FB2_5 STD RESET -ram/RS_FSM_FFd2 1 1 FB2_6 STD RESET -ram/RS_FSM_FFd1 1 1 FB2_7 STD RESET -ram/RASrf 1 1 FB2_8 STD RESET -iobs/IODONEr 1 1 FB2_9 STD RESET -iobs/IOACTr 1 1 FB2_10 STD RESET -iobm/VPAr 1 1 FB2_11 STD RESET -iobm/IOWRREQr 1 1 FB2_12 STD RESET -iobm/IOS_FSM_FFd5 1 1 FB2_13 STD RESET -iobm/IOS_FSM_FFd4 1 1 FB2_14 STD RESET -iobm/IOS_FSM_FFd1 1 1 FB2_15 STD RESET -iobm/IORDREQr 1 1 FB2_16 STD RESET -iobm/C8Mr 1 1 FB2_17 STD RESET -iobm/IOS_FSM_FFd2 2 4 FB2_18 STD RESET -iobs/Sent 13 18 FB3_1 STD RESET -ram/RefDone 2 5 FB3_2 STD RESET -ram/RS_FSM_FFd7 2 7 FB3_3 STD RESET -cs/nOverlay 2 5 FB3_4 STD RESET -ram/RS_FSM_FFd6 3 7 FB3_5 STD RESET -ram/RASrr 4 9 FB3_6 STD RESET -ram/RASEL 3 8 FB3_7 STD RESET +Signal Total Total Loc Pwr Reg Init +Name Pts Inps Mode State +ram/RS_FSM_FFd4 1 2 FB1_1 STD RESET +ram/RS_FSM_FFd3 1 1 FB1_2 STD RESET +ram/RS_FSM_FFd2 1 1 FB1_3 STD RESET +ram/RS_FSM_FFd1 1 1 FB1_4 STD RESET +ram/RASrf 1 1 FB1_5 STD RESET +iobm/Er 1 1 FB1_6 STD RESET +cnt/nIPL2r 1 1 FB1_7 STD RESET +cnt/IS_FSM_FFd1 1 7 FB1_8 STD RESET +cnt/Er<0> 1 1 FB1_9 STD RESET +ram/RefDone 2 7 FB1_10 STD RESET +ram/RS_FSM_FFd5 2 3 FB1_11 STD RESET +iobs/IOU1 2 2 FB1_12 STD RESET +iobs/IOL1 2 2 FB1_13 STD RESET +cnt/Timer<0> 2 4 FB1_14 STD RESET +cnt/IS_FSM_FFd2 2 6 FB1_15 STD RESET +RefUrg 2 5 FB1_16 STD RESET +IOBERR 2 2 FB1_17 STD RESET +iobm/ES<2> 3 5 FB1_18 STD RESET +ram/DTACKr 1 1 FB2_8 STD RESET +iobs/IODONEr 1 1 FB2_9 STD RESET +iobs/IOACTr 1 1 FB2_10 STD RESET +iobm/VPAr 1 1 FB2_11 STD RESET +iobm/IOWRREQr 1 1 FB2_12 STD RESET +iobm/IOS_FSM_FFd5 1 1 FB2_13 STD RESET +iobm/IOS_FSM_FFd4 1 1 FB2_14 STD RESET +iobm/IOS_FSM_FFd1 1 1 FB2_15 STD RESET +iobm/IORDREQr 1 1 FB2_16 STD RESET +iobm/C8Mr 1 1 FB2_17 STD RESET +iobm/IOS_FSM_FFd2 2 4 FB2_18 STD RESET +cnt/QS<1> 13 24 FB3_1 STD RESET +cnt/LTimer<0> 1 3 FB3_2 STD RESET +cnt/Er<1> 1 1 FB3_3 STD RESET +cnt/LTimer<6> 2 9 FB3_4 STD RESET +cnt/LTimer<5> 2 8 FB3_5 STD RESET +cnt/LTimer<4> 2 7 FB3_6 STD RESET +cnt/LTimer<3> 2 6 FB3_7 STD RESET +cnt/LTimer<2> 2 5 FB3_8 STD RESET +cnt/LTimer<1> 2 4 FB3_10 STD RESET +cnt/Wait<4> 3 7 FB3_11 STD RESET +cnt/Wait<3> 3 6 FB3_12 STD RESET -Signal Total Total Loc Pwr Reg Init -Name Pts Inps Mode State -ram/Once 3 8 FB3_8 STD RESET -RAMReady 4 8 FB3_10 STD RESET -ram/RS_FSM_FFd8 5 11 FB3_11 STD SET -ram/RAMEN 6 10 FB3_12 STD RESET -ram/CAS 7 13 FB3_14 STD RESET -iobs/Load1 8 17 FB3_15 STD RESET -IORDREQ 9 15 FB3_16 STD RESET -QoSReady 18 25 FB4_1 STD RESET -fsb/ASrf 1 1 FB4_3 STD RESET -cnt/WS<0> 1 3 FB4_4 STD RESET -$OpTx$$OpTx$FX_DC$354_INV$541 1 2 FB4_7 STD -iobs/IOL1 2 2 FB4_9 STD RESET -cs/ODCSr 2 6 FB4_10 STD RESET -cnt/WS<2> 3 5 FB4_12 STD RESET -cnt/WS<1> 3 4 FB4_13 STD RESET -IONPReady 5 16 FB4_14 STD RESET -cnt/LTimer<1> 11 24 FB4_15 STD RESET -cnt/WS<3> 3 6 FB4_16 STD RESET -nRESout 1 2 FB4_17 STD RESET -cnt/LTimerTC 1 12 FB5_13 STD RESET -ALE0S 1 1 FB5_16 STD RESET -cnt/LTimer<0> 22 34 FB5_18 STD RESET -iobm/IOS_FSM_FFd6 2 5 FB6_1 STD RESET -iobm/IOS_FSM_FFd7 3 6 FB6_3 STD SET -iobm/IOS_FSM_FFd3 3 5 FB6_4 STD RESET -iobm/ES<0> 3 6 FB6_5 STD RESET -iobm/ES<3> 4 6 FB6_6 STD RESET -iobm/ES<1> 4 6 FB6_7 STD RESET -iobm/DoutOE 4 8 FB6_8 STD RESET -IODONE 4 8 FB6_10 STD RESET -iobm/IOS0 5 12 FB6_13 STD RESET -ALE0M 5 11 FB6_16 STD RESET -IOACT 8 14 FB6_18 STD RESET -cnt/LTimer<9> 3 15 FB7_1 STD RESET -cnt/LTimer<8> 3 14 FB7_3 STD RESET -cnt/LTimer<7> 3 13 FB7_4 STD RESET -cnt/LTimer<6> 3 12 FB7_7 STD RESET -cnt/LTimer<5> 3 11 FB7_10 STD RESET -cnt/LTimer<4> 3 10 FB7_13 STD RESET -cnt/LTimer<3> 3 9 FB7_14 STD RESET +Signal Total Total Loc Pwr Reg Init +Name Pts Inps Mode State +cnt/Wait<2> 3 5 FB3_13 STD RESET +cnt/Wait<1> 3 4 FB3_14 STD RESET +QoSReady 4 10 FB3_15 STD RESET +cnt/QS<0> 12 24 FB3_16 STD RESET +fsb/ASrf 1 1 FB3_18 STD RESET +cs/nOverlay 3 8 FB4_1 STD RESET +IOU0 16 21 FB4_4 STD RESET +IOL0 16 21 FB4_9 STD RESET +IOWRREQ 15 21 FB4_13 STD RESET +iobs/TS_FSM_FFd2 13 19 FB4_16 STD RESET +iobs/IORW1 8 18 FB4_17 STD RESET +ram/RS_FSM_FFd8 13 13 FB5_1 STD SET +cnt/Wait<0> 1 3 FB5_8 STD RESET +ram/RASEN 10 12 FB5_10 STD RESET +RAMReady 10 14 FB5_13 STD RESET +IONPReady 5 16 FB5_16 STD RESET +ram/RASrr 12 13 FB5_18 STD RESET +iobm/IOS_FSM_FFd6 2 5 FB6_1 STD RESET +iobm/IOS_FSM_FFd7 3 6 FB6_3 STD SET +iobm/IOS_FSM_FFd3 3 5 FB6_4 STD RESET +iobm/ES<0> 3 6 FB6_5 STD RESET +iobm/ES<3> 4 6 FB6_6 STD RESET +iobm/ES<1> 4 6 FB6_7 STD RESET +iobm/DoutOE 4 8 FB6_8 STD RESET +IODONE 4 8 FB6_10 STD RESET +iobm/IOS0 5 12 FB6_13 STD RESET +ALE0M 5 11 FB6_16 STD RESET +IOACT 8 14 FB6_18 STD RESET +cnt/TimerTC 2 6 FB7_1 STD RESET +cnt/LTimerTC 2 15 FB7_3 STD RESET +cnt/LTimer<9> 2 12 FB7_4 STD RESET +cnt/LTimer<8> 2 11 FB7_7 STD RESET +cnt/LTimer<7> 2 10 FB7_10 STD RESET +cnt/LTimer<11> 2 14 FB7_13 STD RESET +cnt/LTimer<10> 2 13 FB7_14 STD RESET +RefReq 2 6 FB7_15 STD RESET +cnt/Timer<1> 4 5 FB7_16 STD RESET +cnt/Timer<3> 5 7 FB7_17 STD RESET +cnt/Timer<2> 5 6 FB7_18 STD RESET +IORDREQ 9 15 FB8_3 STD RESET -Signal Total Total Loc Pwr Reg Init -Name Pts Inps Mode State -cnt/LTimer<2> 3 8 FB7_15 STD RESET -cnt/LTimer<11> 3 17 FB7_16 STD RESET -cnt/LTimer<10> 3 16 FB7_17 STD RESET -cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 10 36 FB7_18 STD -IOL0 16 21 FB8_1 STD RESET -iobs/TS_FSM_FFd2 13 19 FB8_3 STD RESET -IOWRREQ 15 21 FB8_9 STD RESET -IOU0 16 21 FB8_14 STD RESET -cnt/IS_FSM_FFd1 1 7 FB8_16 STD RESET -iobs/IORW1 8 18 FB8_17 STD RESET +Signal Total Total Loc Pwr Reg Init +Name Pts Inps Mode State +ram/RS_FSM_FFd7 9 11 FB8_4 STD RESET +iobs/Load1 8 17 FB8_7 STD RESET +ram/RASEL 3 8 FB8_9 STD RESET +iobs/TS_FSM_FFd1 2 3 FB8_10 STD RESET +ram/RS_FSM_FFd6 2 7 FB8_11 STD RESET +ALE0S 1 1 FB8_13 STD RESET +BACTr 1 2 FB8_14 STD RESET +iobs/Clear1 1 2 FB8_16 STD RESET +nRESout 1 2 FB8_17 STD RESET +iobs/Sent 13 18 FB8_18 STD RESET ** 35 Inputs ** -Signal Loc Pin Pin Pin -Name No. Type Use -A_FSB<13> FB1_2 11 I/O I -A_FSB<14> FB1_3 12 I/O I -A_FSB<15> FB1_5 13 I/O I -A_FSB<16> FB1_6 14 I/O I -A_FSB<17> FB1_8 15 I/O I -A_FSB<18> FB1_9 16 I/O I -A_FSB<19> FB1_11 17 I/O I -A_FSB<20> FB1_12 18 I/O I -A_FSB<21> FB1_14 19 I/O I -A_FSB<22> FB1_15 20 I/O I -C16M FB1_17 22 GCK/I/O GCK -A_FSB<5> FB2_6 2 GTS/I/O I -A_FSB<6> FB2_8 3 GTS/I/O I -A_FSB<7> FB2_9 4 GTS/I/O I -A_FSB<8> FB2_11 6 I/O I -A_FSB<9> FB2_12 7 I/O I -A_FSB<10> FB2_14 8 I/O I -A_FSB<11> FB2_15 9 I/O I -A_FSB<12> FB2_17 10 I/O I -C8M FB3_2 23 GCK/I/O GCK/I -A_FSB<23> FB3_5 24 I/O I -E FB3_6 25 I/O I -FCLK FB3_8 27 GCK/I/O GCK -nWE_FSB FB3_11 29 I/O I -nLDS_FSB FB3_12 30 I/O I -nAS_FSB FB3_14 32 I/O I -nUDS_FSB FB3_15 33 I/O I -nIPL2 FB4_9 92 I/O I -A_FSB<1> FB4_12 94 I/O I -A_FSB<2> FB4_14 95 I/O I -A_FSB<3> FB4_15 96 I/O I -A_FSB<4> FB4_17 97 I/O I -nBERR_IOB FB6_5 76 I/O I -nVPA_IOB FB6_6 77 I/O I -nDTACK_IOB FB6_8 78 I/O I +Signal Loc Pin Pin Pin +Name No. Type Use +A_FSB<13> FB1_2 11 I/O I +A_FSB<14> FB1_3 12 I/O I +A_FSB<15> FB1_5 13 I/O I +A_FSB<16> FB1_6 14 I/O I +A_FSB<17> FB1_8 15 I/O I +A_FSB<18> FB1_9 16 I/O I +A_FSB<19> FB1_11 17 I/O I +A_FSB<20> FB1_12 18 I/O I +A_FSB<21> FB1_14 19 I/O I +A_FSB<22> FB1_15 20 I/O I +C16M FB1_17 22 GCK/I/O GCK +A_FSB<5> FB2_6 2 GTS/I/O I +A_FSB<6> FB2_8 3 GTS/I/O I +A_FSB<7> FB2_9 4 GTS/I/O I +A_FSB<8> FB2_11 6 I/O I +A_FSB<9> FB2_12 7 I/O I +A_FSB<10> FB2_14 8 I/O I +A_FSB<11> FB2_15 9 I/O I +A_FSB<12> FB2_17 10 I/O I +C8M FB3_2 23 GCK/I/O GCK/I +A_FSB<23> FB3_5 24 I/O I +E FB3_6 25 I/O I +FCLK FB3_8 27 GCK/I/O GCK +nWE_FSB FB3_11 29 I/O I +nLDS_FSB FB3_12 30 I/O I +nAS_FSB FB3_14 32 I/O I +nUDS_FSB FB3_15 33 I/O I +nIPL2 FB4_9 92 I/O I +A_FSB<1> FB4_12 94 I/O I +A_FSB<2> FB4_14 95 I/O I +A_FSB<3> FB4_15 96 I/O I +A_FSB<4> FB4_17 97 I/O I +nBERR_IOB FB6_5 76 I/O I +nVPA_IOB FB6_6 77 I/O I +nDTACK_IOB FB6_8 78 I/O I Legend: Pin No. - ~ - User Assigned @@ -284,76 +284,77 @@ Pin Type/Use - I - Input GCK - Global Clock X - Signal used as input to the macrocell logic. Pin No. - ~ - User Assigned *********************************** FB1 *********************************** -Number of function block inputs used/remaining: 28/26 -Number of signals used by logic mapping into function block: 28 +Number of function block inputs used/remaining: 32/22 +Number of signals used by logic mapping into function block: 32 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -iobs/Clear1 1 0 0 4 FB1_1 (b) (b) -iobm/Er 1 0 0 4 FB1_2 11 I/O I -cnt/nIPL2r 1 0 0 4 FB1_3 12 I/O I -cnt/Er<1> 1 0 0 4 FB1_4 (b) (b) -cnt/Er<0> 1 0 0 4 FB1_5 13 I/O I -ram/RS_FSM_FFd4 2 0 0 3 FB1_6 14 I/O I -iobs/TS_FSM_FFd1 2 0 0 3 FB1_7 (b) (b) -iobs/IOU1 2 0 0 3 FB1_8 15 I/O I -cnt/Timer<0> 2 0 0 3 FB1_9 16 I/O I -cnt/IS_FSM_FFd2 2 0 0 3 FB1_10 (b) (b) -RefUrg 2 0 0 3 FB1_11 17 I/O I -RefReq 2 0 0 3 FB1_12 18 I/O I -IOPWReady 2 0 0 3 FB1_13 (b) (b) -IOBERR 2 0 0 3 FB1_14 19 I/O I -iobm/ES<2> 3 0 0 2 FB1_15 20 I/O I -cnt/Timer<1> 4 0 0 1 FB1_16 (b) (b) -cnt/Timer<3> 5 0 0 0 FB1_17 22 GCK/I/O GCK -cnt/Timer<2> 5 0 0 0 FB1_18 (b) (b) +ram/RS_FSM_FFd4 1 0 0 4 FB1_1 (b) (b) +ram/RS_FSM_FFd3 1 0 0 4 FB1_2 11 I/O I +ram/RS_FSM_FFd2 1 0 0 4 FB1_3 12 I/O I +ram/RS_FSM_FFd1 1 0 0 4 FB1_4 (b) (b) +ram/RASrf 1 0 0 4 FB1_5 13 I/O I +iobm/Er 1 0 0 4 FB1_6 14 I/O I +cnt/nIPL2r 1 0 0 4 FB1_7 (b) (b) +cnt/IS_FSM_FFd1 1 0 0 4 FB1_8 15 I/O I +cnt/Er<0> 1 0 0 4 FB1_9 16 I/O I +ram/RefDone 2 0 0 3 FB1_10 (b) (b) +ram/RS_FSM_FFd5 2 0 0 3 FB1_11 17 I/O I +iobs/IOU1 2 0 0 3 FB1_12 18 I/O I +iobs/IOL1 2 0 0 3 FB1_13 (b) (b) +cnt/Timer<0> 2 0 0 3 FB1_14 19 I/O I +cnt/IS_FSM_FFd2 2 0 0 3 FB1_15 20 I/O I +RefUrg 2 0 0 3 FB1_16 (b) (b) +IOBERR 2 0 0 3 FB1_17 22 GCK/I/O GCK +iobm/ES<2> 3 0 0 2 FB1_18 (b) (b) Signals Used by Logic in Function Block - 1: E 11: cnt/Timer<3> 20: iobs/TS_FSM_FFd2 - 2: RefUrg 12: iobm/ES<0> 21: nADoutLE1 - 3: cnt/Er<0> 13: iobm/ES<1> 22: nAS_IOB - 4: cnt/Er<1> 14: iobm/ES<2> 23: nBERR_IOB - 5: cnt/IS_FSM_FFd1 15: iobm/Er 24: nIPL2 - 6: cnt/IS_FSM_FFd2 16: iobs/Clear1 25: nUDS_FSB - 7: cnt/LTimerTC 17: iobs/IOACTr 26: ram/RS_FSM_FFd1 - 8: cnt/Timer<0> 18: iobs/Load1 27: ram/RS_FSM_FFd5 - 9: cnt/Timer<1> 19: iobs/TS_FSM_FFd1 28: ram/RefDone - 10: cnt/Timer<2> + 1: E 12: cnt/Timer<3> 23: nLDS_FSB + 2: RefReq 13: cnt/TimerTC 24: nUDS_FSB + 3: RefUrg 14: cnt/nIPL2r 25: ram/DTACKr + 4: cnt/Er<0> 15: iobm/ES<0> 26: ram/RS_FSM_FFd1 + 5: cnt/Er<1> 16: iobm/ES<1> 27: ram/RS_FSM_FFd2 + 6: cnt/IS_FSM_FFd1 17: iobm/ES<2> 28: ram/RS_FSM_FFd3 + 7: cnt/IS_FSM_FFd2 18: iobm/Er 29: ram/RS_FSM_FFd5 + 8: cnt/LTimerTC 19: iobs/Load1 30: ram/RS_FSM_FFd6 + 9: cnt/Timer<0> 20: nAS_IOB 31: ram/RS_FSM_FFd7 + 10: cnt/Timer<1> 21: nBERR_IOB 32: ram/RefDone + 11: cnt/Timer<2> 22: nIPL2 Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -iobs/Clear1 ..................XX.................... 2 +ram/RS_FSM_FFd4 ........................X...X........... 2 +ram/RS_FSM_FFd3 ..............................X......... 1 +ram/RS_FSM_FFd2 ...........................X............ 1 +ram/RS_FSM_FFd1 ..........................X............. 1 +ram/RASrf .............................X.......... 1 iobm/Er X....................................... 1 -cnt/nIPL2r .......................X................ 1 -cnt/Er<1> ..X..................................... 1 +cnt/nIPL2r .....................X.................. 1 +cnt/IS_FSM_FFd1 ...XXXXX....XX.......................... 7 cnt/Er<0> X....................................... 1 -ram/RS_FSM_FFd4 .X.......................XXX............ 4 -iobs/TS_FSM_FFd1 ................X.XX.................... 3 -iobs/IOU1 .................X......X............... 2 -cnt/Timer<0> .XXX...X................................ 4 -cnt/IS_FSM_FFd2 .XXXXXX................................. 6 -RefUrg ..XX...XXXX............................. 6 -RefReq ..XX...XXXX............................. 6 -IOPWReady ...............X.X..X................... 3 -IOBERR .....................XX................. 2 -iobm/ES<2> X..........XXXX......................... 5 -cnt/Timer<1> .XXX...XX............................... 5 -cnt/Timer<3> .XXX...XXXX............................. 7 -cnt/Timer<2> .XXX...XXX.............................. 6 +ram/RefDone .XX......................XXX..XX........ 7 +ram/RS_FSM_FFd5 ........................X...XX.......... 3 +iobs/IOU1 ..................X....X................ 2 +iobs/IOL1 ..................X...X................. 2 +cnt/Timer<0> ...XX...X...X........................... 4 +cnt/IS_FSM_FFd2 ...XXXXX....X........................... 6 +RefUrg ...XX....XXX............................ 5 +IOBERR ...................XX................... 2 +iobm/ES<2> X.............XXXX...................... 5 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB2 *********************************** -Number of function block inputs used/remaining: 16/38 -Number of signals used by logic mapping into function block: 16 +Number of function block inputs used/remaining: 13/41 +Number of signals used by logic mapping into function block: 13 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use (unused) 0 0 0 5 FB2_1 (b) (unused) 0 0 0 5 FB2_2 99 GSR/I/O (unused) 0 0 0 5 FB2_3 (b) -ram/RS_FSM_FFd5 1 0 0 4 FB2_4 (b) (b) -ram/RS_FSM_FFd3 1 0 0 4 FB2_5 1 GTS/I/O (b) -ram/RS_FSM_FFd2 1 0 0 4 FB2_6 2 GTS/I/O I -ram/RS_FSM_FFd1 1 0 0 4 FB2_7 (b) (b) -ram/RASrf 1 0 0 4 FB2_8 3 GTS/I/O I +(unused) 0 0 0 5 FB2_4 (b) +(unused) 0 0 0 5 FB2_5 1 GTS/I/O +(unused) 0 0 0 5 FB2_6 2 GTS/I/O I +(unused) 0 0 0 5 FB2_7 (b) +ram/DTACKr 1 0 0 4 FB2_8 3 GTS/I/O I iobs/IODONEr 1 0 0 4 FB2_9 4 GTS/I/O I iobs/IOACTr 1 0 0 4 FB2_10 (b) (b) iobm/VPAr 1 0 0 4 FB2_11 6 I/O I @@ -366,23 +367,18 @@ iobm/C8Mr 1 0 0 4 FB2_17 10 I/O I iobm/IOS_FSM_FFd2 2 0 0 3 FB2_18 (b) (b) Signals Used by Logic in Function Block - 1: C8M 7: iobm/C8Mr 12: nVPA_IOB - 2: IOACT 8: iobm/IOS_FSM_FFd2 13: ram/RS_FSM_FFd2 - 3: IOBERR 9: iobm/IOS_FSM_FFd3 14: ram/RS_FSM_FFd3 - 4: IODONE 10: iobm/IOS_FSM_FFd5 15: ram/RS_FSM_FFd6 - 5: IORDREQ 11: iobm/IOS_FSM_FFd6 16: ram/RS_FSM_FFd7 - 6: IOWRREQ + 1: C8M 6: IOWRREQ 10: iobm/IOS_FSM_FFd5 + 2: IOACT 7: iobm/C8Mr 11: iobm/IOS_FSM_FFd6 + 3: IOBERR 8: iobm/IOS_FSM_FFd2 12: nDTACK_FSB + 4: IODONE 9: iobm/IOS_FSM_FFd3 13: nVPA_IOB + 5: IORDREQ Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -ram/RS_FSM_FFd5 ...............X........................ 1 -ram/RS_FSM_FFd3 ..............X......................... 1 -ram/RS_FSM_FFd2 .............X.......................... 1 -ram/RS_FSM_FFd1 ............X........................... 1 -ram/RASrf ...............X........................ 1 +ram/DTACKr ...........X............................ 1 iobs/IODONEr ...X.................................... 1 iobs/IOACTr .X...................................... 1 -iobm/VPAr ...........X............................ 1 +iobm/VPAr ............X........................... 1 iobm/IOWRREQr .....X.................................. 1 iobm/IOS_FSM_FFd5 ..........X............................. 1 iobm/IOS_FSM_FFd4 .........X.............................. 1 @@ -393,182 +389,178 @@ iobm/IOS_FSM_FFd2 ..XX..X.X............................... 4 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB3 *********************************** +Number of function block inputs used/remaining: 40/14 +Number of signals used by logic mapping into function block: 40 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +cnt/QS<1> 13 8<- 0 0 FB3_1 (b) (b) +cnt/LTimer<0> 1 0 /\4 0 FB3_2 23 GCK/I/O GCK/I +cnt/Er<1> 1 0 0 4 FB3_3 (b) (b) +cnt/LTimer<6> 2 0 0 3 FB3_4 (b) (b) +cnt/LTimer<5> 2 0 0 3 FB3_5 24 I/O I +cnt/LTimer<4> 2 0 0 3 FB3_6 25 I/O I +cnt/LTimer<3> 2 0 0 3 FB3_7 (b) (b) +cnt/LTimer<2> 2 0 \/2 1 FB3_8 27 GCK/I/O GCK +nDTACK_FSB 9 4<- 0 0 FB3_9 28 I/O O +cnt/LTimer<1> 2 0 /\2 1 FB3_10 (b) (b) +cnt/Wait<4> 3 0 0 2 FB3_11 29 I/O I +cnt/Wait<3> 3 0 0 2 FB3_12 30 I/O I +cnt/Wait<2> 3 0 0 2 FB3_13 (b) (b) +cnt/Wait<1> 3 0 \/2 0 FB3_14 32 I/O I +QoSReady 4 2<- \/3 0 FB3_15 33 I/O I +cnt/QS<0> 12 7<- 0 0 FB3_16 (b) (b) +nROMWE 1 0 /\4 0 FB3_17 34 I/O O +fsb/ASrf 1 0 \/4 0 FB3_18 (b) (b) + +Signals Used by Logic in Function Block + 1: A_FSB<10> 15: A_FSB<8> 28: cnt/QS<0> + 2: A_FSB<11> 16: A_FSB<9> 29: cnt/QS<1> + 3: A_FSB<12> 17: IONPReady 30: cnt/TimerTC + 4: A_FSB<13> 18: QoSReady 31: cnt/Wait<0> + 5: A_FSB<14> 19: RAMReady 32: cnt/Wait<1> + 6: A_FSB<15> 20: cnt/Er<0> 33: cnt/Wait<2> + 7: A_FSB<16> 21: cnt/Er<1> 34: cnt/Wait<3> + 8: A_FSB<17> 22: cnt/LTimer<0> 35: cnt/Wait<4> + 9: A_FSB<18> 23: cnt/LTimer<1> 36: fsb/ASrf + 10: A_FSB<19> 24: cnt/LTimer<2> 37: iobs/Sent + 11: A_FSB<20> 25: cnt/LTimer<3> 38: nADoutLE1 + 12: A_FSB<21> 26: cnt/LTimer<4> 39: nAS_FSB + 13: A_FSB<22> 27: cnt/LTimer<5> 40: nWE_FSB + 14: A_FSB<23> + +Signal 1 2 3 4 5 FB +Name 0----+----0----+----0----+----0----+----0----+----0 Inputs +cnt/QS<1> XXXXXXXXXXXXXXXX...XX......XXX.....X..XX.......... 24 +cnt/LTimer<0> ...................XX........X.................... 3 +cnt/Er<1> ...................X.............................. 1 +cnt/LTimer<6> ...................XXXXXXXX..X.................... 9 +cnt/LTimer<5> ...................XXXXXXX...X.................... 8 +cnt/LTimer<4> ...................XXXXXX....X.................... 7 +cnt/LTimer<3> ...................XXXXX.....X.................... 6 +cnt/LTimer<2> ...................XXXX......X.................... 5 +nDTACK_FSB ...XX.XXXXXXXX..XXX................XXXXX.......... 18 +cnt/LTimer<1> ...................XXX.......X.................... 4 +cnt/Wait<4> ..............................XXXXXX..X........... 7 +cnt/Wait<3> ..............................XXXX.X..X........... 6 +cnt/Wait<2> ..............................XXX..X..X........... 5 +cnt/Wait<1> ..............................XX...X..X........... 4 +QoSReady .................X.........XX.XXXXXX..X........... 10 +cnt/QS<0> XXXXXXXXXXXXXXXX...XX......XXX.....X..XX.......... 24 +nROMWE ......................................XX.......... 2 +fsb/ASrf ......................................X........... 1 + 0----+----1----+----2----+----3----+----4----+----5 + 0 0 0 0 0 + *********************************** FB4 *********************************** Number of function block inputs used/remaining: 39/15 Number of signals used by logic mapping into function block: 39 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -iobs/Sent 13 8<- 0 0 FB3_1 (b) (b) -ram/RefDone 2 0 /\3 0 FB3_2 23 GCK/I/O GCK/I -ram/RS_FSM_FFd7 2 0 0 3 FB3_3 (b) (b) -cs/nOverlay 2 0 0 3 FB3_4 (b) (b) -ram/RS_FSM_FFd6 3 0 0 2 FB3_5 24 I/O I -ram/RASrr 4 0 0 1 FB3_6 25 I/O I -ram/RASEL 3 0 \/2 0 FB3_7 (b) (b) -ram/Once 3 2<- \/4 0 FB3_8 27 GCK/I/O GCK -nDTACK_FSB 9 4<- 0 0 FB3_9 28 I/O O -RAMReady 4 0 \/1 0 FB3_10 (b) (b) -ram/RS_FSM_FFd8 5 1<- \/1 0 FB3_11 29 I/O I -ram/RAMEN 6 1<- 0 0 FB3_12 30 I/O I -(unused) 0 0 \/5 0 FB3_13 (b) (b) -ram/CAS 7 5<- \/3 0 FB3_14 32 I/O I -iobs/Load1 8 3<- 0 0 FB3_15 33 I/O I -IORDREQ 9 4<- 0 0 FB3_16 (b) (b) -nROMWE 1 0 /\4 0 FB3_17 34 I/O O -(unused) 0 0 \/5 0 FB3_18 (b) (b) +cs/nOverlay 3 0 /\1 1 FB4_1 (b) (b) +nAoutOE 2 0 \/1 2 FB4_2 87 I/O O +(unused) 0 0 \/5 0 FB4_3 (b) (b) +IOU0 16 11<- 0 0 FB4_4 (b) (b) +nDoutOE 2 2<- /\5 0 FB4_5 89 I/O O +nDinOE 3 0 /\2 0 FB4_6 90 I/O O +(unused) 0 0 0 5 FB4_7 (b) +nRES 1 0 \/4 0 FB4_8 91 I/O I/O +IOL0 16 11<- 0 0 FB4_9 92 I/O I +(unused) 0 0 /\5 0 FB4_10 (b) (b) +nVPA_FSB 3 0 /\2 0 FB4_11 93 I/O O +(unused) 0 0 \/5 0 FB4_12 94 I/O I +IOWRREQ 15 10<- 0 0 FB4_13 (b) (b) +(unused) 0 0 /\5 0 FB4_14 95 I/O I +(unused) 0 0 \/5 0 FB4_15 96 I/O I +iobs/TS_FSM_FFd2 13 8<- 0 0 FB4_16 (b) (b) +iobs/IORW1 8 6<- /\3 0 FB4_17 97 I/O I +(unused) 0 0 /\5 0 FB4_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<13> 14: nRES.PIN 27: nADoutLE1 - 2: A_FSB<14> 15: QoSReady 28: nAS_FSB - 3: A_FSB<16> 16: RAMReady 29: nWE_FSB - 4: A_FSB<17> 17: RefReq 30: ram/Once - 5: A_FSB<18> 18: RefUrg 31: ram/RAMEN - 6: A_FSB<19> 19: cs/ODCSr 32: ram/RS_FSM_FFd2 - 7: A_FSB<20> 20: cs/nOverlay 33: ram/RS_FSM_FFd3 - 8: A_FSB<21> 21: fsb/ASrf 34: ram/RS_FSM_FFd4 - 9: A_FSB<22> 22: iobs/IOACTr 35: ram/RS_FSM_FFd5 - 10: A_FSB<23> 23: iobs/IORW1 36: ram/RS_FSM_FFd6 - 11: IONPReady 24: iobs/Sent 37: ram/RS_FSM_FFd7 - 12: IOPWReady 25: iobs/TS_FSM_FFd1 38: ram/RS_FSM_FFd8 - 13: IORDREQ 26: iobs/TS_FSM_FFd2 39: ram/RefDone + 1: A_FSB<13> 14: IOWRREQ 27: iobs/IORW1 + 2: A_FSB<14> 15: nRES.PIN 28: iobs/IOU1 + 3: A_FSB<16> 16: QoSReady 29: iobs/Sent + 4: A_FSB<17> 17: cnt/IS_FSM_FFd1 30: iobs/TS_FSM_FFd1 + 5: A_FSB<18> 18: cnt/IS_FSM_FFd2 31: iobs/TS_FSM_FFd2 + 6: A_FSB<19> 19: cs/nOverlay 32: nADoutLE1 + 7: A_FSB<20> 20: fsb/ASrf 33: nAS_FSB + 8: A_FSB<21> 21: iobm/DoutOE 34: nAoutOE + 9: A_FSB<22> 22: iobm/IORDREQr 35: nBR_IOB + 10: A_FSB<23> 23: iobm/IOS0 36: nLDS_FSB + 11: IOL0 24: iobm/IOWRREQr 37: nRESout + 12: IONPReady 25: iobs/IOACTr 38: nUDS_FSB + 13: IOU0 26: iobs/IOL1 39: nWE_FSB Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -iobs/Sent XXXXXXXXXX.........XX..XXXXXX........... 18 -ram/RefDone ................XX.............XX.....X. 5 -ram/RS_FSM_FFd7 ........XX.........XX......X..X......X.. 7 -cs/nOverlay .............X....XXX......X............ 5 -ram/RS_FSM_FFd6 ................XX..X......X......X..XX. 7 -ram/RASrr ........XX.........XX......X..X.X..X.X.. 9 -ram/RASEL ........XX.........XX......X..X.....XX.. 8 -ram/Once ........XX.........XX......X.XX......X.. 8 -nDTACK_FSB XXXXXXXXXXXX..XX....X......XX........... 17 -RAMReady ...............XXX..X......X.....X...XX. 8 -ram/RS_FSM_FFd8 ........XX......XX.XX......X..X..X...XX. 11 -ram/RAMEN ................XX..X......X.XX..X..XXX. 10 -ram/CAS ........XX......XX.XX......X..X...XXXXX. 13 -iobs/Load1 XXXXXXXXXX..........X..XXXXXX........... 17 -IORDREQ ......XXXX..X......XXXXXXXXXX........... 15 -nROMWE ...........................XX........... 2 +cs/nOverlay ......XXXX....X...XX............X....... 8 +nAoutOE ................XX...............XX..... 4 +IOU0 XXXXXXXXXX..X.....XX.......XXXXXX....XX. 21 +nDoutOE ....................XXXX.........X...... 5 +nDinOE ......XXXX........X.............X.....X. 7 +nRES ....................................X... 1 +IOL0 XXXXXXXXXXX.......XX.....X..XXXXX..X..X. 21 +nVPA_FSB ..XXXXXXXX.X...X...X............X....... 12 +IOWRREQ XXXXXXXXXX...X....XX....X.X.XXXXX.....X. 21 +iobs/TS_FSM_FFd2 XXXXXXXXXX........XX....X...XXXXX.....X. 19 +iobs/IORW1 XXXXXXXXXX.........X......X.XXXXX.....X. 18 0----+----1----+----2----+----3----+----4 0 0 0 0 - *********************************** FB4 *********************************** -Number of function block inputs used/remaining: 42/12 -Number of signals used by logic mapping into function block: 42 -Signal Total Imp Exp Unused Loc Pin Pin Pin -Name Pt Pt Pt Pt # Type Use -QoSReady 18 13<- 0 0 FB4_1 (b) (b) -nAoutOE 2 2<- /\5 0 FB4_2 87 I/O O -fsb/ASrf 1 0 /\2 2 FB4_3 (b) (b) -cnt/WS<0> 1 0 0 4 FB4_4 (b) (b) -nDoutOE 2 0 0 3 FB4_5 89 I/O O -nDinOE 3 0 0 2 FB4_6 90 I/O O -$OpTx$$OpTx$FX_DC$354_INV$541 - 1 0 0 4 FB4_7 (b) (b) -nRES 1 0 0 4 FB4_8 91 I/O I/O -iobs/IOL1 2 0 0 3 FB4_9 92 I/O I -cs/ODCSr 2 0 0 3 FB4_10 (b) (b) -nVPA_FSB 3 0 0 2 FB4_11 93 I/O O -cnt/WS<2> 3 0 \/2 0 FB4_12 94 I/O I -cnt/WS<1> 3 2<- \/4 0 FB4_13 (b) (b) -IONPReady 5 4<- \/4 0 FB4_14 95 I/O I -cnt/LTimer<1> 11 6<- 0 0 FB4_15 96 I/O I -cnt/WS<3> 3 0 /\2 0 FB4_16 (b) (b) -nRESout 1 0 \/3 1 FB4_17 97 I/O I -(unused) 0 0 \/5 0 FB4_18 (b) (b) - -Signals Used by Logic in Function Block - 1: $OpTx$$OpTx$FX_DC$354_INV$541 15: A_FSB<23> 29: fsb/ASrf - 2: A_FSB<10> 16: A_FSB<8> 30: iobm/DoutOE - 3: A_FSB<11> 17: A_FSB<9> 31: iobm/IORDREQr - 4: A_FSB<12> 18: IONPReady 32: iobm/IOS0 - 5: A_FSB<13> 19: QoSReady 33: iobm/IOWRREQr - 6: A_FSB<14> 20: cnt/IS_FSM_FFd1 34: iobs/IODONEr - 7: A_FSB<15> 21: cnt/IS_FSM_FFd2 35: iobs/Load1 - 8: A_FSB<16> 22: cnt/LTimer<0> 36: iobs/Sent - 9: A_FSB<17> 23: cnt/LTimer<1> 37: nAS_FSB - 10: A_FSB<18> 24: cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 38: nAoutOE - 11: A_FSB<19> 25: cnt/WS<0> 39: nBR_IOB - 12: A_FSB<20> 26: cnt/WS<1> 40: nLDS_FSB - 13: A_FSB<21> 27: cnt/WS<2> 41: nRESout - 14: A_FSB<22> 28: cnt/WS<3> 42: nWE_FSB - -Signal 1 2 3 4 5 FB -Name 0----+----0----+----0----+----0----+----0----+----0 Inputs -QoSReady XXXXXXXXXXXXXXXXX.X..XX.XXXX.............X........ 25 -nAoutOE ...................XX................XX........... 4 -fsb/ASrf ....................................X............. 1 -cnt/WS<0> ........................X...X.......X............. 3 -nDoutOE .............................XXXX....X............ 5 -nDinOE ...........XXXX.....................X....X........ 6 -$OpTx$$OpTx$FX_DC$354_INV$541 - ............................X.......X............. 2 -nRES ........................................X......... 1 -iobs/IOL1 ..................................X....X.......... 2 -cs/ODCSr ...........XXXX.............X.......X............. 6 -nVPA_FSB .......XXXXXXXX..XX.........X.......X............. 12 -cnt/WS<2> ........................XXX.X.......X............. 5 -cnt/WS<1> ........................XX..X.......X............. 4 -IONPReady ....XX.XXXXXXXX..X..........X....X.XX....X........ 16 -cnt/LTimer<1> .XXXXXXXXXXXXXXXX..XXXXX....X.......X....X........ 24 -cnt/WS<3> ........................XXXXX.......X............. 6 -nRESout ...................XX............................. 2 - 0----+----1----+----2----+----3----+----4----+----5 - 0 0 0 0 0 *********************************** FB5 *********************************** -Number of function block inputs used/remaining: 42/12 -Number of signals used by logic mapping into function block: 42 +Number of function block inputs used/remaining: 39/15 +Number of signals used by logic mapping into function block: 39 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -(unused) 0 0 /\5 0 FB5_1 (b) (b) -nROMCS 2 0 /\3 0 FB5_2 35 I/O O -(unused) 0 0 0 5 FB5_3 (b) -(unused) 0 0 0 5 FB5_4 (b) -nCAS 1 0 0 4 FB5_5 36 I/O O -nOE 1 0 0 4 FB5_6 37 I/O O -(unused) 0 0 0 5 FB5_7 (b) -(unused) 0 0 0 5 FB5_8 39 I/O -RA<4> 2 0 0 3 FB5_9 40 I/O O -(unused) 0 0 0 5 FB5_10 (b) -RA<3> 2 0 0 3 FB5_11 41 I/O O -RA<5> 2 0 0 3 FB5_12 42 I/O O -cnt/LTimerTC 1 0 0 4 FB5_13 (b) (b) -RA<2> 2 0 0 3 FB5_14 43 I/O O -RA<6> 2 0 0 3 FB5_15 46 I/O O -ALE0S 1 0 \/4 0 FB5_16 (b) (b) +ram/RS_FSM_FFd8 13 8<- 0 0 FB5_1 (b) (b) +nROMCS 2 2<- /\5 0 FB5_2 35 I/O O +(unused) 0 0 /\2 3 FB5_3 (b) (b) +(unused) 0 0 \/5 0 FB5_4 (b) (b) +nCAS 15 10<- 0 0 FB5_5 36 I/O O +nOE 3 3<- /\5 0 FB5_6 37 I/O O +(unused) 0 0 /\3 2 FB5_7 (b) (b) +cnt/Wait<0> 1 0 \/2 2 FB5_8 39 I/O (b) +RA<4> 2 2<- \/5 0 FB5_9 40 I/O O +ram/RASEN 10 5<- 0 0 FB5_10 (b) (b) +RA<3> 2 0 \/2 1 FB5_11 41 I/O O +RA<5> 2 2<- \/5 0 FB5_12 42 I/O O +RAMReady 10 5<- 0 0 FB5_13 (b) (b) +RA<2> 2 0 \/2 1 FB5_14 43 I/O O +RA<6> 2 2<- \/5 0 FB5_15 46 I/O O +IONPReady 5 5<- \/5 0 FB5_16 (b) (b) (unused) 0 0 \/5 0 FB5_17 49 I/O (b) -cnt/LTimer<0> 22 17<- 0 0 FB5_18 (b) (b) +ram/RASrr 12 10<- \/3 0 FB5_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<10> 15: A_FSB<3> 29: cnt/LTimer<4> - 2: A_FSB<11> 16: A_FSB<4> 30: cnt/LTimer<5> - 3: A_FSB<12> 17: A_FSB<5> 31: cnt/LTimer<6> - 4: A_FSB<13> 18: A_FSB<7> 32: cnt/LTimer<7> - 5: A_FSB<14> 19: A_FSB<8> 33: cnt/LTimer<8> - 6: A_FSB<15> 20: A_FSB<9> 34: cnt/LTimer<9> - 7: A_FSB<16> 21: cnt/IS_FSM_FFd1 35: cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - 8: A_FSB<17> 22: cnt/IS_FSM_FFd2 36: cs/nOverlay - 9: A_FSB<18> 23: cnt/LTimer<0> 37: fsb/ASrf - 10: A_FSB<19> 24: cnt/LTimer<10> 38: iobs/TS_FSM_FFd2 - 11: A_FSB<20> 25: cnt/LTimer<11> 39: nAS_FSB - 12: A_FSB<21> 26: cnt/LTimer<1> 40: nWE_FSB - 13: A_FSB<22> 27: cnt/LTimer<2> 41: ram/CAS - 14: A_FSB<23> 28: cnt/LTimer<3> 42: ram/RASEL + 1: A_FSB<11> 14: A_FSB<4> 27: nWE_FSB + 2: A_FSB<12> 15: A_FSB<5> 28: ram/DTACKr + 3: A_FSB<13> 16: A_FSB<7> 29: ram/RASEL + 4: A_FSB<14> 17: BACTr 30: ram/RASEN + 5: A_FSB<16> 18: IONPReady 31: ram/RS_FSM_FFd1 + 6: A_FSB<17> 19: RefReq 32: ram/RS_FSM_FFd2 + 7: A_FSB<18> 20: RefUrg 33: ram/RS_FSM_FFd3 + 8: A_FSB<19> 21: cnt/Wait<0> 34: ram/RS_FSM_FFd4 + 9: A_FSB<20> 22: cs/nOverlay 35: ram/RS_FSM_FFd5 + 10: A_FSB<21> 23: fsb/ASrf 36: ram/RS_FSM_FFd6 + 11: A_FSB<22> 24: iobs/IODONEr 37: ram/RS_FSM_FFd7 + 12: A_FSB<23> 25: iobs/Sent 38: ram/RS_FSM_FFd8 + 13: A_FSB<3> 26: nAS_FSB 39: ram/RefDone -Signal 1 2 3 4 5 FB -Name 0----+----0----+----0----+----0----+----0----+----0 Inputs -nROMCS ..........XXXX.....................X.............. 5 -nCAS ........................................X......... 1 -nOE ......................................XX.......... 2 -RA<4> .X............X..........................X........ 3 -RA<3> .........XX..............................X........ 3 -RA<5> ..X............X.........................X........ 3 -cnt/LTimerTC ......................XXXXXXXXXXXX................ 12 -RA<2> ......X..........X.......................X........ 3 -RA<6> ...X............X........................X........ 3 -ALE0S .....................................X............ 1 -cnt/LTimer<0> XXXXXXXXXXXXXX....XXXXXXXXXXXXXXXXX.X.XX.......... 34 - 0----+----1----+----2----+----3----+----4----+----5 - 0 0 0 0 0 +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +ram/RS_FSM_FFd8 ..........XX....X.XX.XX..X...XX..X...XX. 13 +nROMCS ........XXXX.........X.................. 5 +nCAS ..........XX....X.XX..X..X.X.XXXXXX.XXX. 17 +nOE ................X.....X..XXX............ 5 +cnt/Wait<0> ....................X.X..X.............. 3 +RA<4> X...........X...............X........... 3 +ram/RASEN ..........XX....X.XX..X..X...XX..X...XX. 12 +RA<3> .......XX...................X........... 3 +RA<5> .X...........X..............X........... 3 +RAMReady ..........XX....X.XX..X..X...XX..XXX.XX. 14 +RA<2> ....X..........X............X........... 3 +RA<6> ..X...........X.............X........... 3 +IONPReady ..XXXXXXXXXX.....X....XXXXX............. 16 +ram/RASrr ..........XX....X.XX.XX..X...X...X..XXX. 13 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 *********************************** FB6 *********************************** Number of function block inputs used/remaining: 36/18 Number of signals used by logic mapping into function block: 36 @@ -630,132 +622,129 @@ IOACT ...XXX...X......X.XXXXXXXX.....X........ 14 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB7 *********************************** -Number of function block inputs used/remaining: 41/13 -Number of signals used by logic mapping into function block: 41 +Number of function block inputs used/remaining: 32/22 +Number of signals used by logic mapping into function block: 32 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -cnt/LTimer<9> 3 1<- /\3 0 FB7_1 (b) (b) -RA<1> 2 0 /\1 2 FB7_2 50 I/O O -cnt/LTimer<8> 3 0 0 2 FB7_3 (b) (b) -cnt/LTimer<7> 3 0 0 2 FB7_4 (b) (b) +cnt/TimerTC 2 0 0 3 FB7_1 (b) (b) +RA<1> 2 0 0 3 FB7_2 50 I/O O +cnt/LTimerTC 2 0 0 3 FB7_3 (b) (b) +cnt/LTimer<9> 2 0 0 3 FB7_4 (b) (b) RA<7> 2 0 0 3 FB7_5 52 I/O O RA<0> 2 0 0 3 FB7_6 53 I/O O -cnt/LTimer<6> 3 0 0 2 FB7_7 (b) (b) +cnt/LTimer<8> 2 0 0 3 FB7_7 (b) (b) RA<8> 2 0 0 3 FB7_8 54 I/O O RA<10> 2 0 0 3 FB7_9 55 I/O O -cnt/LTimer<5> 3 0 0 2 FB7_10 (b) (b) +cnt/LTimer<7> 2 0 0 3 FB7_10 (b) (b) RA<9> 2 0 0 3 FB7_11 56 I/O O C25MEN 0 0 0 5 FB7_12 58 I/O O -cnt/LTimer<4> 3 0 0 2 FB7_13 (b) (b) -cnt/LTimer<3> 3 0 0 2 FB7_14 59 I/O (b) -cnt/LTimer<2> 3 0 0 2 FB7_15 60 I/O (b) -cnt/LTimer<11> 3 0 0 2 FB7_16 (b) (b) -cnt/LTimer<10> 3 0 \/2 0 FB7_17 61 I/O (b) -cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - 10 5<- 0 0 FB7_18 (b) (b) +cnt/LTimer<11> 2 0 0 3 FB7_13 (b) (b) +cnt/LTimer<10> 2 0 0 3 FB7_14 59 I/O (b) +RefReq 2 0 0 3 FB7_15 60 I/O (b) +cnt/Timer<1> 4 0 0 1 FB7_16 (b) (b) +cnt/Timer<3> 5 0 0 0 FB7_17 61 I/O (b) +cnt/Timer<2> 5 0 0 0 FB7_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<10> 15: A_FSB<23> 29: cnt/LTimer<1> - 2: A_FSB<11> 16: A_FSB<2> 30: cnt/LTimer<2> - 3: A_FSB<12> 17: A_FSB<6> 31: cnt/LTimer<3> - 4: A_FSB<13> 18: A_FSB<7> 32: cnt/LTimer<4> - 5: A_FSB<14> 19: A_FSB<8> 33: cnt/LTimer<5> - 6: A_FSB<15> 20: A_FSB<9> 34: cnt/LTimer<6> - 7: A_FSB<16> 21: RefUrg 35: cnt/LTimer<7> - 8: A_FSB<17> 22: cnt/Er<0> 36: cnt/LTimer<8> - 9: A_FSB<18> 23: cnt/Er<1> 37: cnt/LTimer<9> - 10: A_FSB<19> 24: cnt/IS_FSM_FFd1 38: fsb/ASrf - 11: A_FSB<1> 25: cnt/IS_FSM_FFd2 39: nAS_FSB - 12: A_FSB<20> 26: cnt/LTimer<0> 40: nWE_FSB - 13: A_FSB<21> 27: cnt/LTimer<10> 41: ram/RASEL - 14: A_FSB<22> 28: cnt/LTimer<11> + 1: A_FSB<10> 12: A_FSB<9> 23: cnt/LTimer<6> + 2: A_FSB<14> 13: cnt/Er<0> 24: cnt/LTimer<7> + 3: A_FSB<15> 14: cnt/Er<1> 25: cnt/LTimer<8> + 4: A_FSB<17> 15: cnt/LTimer<0> 26: cnt/LTimer<9> + 5: A_FSB<18> 16: cnt/LTimer<10> 27: cnt/Timer<0> + 6: A_FSB<1> 17: cnt/LTimer<11> 28: cnt/Timer<1> + 7: A_FSB<21> 18: cnt/LTimer<1> 29: cnt/Timer<2> + 8: A_FSB<2> 19: cnt/LTimer<2> 30: cnt/Timer<3> + 9: A_FSB<6> 20: cnt/LTimer<3> 31: cnt/TimerTC + 10: A_FSB<7> 21: cnt/LTimer<4> 32: ram/RASEL + 11: A_FSB<8> 22: cnt/LTimer<5> -Signal 1 2 3 4 5 FB -Name 0----+----0----+----0----+----0----+----0----+----0 Inputs -cnt/LTimer<9> ....................XXXXXX..XXXXXXXXX............. 15 -RA<1> X..............X........................X......... 3 -cnt/LTimer<8> ....................XXXXXX..XXXXXXXX.............. 14 -cnt/LTimer<7> ....................XXXXXX..XXXXXXX............... 13 -RA<7> ....X...........X.......................X......... 3 -RA<0> ..........X........X....................X......... 3 -cnt/LTimer<6> ....................XXXXXX..XXXXXX................ 12 -RA<8> ........X...X...........................X......... 3 -RA<10> .......X.........X......................X......... 3 -cnt/LTimer<5> ....................XXXXXX..XXXXX................. 11 -RA<9> .....X............X.....................X......... 3 -C25MEN .................................................. 0 -cnt/LTimer<4> ....................XXXXXX..XXXX.................. 10 -cnt/LTimer<3> ....................XXXXXX..XXX................... 9 -cnt/LTimer<2> ....................XXXXXX..XX.................... 8 -cnt/LTimer<11> ....................XXXXXXXXXXXXXXXXX............. 17 -cnt/LTimer<10> ....................XXXXXXX.XXXXXXXXX............. 16 -cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - XXXXXXXXXX.XXXX...XXXXXXXXXXXXXXXXXXXXXX.......... 36 - 0----+----1----+----2----+----3----+----4----+----5 - 0 0 0 0 0 +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +cnt/TimerTC ............XX............XXXX.......... 6 +RA<1> X......X.......................X........ 3 +cnt/LTimerTC ............XXXXXXXXXXXXXX....X......... 15 +cnt/LTimer<9> ............XXX..XXXXXXXX.....X......... 12 +RA<7> .X......X......................X........ 3 +RA<0> .....X.....X...................X........ 3 +cnt/LTimer<8> ............XXX..XXXXXXX......X......... 11 +RA<8> ....X.X........................X........ 3 +RA<10> ...X.....X.....................X........ 3 +cnt/LTimer<7> ............XXX..XXXXXX.......X......... 10 +RA<9> ..X.......X....................X........ 3 +C25MEN ........................................ 0 +cnt/LTimer<11> ............XXXX.XXXXXXXXX....X......... 14 +cnt/LTimer<10> ............XXX..XXXXXXXXX....X......... 13 +RefReq ............XX............XXXX.......... 6 +cnt/Timer<1> ............XX............XX..X......... 5 +cnt/Timer<3> ............XX............XXXXX......... 7 +cnt/Timer<2> ............XX............XXX.X......... 6 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 *********************************** FB8 *********************************** -Number of function block inputs used/remaining: 41/13 -Number of signals used by logic mapping into function block: 41 +Number of function block inputs used/remaining: 40/14 +Number of signals used by logic mapping into function block: 40 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -IOL0 16 11<- 0 0 FB8_1 (b) (b) -RA<11> 2 2<- /\5 0 FB8_2 63 I/O O -iobs/TS_FSM_FFd2 13 10<- /\2 0 FB8_3 (b) (b) -(unused) 0 0 /\5 0 FB8_4 (b) (b) +(unused) 0 0 /\5 0 FB8_1 (b) (b) +RA<11> 2 0 \/3 0 FB8_2 63 I/O O +IORDREQ 9 4<- 0 0 FB8_3 (b) (b) +ram/RS_FSM_FFd7 9 5<- /\1 0 FB8_4 (b) (b) nRAS 3 3<- /\5 0 FB8_5 64 I/O O nRAMLWE 1 0 /\3 1 FB8_6 65 I/O O -(unused) 0 0 \/1 4 FB8_7 (b) (b) -nRAMUWE 1 1<- \/5 0 FB8_8 66 I/O O -IOWRREQ 15 10<- 0 0 FB8_9 67 I/O (b) -(unused) 0 0 /\5 0 FB8_10 (b) (b) -(unused) 0 0 \/1 4 FB8_11 68 I/O (b) -nBERR_FSB 3 1<- \/3 0 FB8_12 70 I/O O -(unused) 0 0 \/5 0 FB8_13 (b) (b) -IOU0 16 11<- 0 0 FB8_14 71 I/O (b) -nBR_IOB 2 0 /\3 0 FB8_15 72 I/O O -cnt/IS_FSM_FFd1 1 0 \/4 0 FB8_16 (b) (b) -iobs/IORW1 8 4<- \/1 0 FB8_17 73 I/O (b) -(unused) 0 0 \/5 0 FB8_18 (b) (b) +iobs/Load1 8 3<- 0 0 FB8_7 (b) (b) +nRAMUWE 1 0 /\3 1 FB8_8 66 I/O O +ram/RASEL 3 0 0 2 FB8_9 67 I/O (b) +iobs/TS_FSM_FFd1 2 0 0 3 FB8_10 (b) (b) +ram/RS_FSM_FFd6 2 0 0 3 FB8_11 68 I/O (b) +nBERR_FSB 3 0 0 2 FB8_12 70 I/O O +ALE0S 1 0 0 4 FB8_13 (b) (b) +BACTr 1 0 0 4 FB8_14 71 I/O (b) +nBR_IOB 2 0 0 3 FB8_15 72 I/O O +iobs/Clear1 1 0 0 4 FB8_16 (b) (b) +nRESout 1 0 \/3 1 FB8_17 73 I/O (b) +iobs/Sent 13 8<- 0 0 FB8_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<13> 15: RefUrg 29: iobs/TS_FSM_FFd1 - 2: A_FSB<14> 16: cnt/Er<0> 30: iobs/TS_FSM_FFd2 - 3: A_FSB<16> 17: cnt/Er<1> 31: nADoutLE1 - 4: A_FSB<17> 18: cnt/IS_FSM_FFd1 32: nAS_FSB - 5: A_FSB<18> 19: cnt/IS_FSM_FFd2 33: nBERR_FSB - 6: A_FSB<19> 20: cnt/LTimerTC 34: nBR_IOB - 7: A_FSB<20> 21: cnt/nIPL2r 35: nLDS_FSB - 8: A_FSB<21> 22: cs/nOverlay 36: nUDS_FSB - 9: A_FSB<22> 23: fsb/ASrf 37: nWE_FSB - 10: A_FSB<23> 24: iobs/IOACTr 38: ram/RAMEN - 11: IOBERR 25: iobs/IOL1 39: ram/RASEL - 12: IOL0 26: iobs/IORW1 40: ram/RASrf - 13: IOU0 27: iobs/IOU1 41: ram/RASrr - 14: IOWRREQ 28: iobs/Sent + 1: A_FSB<13> 15: RefUrg 28: nBERR_FSB + 2: A_FSB<14> 16: cnt/IS_FSM_FFd1 29: nBR_IOB + 3: A_FSB<16> 17: cnt/IS_FSM_FFd2 30: nLDS_FSB + 4: A_FSB<17> 18: cnt/nIPL2r 31: nUDS_FSB + 5: A_FSB<18> 19: cs/nOverlay 32: nWE_FSB + 6: A_FSB<19> 20: fsb/ASrf 33: ram/RASEL + 7: A_FSB<20> 21: iobs/IOACTr 34: ram/RASEN + 8: A_FSB<21> 22: iobs/IORW1 35: ram/RASrf + 9: A_FSB<22> 23: iobs/Sent 36: ram/RASrr + 10: A_FSB<23> 24: iobs/TS_FSM_FFd1 37: ram/RS_FSM_FFd4 + 11: BACTr 25: iobs/TS_FSM_FFd2 38: ram/RS_FSM_FFd6 + 12: IOBERR 26: nADoutLE1 39: ram/RS_FSM_FFd8 + 13: IORDREQ 27: nAS_FSB 40: ram/RefDone + 14: RefReq Signal 1 2 3 4 5 FB Name 0----+----0----+----0----+----0----+----0----+----0 Inputs -IOL0 XXXXXXXXXX.X.........XX.X..XXXXX..X.X............. 21 -RA<11> .....XX...............................X........... 3 -iobs/TS_FSM_FFd2 XXXXXXXXXX...........XXX...XXXXX....X............. 19 -nRAS ........XX...........X.........X.....X.XX......... 7 -nRAMLWE ...............................X..X.XX............ 4 -nRAMUWE ...............................X...XXX............ 4 -IOWRREQ XXXXXXXXXX...X.......XXX.X.XXXXX....X............. 21 -nBERR_FSB ..........X...........X....X...XX................. 5 -IOU0 XXXXXXXXXX..X........XX...XXXXXX...XX............. 21 -nBR_IOB .................XX.X............X................ 4 -cnt/IS_FSM_FFd1 ..............XXXXXXX............................. 7 -iobs/IORW1 XXXXXXXXXX............X..X.XXXXX....X............. 18 +RA<11> .....XX.........................X................. 3 +IORDREQ ......XXXX..X.....XXXXXXXXX....X.................. 15 +ram/RS_FSM_FFd7 ........XXX..XX....X......X......X..X.XX.......... 11 +nRAS ........XX........X.......X......XXX.............. 7 +nRAMLWE .............................X.XX................. 3 +iobs/Load1 XXXXXXXXXX.........X..XXXXX....X.................. 17 +nRAMUWE ..............................XXX................. 3 +ram/RASEL ........XX........XX......X......X...XX........... 8 +iobs/TS_FSM_FFd1 ....................X..XX......................... 3 +ram/RS_FSM_FFd6 ........XX........XX......X......X....X........... 7 +nBERR_FSB ...........X.......X..X...XX...................... 5 +ALE0S ........................X......................... 1 +BACTr ...................X......X....................... 2 +nBR_IOB ...............XXX..........X..................... 4 +iobs/Clear1 .......................XX......................... 2 +nRESout ...............XX................................. 2 +iobs/Sent XXXXXXXXXX........XX..XXXXX....X.................. 18 0----+----1----+----2----+----3----+----4----+----5 0 0 0 0 0 ******************************* Equations ******************************** ********** Mapped Logic ********** - -$OpTx$$OpTx$FX_DC$354_INV$541 <= (nAS_FSB AND NOT fsb/ASrf); - FDCPE_ALE0M: FDCPE port map (ALE0M,ALE0M_D,C16M,'0','0'); ALE0M_D <= ((iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND nAoutOE) @@ -773,6 +762,9 @@ ALE0M_D <= ((iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd3 AND FDCPE_ALE0S: FDCPE port map (ALE0S,iobs/TS_FSM_FFd2,FCLK,'0','0'); +FDCPE_BACTr: FDCPE port map (BACTr,BACTr_D,FCLK,'0','0'); +BACTr_D <= (nAS_FSB AND NOT fsb/ASrf); + C25MEN <= '1'; @@ -819,6 +811,16 @@ IODONE_D <= ((NOT nRES.PIN) FTCPE_IOL0: FTCPE port map (IOL0,IOL0_T,FCLK,'0','0'); IOL0_T <= ((iobs/TS_FSM_FFd1) + OR (NOT iobs/IOL1 AND NOT IOL0 AND NOT nADoutLE1) + OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND + nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (nVPA_FSB_OBUF.EXP) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19) AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18) AND @@ -827,18 +829,6 @@ IOL0_T <= ((iobs/TS_FSM_FFd1) NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16) AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND cs/nOverlay AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (iobs/IORW1.EXP) - OR (NOT iobs/IOL1 AND NOT IOL0 AND NOT nADoutLE1) - OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND - nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20) AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (nLDS_FSB AND NOT IOL0 AND nADoutLE1) OR (NOT nLDS_FSB AND IOL0 AND nADoutLE1) @@ -855,10 +845,6 @@ IONPReady_D <= ((NOT iobs/Sent AND NOT IONPReady) A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND NOT nWE_FSB AND NOT IONPReady)); -FDCPE_IOPWReady: FDCPE port map (IOPWReady,IOPWReady_D,FCLK,'0','0'); -IOPWReady_D <= ((iobs/Clear1) - OR (NOT iobs/Load1 AND nADoutLE1)); - FDCPE_IORDREQ: FDCPE port map (IORDREQ,IORDREQ_D,FCLK,'0','0'); IORDREQ_D <= ((NOT iobs/IORW1 AND NOT iobs/TS_FSM_FFd2 AND NOT nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/TS_FSM_FFd2 AND @@ -875,7 +861,7 @@ IORDREQ_D <= ((NOT iobs/IORW1 AND NOT iobs/TS_FSM_FFd2 AND NOT nADoutLE1) FTCPE_IOU0: FTCPE port map (IOU0,IOU0_T,FCLK,'0','0'); IOU0_T <= ((iobs/TS_FSM_FFd1) - OR (nBERR_FSB_OBUF.EXP) + OR (nAoutOE_OBUF.EXP) OR (NOT iobs/IOU1 AND NOT IOU0 AND NOT nADoutLE1) OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND nADoutLE1) @@ -885,12 +871,16 @@ IOU0_T <= ((iobs/TS_FSM_FFd1) NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18) AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(17) AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16) AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND cs/nOverlay AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (nUDS_FSB AND NOT IOU0 AND nADoutLE1) OR (NOT nUDS_FSB AND IOU0 AND nADoutLE1) @@ -931,33 +921,11 @@ IOWRREQ_D <= ((A_FSB(22) AND A_FSB(21) AND NOT iobs/Sent AND NOT nWE_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1)); FDCPE_QoSReady: FDCPE port map (QoSReady,QoSReady_D,FCLK,'0','0'); -QoSReady_D <= ((A_FSB(22) AND NOT A_FSB(17) AND - NOT $OpTx$$OpTx$FX_DC$354_INV$541) - OR (A_FSB(22) AND NOT A_FSB(16) AND - NOT $OpTx$$OpTx$FX_DC$354_INV$541) - OR (A_FSB(22) AND NOT A_FSB(13) AND - NOT $OpTx$$OpTx$FX_DC$354_INV$541) - OR (A_FSB(22) AND NOT A_FSB(14) AND - NOT $OpTx$$OpTx$FX_DC$354_INV$541) - OR (A_FSB(22) AND NOT A_FSB(10) AND - NOT $OpTx$$OpTx$FX_DC$354_INV$541) - OR (nRESout.EXP) - OR (A_FSB(22) AND A_FSB(21) AND - NOT $OpTx$$OpTx$FX_DC$354_INV$541) - OR (A_FSB(22) AND A_FSB(19) AND - NOT $OpTx$$OpTx$FX_DC$354_INV$541) - OR (A_FSB(22) AND A_FSB(18) AND - NOT $OpTx$$OpTx$FX_DC$354_INV$541) - OR (A_FSB(22) AND A_FSB(15) AND - NOT $OpTx$$OpTx$FX_DC$354_INV$541) - OR (A_FSB(22) AND A_FSB(12) AND - NOT $OpTx$$OpTx$FX_DC$354_INV$541) - OR (A_FSB(23) AND NOT $OpTx$$OpTx$FX_DC$354_INV$541) - OR (NOT cnt/LTimer(0) AND NOT cnt/LTimer(1)) - OR (NOT nWE_FSB AND NOT $OpTx$$OpTx$FX_DC$354_INV$541) - OR (QoSReady AND NOT $OpTx$$OpTx$FX_DC$354_INV$541) - OR (A_FSB(22) AND A_FSB(20) AND - NOT $OpTx$$OpTx$FX_DC$354_INV$541)); +QoSReady_D <= ((QoSReady AND fsb/ASrf) + OR (NOT cnt/Wait(0) AND NOT cnt/Wait(1) AND NOT cnt/Wait(2) AND + NOT cnt/Wait(3) AND cnt/Wait(4)) + OR (NOT cnt/QS(0) AND NOT cnt/QS(1)) + OR (QoSReady AND NOT nAS_FSB)); RA(0) <= ((ram/RASEL AND A_FSB(1)) @@ -1008,13 +976,30 @@ RA(11) <= ((A_FSB(20) AND ram/RASEL) OR (A_FSB(19) AND NOT ram/RASEL)); FDCPE_RAMReady: FDCPE port map (RAMReady,RAMReady_D,FCLK,'0','0'); -RAMReady_D <= ((NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4 AND NOT RAMReady) - OR (nAS_FSB AND NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4 AND - NOT fsb/ASrf) - OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND - NOT ram/RS_FSM_FFd4 AND NOT fsb/ASrf) - OR (NOT ram/RefDone AND RefReq AND nAS_FSB AND - NOT ram/RS_FSM_FFd4 AND NOT fsb/ASrf)); +RAMReady_D <= ((RefUrg AND NOT ram/RefDone AND nAS_FSB AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd6 AND NOT fsb/ASrf) + OR (A_FSB(23) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd5 AND + NOT ram/RS_FSM_FFd6 AND NOT BACTr) + OR (A_FSB(23) AND RefReq AND NOT ram/RefDone AND + NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd5 AND + NOT ram/RS_FSM_FFd6 AND NOT BACTr AND fsb/ASrf) + OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd5 AND + NOT ram/RS_FSM_FFd6 AND NOT BACTr) + OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND + NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd5 AND + NOT ram/RS_FSM_FFd6 AND NOT BACTr AND fsb/ASrf) + OR (NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4 AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd6) + OR (A_FSB(23) AND RefUrg AND NOT ram/RefDone AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd6) + OR (A_FSB(22) AND RefUrg AND NOT ram/RefDone AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd6) + OR (RefUrg AND NOT ram/RefDone AND NOT ram/RS_FSM_FFd8 AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd6) + OR (RefUrg AND NOT ram/RefDone AND NOT ram/RASEN AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd6)); FDCPE_RefReq: FDCPE port map (RefReq,RefReq_D,FCLK,'0','0',RefReq_CE); RefReq_D <= (NOT cnt/Timer(0) AND cnt/Timer(1) AND NOT cnt/Timer(2) AND @@ -1022,8 +1007,7 @@ RefReq_D <= (NOT cnt/Timer(0) AND cnt/Timer(1) AND NOT cnt/Timer(2) AND RefReq_CE <= (NOT cnt/Er(0) AND cnt/Er(1)); FDCPE_RefUrg: FDCPE port map (RefUrg,RefUrg_D,FCLK,'0','0',RefUrg_CE); -RefUrg_D <= (cnt/Timer(0) AND NOT cnt/Timer(1) AND NOT cnt/Timer(2) AND - cnt/Timer(3)); +RefUrg_D <= (NOT cnt/Timer(1) AND NOT cnt/Timer(2) AND cnt/Timer(3)); RefUrg_CE <= (NOT cnt/Er(0) AND cnt/Er(1)); FDCPE_cnt/Er0: FDCPE port map (cnt/Er(0),E,FCLK,'0','0'); @@ -1031,313 +1015,228 @@ FDCPE_cnt/Er0: FDCPE port map (cnt/Er(0),E,FCLK,'0','0'); FDCPE_cnt/Er1: FDCPE port map (cnt/Er(1),cnt/Er(0),FCLK,'0','0'); FTCPE_cnt/IS_FSM_FFd1: FTCPE port map (cnt/IS_FSM_FFd1,cnt/IS_FSM_FFd1_T,FCLK,'0','0'); -cnt/IS_FSM_FFd1_T <= (RefUrg AND NOT cnt/IS_FSM_FFd1 AND cnt/IS_FSM_FFd2 AND - NOT cnt/Er(0) AND cnt/nIPL2r AND cnt/Er(1) AND cnt/LTimerTC); +cnt/IS_FSM_FFd1_T <= (cnt/TimerTC AND cnt/LTimerTC AND NOT cnt/IS_FSM_FFd1 AND + cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/nIPL2r AND cnt/Er(1)); FTCPE_cnt/IS_FSM_FFd2: FTCPE port map (cnt/IS_FSM_FFd2,cnt/IS_FSM_FFd2_T,FCLK,'0','0'); -cnt/IS_FSM_FFd2_T <= ((RefUrg AND cnt/IS_FSM_FFd1 AND cnt/IS_FSM_FFd2 AND - NOT cnt/Er(0) AND cnt/Er(1) AND cnt/LTimerTC) - OR (RefUrg AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND - NOT cnt/Er(0) AND cnt/Er(1) AND cnt/LTimerTC)); +cnt/IS_FSM_FFd2_T <= ((cnt/TimerTC AND cnt/LTimerTC AND cnt/IS_FSM_FFd1 AND + cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1)) + OR (cnt/TimerTC AND cnt/LTimerTC AND NOT cnt/IS_FSM_FFd1 AND + NOT cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); -FDCPE_cnt/LTimer0: FDCPE port map (cnt/LTimer(0),cnt/LTimer_D(0),FCLK,'0','0'); -cnt/LTimer_D(0) <= ((nROMCS_OBUF.EXP) - OR (NOT cnt/LTimer(0) AND cnt/LTimer(10) AND - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT cnt/LTimer(0) AND cnt/LTimer(8) AND - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT cnt/LTimer(0) AND cnt/LTimer(9) AND - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT cnt/LTimer(0) AND cnt/LTimer(11) AND - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND - NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND - A_FSB(8) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (ALE0S.EXP) - OR (NOT cnt/LTimer(0) AND cnt/LTimer(3) AND - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT cnt/LTimer(0) AND cnt/LTimer(4) AND - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT cnt/LTimer(0) AND cnt/LTimer(5) AND - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT cnt/LTimer(0) AND cnt/LTimer(6) AND - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT cnt/LTimer(0) AND cnt/LTimer(7) AND - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (cnt/LTimer(0) AND - NOT cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT cnt/LTimer(0) AND cnt/LTimer(1) AND - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT cnt/LTimer(0) AND cnt/LTimer(2) AND - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT cnt/LTimer(0) AND NOT cnt/IS_FSM_FFd1 AND - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT cnt/LTimer(0) AND cnt/IS_FSM_FFd2 AND - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2)); +FTCPE_cnt/LTimer0: FTCPE port map (cnt/LTimer(0),'1',FCLK,'0','0',cnt/LTimer_CE(0)); +cnt/LTimer_CE(0) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); -FDCPE_cnt/LTimer1: FDCPE port map (cnt/LTimer(1),cnt/LTimer_D(1),FCLK,'0','0'); -cnt/LTimer_D(1) <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND - NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND - A_FSB(9) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND - NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(8) AND - fsb/ASrf AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND - NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND - A_FSB(9) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND - NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(8) AND - fsb/ASrf AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND - NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(9) AND - fsb/ASrf AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND - NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(9) AND - fsb/ASrf AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT cnt/LTimer(0) AND NOT cnt/LTimer(1)) - OR (NOT cnt/LTimer(1) AND - NOT cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (cnt/LTimer(0) AND cnt/LTimer(1) AND - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND - NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND - A_FSB(8) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND - NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND - A_FSB(8) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2)); +FTCPE_cnt/LTimer1: FTCPE port map (cnt/LTimer(1),cnt/LTimer(0),FCLK,'0','0',cnt/LTimer_CE(1)); +cnt/LTimer_CE(1) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); -FTCPE_cnt/LTimer2: FTCPE port map (cnt/LTimer(2),cnt/LTimer_T(2),FCLK,'0','0'); -cnt/LTimer_T(2) <= ((cnt/LTimer(2) AND cnt/IS_FSM_FFd1 AND - NOT cnt/IS_FSM_FFd2) - OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND - NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) - OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND - cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); +FTCPE_cnt/LTimer2: FTCPE port map (cnt/LTimer(2),cnt/LTimer_T(2),FCLK,'0','0',cnt/LTimer_CE(2)); +cnt/LTimer_T(2) <= (cnt/LTimer(0) AND cnt/LTimer(1)); +cnt/LTimer_CE(2) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); -FTCPE_cnt/LTimer3: FTCPE port map (cnt/LTimer(3),cnt/LTimer_T(3),FCLK,'0','0'); -cnt/LTimer_T(3) <= ((cnt/LTimer(3) AND cnt/IS_FSM_FFd1 AND - NOT cnt/IS_FSM_FFd2) - OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND - cnt/LTimer(2) AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) - OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND - cnt/LTimer(2) AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); +FTCPE_cnt/LTimer3: FTCPE port map (cnt/LTimer(3),cnt/LTimer_T(3),FCLK,'0','0',cnt/LTimer_CE(3)); +cnt/LTimer_T(3) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2)); +cnt/LTimer_CE(3) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); -FTCPE_cnt/LTimer4: FTCPE port map (cnt/LTimer(4),cnt/LTimer_T(4),FCLK,'0','0'); -cnt/LTimer_T(4) <= ((cnt/LTimer(4) AND cnt/IS_FSM_FFd1 AND - NOT cnt/IS_FSM_FFd2) - OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND - cnt/LTimer(2) AND cnt/LTimer(3) AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND - cnt/Er(1)) - OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND - cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND - cnt/Er(1))); +FTCPE_cnt/LTimer4: FTCPE port map (cnt/LTimer(4),cnt/LTimer_T(4),FCLK,'0','0',cnt/LTimer_CE(4)); +cnt/LTimer_T(4) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND + cnt/LTimer(3)); +cnt/LTimer_CE(4) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); -FTCPE_cnt/LTimer5: FTCPE port map (cnt/LTimer(5),cnt/LTimer_T(5),FCLK,'0','0'); -cnt/LTimer_T(5) <= ((cnt/LTimer(5) AND cnt/IS_FSM_FFd1 AND - NOT cnt/IS_FSM_FFd2) - OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND - cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND NOT cnt/IS_FSM_FFd1 AND - NOT cnt/Er(0) AND cnt/Er(1)) - OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND - cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/IS_FSM_FFd2 AND - NOT cnt/Er(0) AND cnt/Er(1))); +FTCPE_cnt/LTimer5: FTCPE port map (cnt/LTimer(5),cnt/LTimer_T(5),FCLK,'0','0',cnt/LTimer_CE(5)); +cnt/LTimer_T(5) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND + cnt/LTimer(3) AND cnt/LTimer(4)); +cnt/LTimer_CE(5) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); -FTCPE_cnt/LTimer6: FTCPE port map (cnt/LTimer(6),cnt/LTimer_T(6),FCLK,'0','0'); -cnt/LTimer_T(6) <= ((cnt/LTimer(6) AND cnt/IS_FSM_FFd1 AND - NOT cnt/IS_FSM_FFd2) - OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND +FTCPE_cnt/LTimer6: FTCPE port map (cnt/LTimer(6),cnt/LTimer_T(6),FCLK,'0','0',cnt/LTimer_CE(6)); +cnt/LTimer_T(6) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND + cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5)); +cnt/LTimer_CE(6) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); + +FTCPE_cnt/LTimer7: FTCPE port map (cnt/LTimer(7),cnt/LTimer_T(7),FCLK,'0','0',cnt/LTimer_CE(7)); +cnt/LTimer_T(7) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND + cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6)); +cnt/LTimer_CE(7) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); + +FTCPE_cnt/LTimer8: FTCPE port map (cnt/LTimer(8),cnt/LTimer_T(8),FCLK,'0','0',cnt/LTimer_CE(8)); +cnt/LTimer_T(8) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND + cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND + cnt/LTimer(7)); +cnt/LTimer_CE(8) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); + +FTCPE_cnt/LTimer9: FTCPE port map (cnt/LTimer(9),cnt/LTimer_T(9),FCLK,'0','0',cnt/LTimer_CE(9)); +cnt/LTimer_T(9) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND + cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND + cnt/LTimer(7) AND cnt/LTimer(8)); +cnt/LTimer_CE(9) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); + +FTCPE_cnt/LTimer10: FTCPE port map (cnt/LTimer(10),cnt/LTimer_T(10),FCLK,'0','0',cnt/LTimer_CE(10)); +cnt/LTimer_T(10) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND + cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND + cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9)); +cnt/LTimer_CE(10) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); + +FTCPE_cnt/LTimer11: FTCPE port map (cnt/LTimer(11),cnt/LTimer_T(11),FCLK,'0','0',cnt/LTimer_CE(11)); +cnt/LTimer_T(11) <= (cnt/LTimer(0) AND cnt/LTimer(10) AND cnt/LTimer(1) AND cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND - NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) - OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND - cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND - cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); + cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9)); +cnt/LTimer_CE(11) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); -FTCPE_cnt/LTimer7: FTCPE port map (cnt/LTimer(7),cnt/LTimer_T(7),FCLK,'0','0'); -cnt/LTimer_T(7) <= ((cnt/LTimer(7) AND cnt/IS_FSM_FFd1 AND - NOT cnt/IS_FSM_FFd2) - OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND - cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND - cnt/LTimer(6) AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) - OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND - cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND - cnt/LTimer(6) AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); - -FTCPE_cnt/LTimer8: FTCPE port map (cnt/LTimer(8),cnt/LTimer_T(8),FCLK,'0','0'); -cnt/LTimer_T(8) <= ((cnt/LTimer(8) AND cnt/IS_FSM_FFd1 AND - NOT cnt/IS_FSM_FFd2) - OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND - cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND - cnt/LTimer(6) AND cnt/LTimer(7) AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND - cnt/Er(1)) - OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND - cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND - cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND - cnt/Er(1))); - -FTCPE_cnt/LTimer9: FTCPE port map (cnt/LTimer(9),cnt/LTimer_T(9),FCLK,'0','0'); -cnt/LTimer_T(9) <= ((RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND - cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND - cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/IS_FSM_FFd2 AND - NOT cnt/Er(0) AND cnt/Er(1)) - OR (cnt/LTimer(9) AND cnt/IS_FSM_FFd1 AND - NOT cnt/IS_FSM_FFd2) - OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND - cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND - cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND NOT cnt/IS_FSM_FFd1 AND - NOT cnt/Er(0) AND cnt/Er(1))); - -FTCPE_cnt/LTimer10: FTCPE port map (cnt/LTimer(10),cnt/LTimer_T(10),FCLK,'0','0'); -cnt/LTimer_T(10) <= ((cnt/LTimer(10) AND cnt/IS_FSM_FFd1 AND - NOT cnt/IS_FSM_FFd2) - OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND - cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND - cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9) AND - NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) - OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND - cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND - cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9) AND - cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); - -FTCPE_cnt/LTimer11: FTCPE port map (cnt/LTimer(11),cnt/LTimer_T(11),FCLK,'0','0'); -cnt/LTimer_T(11) <= ((cnt/LTimer(11) AND cnt/IS_FSM_FFd1 AND - NOT cnt/IS_FSM_FFd2) - OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND - cnt/LTimer(10) AND cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND - cnt/LTimer(5) AND cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND - cnt/LTimer(9) AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) - OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND - cnt/LTimer(10) AND cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND - cnt/LTimer(5) AND cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND - cnt/LTimer(9) AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); - -FDCPE_cnt/LTimerTC: FDCPE port map (cnt/LTimerTC,cnt/LTimerTC_D,FCLK,'0','0'); -cnt/LTimerTC_D <= (NOT cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(10) AND +FDCPE_cnt/LTimerTC: FDCPE port map (cnt/LTimerTC,cnt/LTimerTC_D,FCLK,'0','0',cnt/LTimerTC_CE); +cnt/LTimerTC_D <= (NOT cnt/LTimer(0) AND cnt/LTimer(10) AND cnt/LTimer(1) AND cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9) AND cnt/LTimer(11)); +cnt/LTimerTC_CE <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); +FDCPE_cnt/QS0: FDCPE port map (cnt/QS(0),cnt/QS_D(0),FCLK,'0','0'); +cnt/QS_D(0) <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND + A_FSB(13) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND + NOT nWE_FSB AND NOT nAS_FSB AND A_FSB(8)) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND + A_FSB(13) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND + NOT nWE_FSB AND NOT nAS_FSB AND A_FSB(9)) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND + A_FSB(13) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND + NOT nWE_FSB AND NOT nAS_FSB AND A_FSB(8)) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND + A_FSB(13) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND + NOT nWE_FSB AND A_FSB(9) AND fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND + A_FSB(13) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND + NOT nWE_FSB AND A_FSB(8) AND fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND + A_FSB(13) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND + NOT nWE_FSB AND A_FSB(9) AND fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND + A_FSB(13) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND + NOT nWE_FSB AND A_FSB(8) AND fsb/ASrf) + OR (cnt/QS(0) AND NOT cnt/TimerTC) + OR (cnt/QS(0) AND cnt/Er(0)) + OR (cnt/QS(0) AND NOT cnt/Er(1)) + OR (NOT cnt/QS(0) AND cnt/QS(1) AND cnt/TimerTC AND NOT cnt/Er(0) AND + cnt/Er(1)) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND + A_FSB(13) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND + NOT nWE_FSB AND NOT nAS_FSB AND A_FSB(9))); -cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +FDCPE_cnt/QS1: FDCPE port map (cnt/QS(1),cnt/QS_D(1),FCLK,'0','0'); +cnt/QS_D(1) <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND - NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(9) AND - fsb/ASrf) + A_FSB(13) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND + NOT nWE_FSB AND A_FSB(9) AND fsb/ASrf) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND - NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(9) AND - fsb/ASrf) + A_FSB(13) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND + NOT nWE_FSB AND A_FSB(8) AND fsb/ASrf) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND - NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(8) AND - fsb/ASrf) + A_FSB(13) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND + NOT nWE_FSB AND A_FSB(9) AND fsb/ASrf) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND - NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(8) AND - fsb/ASrf) + A_FSB(13) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND + NOT nWE_FSB AND A_FSB(8) AND fsb/ASrf) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND - NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND - A_FSB(9)) - OR (RefUrg AND NOT cnt/Er(0) AND cnt/Er(1)) - OR (NOT cnt/LTimer(0) AND NOT cnt/LTimer(1) AND NOT cnt/LTimer(10) AND - NOT cnt/LTimer(2) AND NOT cnt/LTimer(3) AND NOT cnt/LTimer(4) AND NOT cnt/LTimer(5) AND - NOT cnt/LTimer(6) AND NOT cnt/LTimer(7) AND NOT cnt/LTimer(8) AND NOT cnt/LTimer(9) AND - NOT cnt/LTimer(11) AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2) + A_FSB(13) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND + NOT nWE_FSB AND NOT nAS_FSB AND A_FSB(9)) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND - NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND - A_FSB(9)) + A_FSB(13) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND + NOT nWE_FSB AND NOT nAS_FSB AND A_FSB(8)) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND - NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND - A_FSB(8)) + A_FSB(13) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND + NOT nWE_FSB AND NOT nAS_FSB AND A_FSB(9)) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND - NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND - A_FSB(8))); + A_FSB(13) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND + NOT nWE_FSB AND NOT nAS_FSB AND A_FSB(8)) + OR (NOT cnt/QS(0) AND NOT cnt/QS(1)) + OR (NOT cnt/QS(1) AND NOT cnt/TimerTC) + OR (NOT cnt/QS(1) AND cnt/Er(0)) + OR (NOT cnt/QS(1) AND NOT cnt/Er(1)) + OR (cnt/QS(0) AND cnt/QS(1) AND cnt/TimerTC AND NOT cnt/Er(0) AND + cnt/Er(1))); FTCPE_cnt/Timer0: FTCPE port map (cnt/Timer(0),cnt/Timer_T(0),FCLK,'0','0',cnt/Timer_CE(0)); -cnt/Timer_T(0) <= (RefUrg AND NOT cnt/Timer(0) AND NOT cnt/Er(0) AND cnt/Er(1)); +cnt/Timer_T(0) <= (NOT cnt/Timer(0) AND cnt/TimerTC AND NOT cnt/Er(0) AND + cnt/Er(1)); cnt/Timer_CE(0) <= (NOT cnt/Er(0) AND cnt/Er(1)); FDCPE_cnt/Timer1: FDCPE port map (cnt/Timer(1),cnt/Timer_D(1),FCLK,'0','0',cnt/Timer_CE(1)); cnt/Timer_D(1) <= ((cnt/Timer(0) AND cnt/Timer(1)) OR (NOT cnt/Timer(0) AND NOT cnt/Timer(1)) - OR (RefUrg AND NOT cnt/Er(0) AND cnt/Er(1))); + OR (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1))); cnt/Timer_CE(1) <= (NOT cnt/Er(0) AND cnt/Er(1)); FDCPE_cnt/Timer2: FDCPE port map (cnt/Timer(2),cnt/Timer_D(2),FCLK,'0','0',cnt/Timer_CE(2)); cnt/Timer_D(2) <= ((NOT cnt/Timer(0) AND NOT cnt/Timer(2)) OR (NOT cnt/Timer(1) AND NOT cnt/Timer(2)) - OR (RefUrg AND NOT cnt/Er(0) AND cnt/Er(1)) - OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2))); + OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2)) + OR (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1))); cnt/Timer_CE(2) <= (NOT cnt/Er(0) AND cnt/Er(1)); FTCPE_cnt/Timer3: FTCPE port map (cnt/Timer(3),cnt/Timer_T(3),FCLK,'0','0',cnt/Timer_CE(3)); -cnt/Timer_T(3) <= ((RefUrg AND cnt/Timer(3) AND NOT cnt/Er(0) AND cnt/Er(1)) - OR (NOT RefUrg AND cnt/Timer(0) AND cnt/Timer(1) AND - cnt/Timer(2)) +cnt/Timer_T(3) <= ((cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2) AND + NOT cnt/TimerTC) OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2) AND cnt/Er(0)) OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2) AND - NOT cnt/Er(1))); + NOT cnt/Er(1)) + OR (cnt/Timer(3) AND cnt/TimerTC AND NOT cnt/Er(0) AND + cnt/Er(1))); cnt/Timer_CE(3) <= (NOT cnt/Er(0) AND cnt/Er(1)); -FTCPE_cnt/WS0: FTCPE port map (cnt/WS(0),cnt/WS_T(0),FCLK,'0','0'); -cnt/WS_T(0) <= (nAS_FSB AND NOT cnt/WS(0) AND NOT fsb/ASrf); +FDCPE_cnt/TimerTC: FDCPE port map (cnt/TimerTC,cnt/TimerTC_D,FCLK,'0','0',cnt/TimerTC_CE); +cnt/TimerTC_D <= (cnt/Timer(0) AND NOT cnt/Timer(1) AND NOT cnt/Timer(2) AND + cnt/Timer(3)); +cnt/TimerTC_CE <= (NOT cnt/Er(0) AND cnt/Er(1)); -FDCPE_cnt/WS1: FDCPE port map (cnt/WS(1),cnt/WS_D(1),FCLK,'0','0'); -cnt/WS_D(1) <= ((nAS_FSB AND NOT fsb/ASrf) - OR (NOT cnt/WS(0) AND NOT cnt/WS(1)) - OR (cnt/WS(0) AND cnt/WS(1))); +FTCPE_cnt/Wait0: FTCPE port map (cnt/Wait(0),cnt/Wait_T(0),FCLK,'0','0'); +cnt/Wait_T(0) <= (nAS_FSB AND NOT cnt/Wait(0) AND NOT fsb/ASrf); -FTCPE_cnt/WS2: FTCPE port map (cnt/WS(2),cnt/WS_T(2),FCLK,'0','0'); -cnt/WS_T(2) <= ((nAS_FSB AND cnt/WS(2) AND NOT fsb/ASrf) - OR (NOT nAS_FSB AND cnt/WS(0) AND cnt/WS(1)) - OR (cnt/WS(0) AND cnt/WS(1) AND fsb/ASrf)); +FDCPE_cnt/Wait1: FDCPE port map (cnt/Wait(1),cnt/Wait_D(1),FCLK,'0','0'); +cnt/Wait_D(1) <= ((nAS_FSB AND NOT fsb/ASrf) + OR (cnt/Wait(0) AND cnt/Wait(1)) + OR (NOT cnt/Wait(0) AND NOT cnt/Wait(1))); -FTCPE_cnt/WS3: FTCPE port map (cnt/WS(3),cnt/WS_T(3),FCLK,'0','0'); -cnt/WS_T(3) <= ((nAS_FSB AND cnt/WS(3) AND NOT fsb/ASrf) - OR (NOT nAS_FSB AND cnt/WS(0) AND cnt/WS(1) AND cnt/WS(2)) - OR (cnt/WS(0) AND cnt/WS(1) AND cnt/WS(2) AND fsb/ASrf)); +FTCPE_cnt/Wait2: FTCPE port map (cnt/Wait(2),cnt/Wait_T(2),FCLK,'0','0'); +cnt/Wait_T(2) <= ((nAS_FSB AND cnt/Wait(2) AND NOT fsb/ASrf) + OR (NOT nAS_FSB AND cnt/Wait(0) AND cnt/Wait(1)) + OR (cnt/Wait(0) AND cnt/Wait(1) AND fsb/ASrf)); + +FTCPE_cnt/Wait3: FTCPE port map (cnt/Wait(3),cnt/Wait_T(3),FCLK,'0','0'); +cnt/Wait_T(3) <= ((nAS_FSB AND cnt/Wait(3) AND NOT fsb/ASrf) + OR (NOT nAS_FSB AND cnt/Wait(0) AND cnt/Wait(1) AND + cnt/Wait(2)) + OR (cnt/Wait(0) AND cnt/Wait(1) AND cnt/Wait(2) AND + fsb/ASrf)); + +FTCPE_cnt/Wait4: FTCPE port map (cnt/Wait(4),cnt/Wait_T(4),FCLK,'0','0'); +cnt/Wait_T(4) <= ((nAS_FSB AND cnt/Wait(4) AND NOT fsb/ASrf) + OR (NOT nAS_FSB AND cnt/Wait(0) AND cnt/Wait(1) AND + cnt/Wait(2) AND cnt/Wait(3)) + OR (cnt/Wait(0) AND cnt/Wait(1) AND cnt/Wait(2) AND + cnt/Wait(3) AND fsb/ASrf)); FDCPE_cnt/nIPL2r: FDCPE port map (cnt/nIPL2r,nIPL2,FCLK,'0','0'); -FDCPE_cs/ODCSr: FDCPE port map (cs/ODCSr,cs/ODCSr_D,FCLK,'0','0'); -cs/ODCSr_D <= ((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND - NOT nAS_FSB) - OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND - fsb/ASrf)); - FTCPE_cs/nOverlay: FTCPE port map (cs/nOverlay,cs/nOverlay_T,FCLK,'0','0'); cs/nOverlay_T <= ((NOT nRES.PIN AND cs/nOverlay AND nAS_FSB AND NOT fsb/ASrf) - OR (nRES.PIN AND NOT cs/nOverlay AND nAS_FSB AND cs/ODCSr AND - NOT fsb/ASrf)); + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND + NOT cs/nOverlay AND NOT nAS_FSB) + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND + NOT cs/nOverlay AND fsb/ASrf)); FDCPE_fsb/ASrf: FDCPE port map (fsb/ASrf,NOT nAS_FSB,NOT FCLK,'0','0'); @@ -1436,37 +1335,34 @@ FDCPE_iobs/IODONEr: FDCPE port map (iobs/IODONEr,IODONE,FCLK,'0','0'); FDCPE_iobs/IOL1: FDCPE port map (iobs/IOL1,NOT nLDS_FSB,FCLK,'0','0',iobs/Load1); FTCPE_iobs/IORW1: FTCPE port map (iobs/IORW1,iobs/IORW1_T,FCLK,'0','0'); -iobs/IORW1_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND - NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd1 AND - fsb/ASrf AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND - NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd2 AND - fsb/ASrf AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND - NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd1 AND - fsb/ASrf AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND - NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd2 AND - fsb/ASrf AND nADoutLE1) +iobs/IORW1_T <= ((cs/nOverlay.EXP) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND iobs/TS_FSM_FFd1 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND + NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd2 AND + fsb/ASrf AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND + NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND + iobs/TS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND + NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd1 AND + fsb/ASrf AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND + NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd2 AND + fsb/ASrf AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND iobs/TS_FSM_FFd2 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND - iobs/TS_FSM_FFd1 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND - NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND iobs/TS_FSM_FFd2 AND nADoutLE1)); FDCPE_iobs/IOU1: FDCPE port map (iobs/IOU1,NOT nUDS_FSB,FCLK,'0','0',iobs/Load1); @@ -1474,11 +1370,11 @@ FDCPE_iobs/IOU1: FDCPE port map (iobs/IOU1,NOT nUDS_FSB,FCLK,'0','0',iobs/Load1) FDCPE_iobs/Load1: FDCPE port map (iobs/Load1,iobs/Load1_D,FCLK,'0','0'); iobs/Load1_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND - NOT iobs/Sent AND NOT nWE_FSB AND iobs/TS_FSM_FFd1 AND fsb/ASrf AND + NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd1 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND - NOT iobs/Sent AND NOT nWE_FSB AND iobs/TS_FSM_FFd2 AND fsb/ASrf AND + NOT iobs/Sent AND NOT nWE_FSB AND iobs/TS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND @@ -1486,11 +1382,11 @@ iobs/Load1_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AN nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND - NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd1 AND + NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd2 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND - NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd2 AND + NOT iobs/Sent AND NOT nWE_FSB AND iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND @@ -1506,7 +1402,9 @@ iobs/Load1_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AN nADoutLE1)); FTCPE_iobs/Sent: FTCPE port map (iobs/Sent,iobs/Sent_T,FCLK,'0','0'); -iobs/Sent_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +iobs/Sent_T <= ((A_FSB(22) AND NOT iobs/Sent AND NOT cs/nOverlay AND + NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND @@ -1514,6 +1412,9 @@ iobs/Sent_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND NOT iobs/Sent AND NOT nWE_FSB AND fsb/ASrf AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND + NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND NOT iobs/Sent AND NOT nWE_FSB AND fsb/ASrf AND nADoutLE1) OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/Sent AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) @@ -1521,11 +1422,6 @@ iobs/Sent_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) OR (A_FSB(22) AND NOT iobs/Sent AND NOT cs/nOverlay AND NOT nAS_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (A_FSB(22) AND NOT iobs/Sent AND NOT cs/nOverlay AND - NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND - NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND nADoutLE1) OR (iobs/Sent AND nAS_FSB AND NOT fsb/ASrf) OR (A_FSB(23) AND NOT iobs/Sent AND NOT nAS_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) @@ -1541,20 +1437,29 @@ iobs/TS_FSM_FFd1_D <= ((iobs/TS_FSM_FFd2) OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr)); FDCPE_iobs/TS_FSM_FFd2: FDCPE port map (iobs/TS_FSM_FFd2,iobs/TS_FSM_FFd2_D,FCLK,'0','0'); -iobs/TS_FSM_FFd2_D <= ((nRAS_OBUF.EXP) - OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND - nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND +iobs/TS_FSM_FFd2_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20) AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(17) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16) AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB AND + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(13) AND NOT A_FSB(14) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND cs/nOverlay AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2) OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr) - OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1)); + OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND + nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1)); nADoutLE0 <= (NOT ALE0M AND NOT ALE0S); @@ -1579,8 +1484,8 @@ nAoutOE_D <= ((NOT nBR_IOB AND cnt/IS_FSM_FFd1 AND cnt/IS_FSM_FFd2) OR (cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND NOT nAoutOE)); FDCPE_nBERR_FSB: FDCPE port map (nBERR_FSB,nBERR_FSB_D,FCLK,'0','0'); -nBERR_FSB_D <= ((NOT IOBERR AND nBERR_FSB) - OR (NOT iobs/Sent AND nBERR_FSB) +nBERR_FSB_D <= ((NOT iobs/Sent AND nBERR_FSB) + OR (NOT IOBERR AND nBERR_FSB) OR (nAS_FSB AND NOT fsb/ASrf)); FTCPE_nBR_IOB: FTCPE port map (nBR_IOB,nBR_IOB_T,FCLK,'0','0'); @@ -1588,7 +1493,25 @@ nBR_IOB_T <= ((nBR_IOB AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2) OR (NOT nBR_IOB AND NOT cnt/IS_FSM_FFd1 AND cnt/IS_FSM_FFd2 AND NOT cnt/nIPL2r)); -FDCPE_nCAS: FDCPE port map (nCAS,NOT ram/CAS,NOT FCLK,'0','0'); +FDCPE_nCAS: FDCPE port map (nCAS,nCAS_D,NOT FCLK,'0','0'); +nCAS_D <= ((ram/RS_FSM_FFd1) + OR (ram/RS_FSM_FFd2) + OR (ram/RS_FSM_FFd3) + OR (NOT RefUrg AND ram/RS_FSM_FFd4) + OR (ram/RefDone AND ram/RS_FSM_FFd8) + OR (ram/RefDone AND ram/RS_FSM_FFd4) + OR (ram/RefDone AND ram/RS_FSM_FFd7) + OR (NOT RefUrg AND NOT RefReq AND ram/RS_FSM_FFd8) + OR (NOT RefUrg AND ram/RS_FSM_FFd8 AND BACTr) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT RefUrg AND + ram/RS_FSM_FFd8) + OR (NOT RefUrg AND nAS_FSB AND ram/RS_FSM_FFd8 AND NOT fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT nAS_FSB AND + ram/RS_FSM_FFd8 AND ram/RASEN) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RS_FSM_FFd8 AND + ram/RASEN AND fsb/ASrf) + OR (NOT RefUrg AND ram/RS_FSM_FFd7) + OR (ram/DTACKr AND ram/RS_FSM_FFd5)); FDCPE_nDTACK_FSB: FDCPE port map (nDTACK_FSB,nDTACK_FSB_D,FCLK,'0','0'); nDTACK_FSB_D <= ((NOT QoSReady) @@ -1596,11 +1519,11 @@ nDTACK_FSB_D <= ((NOT QoSReady) OR (A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16)) OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND - A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND NOT nWE_FSB AND NOT IONPReady AND - NOT IOPWReady) + A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND NOT iobs/Sent AND NOT nWE_FSB AND + NOT IONPReady AND NOT nADoutLE1) OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND - A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND NOT nWE_FSB AND NOT IONPReady AND - NOT IOPWReady) + A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND NOT iobs/Sent AND NOT nWE_FSB AND + NOT IONPReady AND NOT nADoutLE1) OR (A_FSB(23) AND NOT IONPReady) OR (nAS_FSB AND NOT fsb/ASrf) OR (A_FSB(22) AND A_FSB(21) AND NOT IONPReady) @@ -1610,9 +1533,11 @@ FDCPE_nDinLE: FDCPE port map (nDinLE,nDinLE_D,NOT C16M,'0','0'); nDinLE_D <= (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4); -nDinOE <= NOT (((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB) - OR (A_FSB(22) AND A_FSB(21) AND nWE_FSB AND NOT nAS_FSB) - OR (A_FSB(22) AND A_FSB(20) AND nWE_FSB AND NOT nAS_FSB))); +nDinOE <= NOT (((A_FSB(23) AND cs/nOverlay AND nWE_FSB AND NOT nAS_FSB) + OR (A_FSB(22) AND A_FSB(21) AND cs/nOverlay AND nWE_FSB AND + NOT nAS_FSB) + OR (A_FSB(22) AND A_FSB(20) AND cs/nOverlay AND nWE_FSB AND + NOT nAS_FSB))); nDoutOE <= NOT (((iobm/DoutOE AND NOT nAoutOE) @@ -1629,20 +1554,22 @@ nLDS_IOB <= ((iobm/IOS_FSM_FFd7 AND NOT iobm/C8Mr AND IOL0 AND nLDS_IOB <= nLDS_IOB_I when nLDS_IOB_OE = '1' else 'Z'; nLDS_IOB_OE <= NOT nAoutOE; - -nOE <= NOT ((nWE_FSB AND NOT nAS_FSB)); +FDCPE_nOE: FDCPE port map (nOE,nOE_D,FCLK,'0','0'); +nOE_D <= ((NOT nWE_FSB) + OR (nAS_FSB AND NOT fsb/ASrf) + OR (ram/DTACKr AND BACTr)); -nRAMLWE <= NOT ((ram/RAMEN AND NOT nWE_FSB AND NOT nLDS_FSB AND NOT nAS_FSB)); +nRAMLWE <= NOT ((NOT nWE_FSB AND NOT nLDS_FSB AND ram/RASEL)); -nRAMUWE <= NOT ((ram/RAMEN AND NOT nWE_FSB AND NOT nUDS_FSB AND NOT nAS_FSB)); +nRAMUWE <= NOT ((NOT nWE_FSB AND NOT nUDS_FSB AND ram/RASEL)); nRAS <= NOT (((ram/RASrf) OR (ram/RASrr) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND - NOT nAS_FSB))); + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND + ram/RASEN))); nRES_I <= '0'; @@ -1653,8 +1580,8 @@ FDCPE_nRESout: FDCPE port map (nRESout,nRESout_D,FCLK,'0','0'); nRESout_D <= (cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2); -nROMCS <= NOT (((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20)) - OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND NOT cs/nOverlay))); +nROMCS <= NOT (((NOT cs/nOverlay) + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20)))); nROMWE <= NOT ((NOT nWE_FSB AND NOT nAS_FSB)); @@ -1679,98 +1606,130 @@ nVMA_IOB_OE <= NOT nAoutOE; FDCPE_nVPA_FSB: FDCPE port map (nVPA_FSB,nVPA_FSB_D,FCLK,'0',nAS_FSB); nVPA_FSB_D <= ((A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND IONPReady AND - NOT nAS_FSB AND QoSReady) + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND QoSReady AND + IONPReady AND NOT nAS_FSB) OR (A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND IONPReady AND - QoSReady AND fsb/ASrf)); + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND QoSReady AND + IONPReady AND fsb/ASrf)); -FDCPE_ram/CAS: FDCPE port map (ram/CAS,ram/CAS_D,FCLK,'0','0'); -ram/CAS_D <= ((ram/RS_FSM_FFd7) - OR (ram/RS_FSM_FFd6) - OR (RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd5) - OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND - ram/RS_FSM_FFd8 AND NOT fsb/ASrf) - OR (NOT ram/RefDone AND RefReq AND nAS_FSB AND - ram/RS_FSM_FFd8 AND NOT fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND - NOT nAS_FSB AND ram/RS_FSM_FFd8) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND - ram/RS_FSM_FFd8 AND fsb/ASrf)); - -FTCPE_ram/Once: FTCPE port map (ram/Once,ram/Once_T,FCLK,'0','0'); -ram/Once_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND - NOT ram/Once AND NOT nAS_FSB AND ram/RS_FSM_FFd8) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND - NOT ram/Once AND ram/RS_FSM_FFd8 AND fsb/ASrf) - OR (ram/Once AND nAS_FSB AND NOT fsb/ASrf)); - -FDCPE_ram/RAMEN: FDCPE port map (ram/RAMEN,ram/RAMEN_D,FCLK,'0','0'); -ram/RAMEN_D <= ((ram/RS_FSM_FFd7) - OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND - ram/RS_FSM_FFd8 AND NOT fsb/ASrf) - OR (NOT ram/RAMEN AND ram/Once AND NOT nAS_FSB) - OR (NOT ram/RAMEN AND ram/Once AND fsb/ASrf) - OR (NOT ram/RAMEN AND NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4) - OR (NOT ram/RefDone AND RefReq AND nAS_FSB AND - ram/RS_FSM_FFd8 AND NOT fsb/ASrf)); +FDCPE_ram/DTACKr: FDCPE port map (ram/DTACKr,NOT nDTACK_FSB,FCLK,'0','0'); FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,FCLK,'0','0'); -ram/RASEL_D <= ((ram/RS_FSM_FFd7) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND - NOT nAS_FSB AND ram/RS_FSM_FFd8) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND - ram/RS_FSM_FFd8 AND fsb/ASrf)); +ram/RASEL_D <= ((ram/RS_FSM_FFd6) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND + ram/RS_FSM_FFd8 AND ram/RASEN) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND + ram/RS_FSM_FFd8 AND ram/RASEN AND fsb/ASrf)); -FDCPE_ram/RASrf: FDCPE port map (ram/RASrf,ram/RS_FSM_FFd7,NOT FCLK,'0','0'); +FDCPE_ram/RASEN: FDCPE port map (ram/RASEN,ram/RASEN_D,FCLK,'0','0'); +ram/RASEN_D <= ((RefUrg AND NOT ram/RefDone AND nAS_FSB AND + NOT ram/RS_FSM_FFd1 AND NOT fsb/ASrf) + OR (A_FSB(23) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT BACTr) + OR (A_FSB(23) AND RefReq AND NOT ram/RefDone AND + NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT BACTr AND fsb/ASrf) + OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT BACTr) + OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND + NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT BACTr AND fsb/ASrf) + OR (NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4 AND + NOT ram/RS_FSM_FFd1) + OR (A_FSB(23) AND RefUrg AND NOT ram/RefDone AND + NOT ram/RS_FSM_FFd1) + OR (A_FSB(22) AND RefUrg AND NOT ram/RefDone AND + NOT ram/RS_FSM_FFd1) + OR (RefUrg AND NOT ram/RefDone AND NOT ram/RS_FSM_FFd8 AND + NOT ram/RS_FSM_FFd1) + OR (RefUrg AND NOT ram/RefDone AND NOT ram/RASEN AND + NOT ram/RS_FSM_FFd1)); + +FDCPE_ram/RASrf: FDCPE port map (ram/RASrf,ram/RS_FSM_FFd6,NOT FCLK,'0','0'); FDCPE_ram/RASrr: FDCPE port map (ram/RASrr,ram/RASrr_D,FCLK,'0','0'); -ram/RASrr_D <= ((ram/RS_FSM_FFd3) - OR (ram/RS_FSM_FFd6) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND - NOT nAS_FSB AND ram/RS_FSM_FFd8) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND - ram/RS_FSM_FFd8 AND fsb/ASrf)); +ram/RASrr_D <= ((ram/RS_FSM_FFd7) + OR (IONPReady.EXP) + OR (A_FSB(23) AND RefUrg AND NOT ram/RefDone AND + ram/RS_FSM_FFd8) + OR (A_FSB(22) AND RefUrg AND NOT ram/RefDone AND + ram/RS_FSM_FFd8) + OR (RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd8 AND + NOT ram/RASEN) + OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND + ram/RS_FSM_FFd8 AND NOT fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND + ram/RS_FSM_FFd8 AND ram/RASEN) + OR (RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd4)); FDCPE_ram/RS_FSM_FFd1: FDCPE port map (ram/RS_FSM_FFd1,ram/RS_FSM_FFd2,FCLK,'0','0'); FDCPE_ram/RS_FSM_FFd2: FDCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd3,FCLK,'0','0'); -FDCPE_ram/RS_FSM_FFd3: FDCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd6,FCLK,'0','0'); +FDCPE_ram/RS_FSM_FFd3: FDCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd7,FCLK,'0','0'); FDCPE_ram/RS_FSM_FFd4: FDCPE port map (ram/RS_FSM_FFd4,ram/RS_FSM_FFd4_D,FCLK,'0','0'); -ram/RS_FSM_FFd4_D <= ((NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd1) - OR (RefUrg AND NOT ram/RefDone AND NOT ram/RS_FSM_FFd1)); +ram/RS_FSM_FFd4_D <= (ram/DTACKr AND ram/RS_FSM_FFd5); -FDCPE_ram/RS_FSM_FFd5: FDCPE port map (ram/RS_FSM_FFd5,ram/RS_FSM_FFd7,FCLK,'0','0'); +FDCPE_ram/RS_FSM_FFd5: FDCPE port map (ram/RS_FSM_FFd5,ram/RS_FSM_FFd5_D,FCLK,'0','0'); +ram/RS_FSM_FFd5_D <= ((ram/RS_FSM_FFd6) + OR (NOT ram/DTACKr AND ram/RS_FSM_FFd5)); FDCPE_ram/RS_FSM_FFd6: FDCPE port map (ram/RS_FSM_FFd6,ram/RS_FSM_FFd6_D,FCLK,'0','0'); -ram/RS_FSM_FFd6_D <= ((RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd5) - OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND - ram/RS_FSM_FFd8 AND NOT fsb/ASrf) - OR (NOT ram/RefDone AND RefReq AND nAS_FSB AND - ram/RS_FSM_FFd8 AND NOT fsb/ASrf)); +ram/RS_FSM_FFd6_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND + ram/RS_FSM_FFd8 AND ram/RASEN) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND + ram/RS_FSM_FFd8 AND ram/RASEN AND fsb/ASrf)); FDCPE_ram/RS_FSM_FFd7: FDCPE port map (ram/RS_FSM_FFd7,ram/RS_FSM_FFd7_D,FCLK,'0','0'); -ram/RS_FSM_FFd7_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND - NOT nAS_FSB AND ram/RS_FSM_FFd8) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND - ram/RS_FSM_FFd8 AND fsb/ASrf)); +ram/RS_FSM_FFd7_D <= ((RefUrg AND NOT ram/RefDone AND nAS_FSB AND + ram/RS_FSM_FFd8 AND NOT fsb/ASrf) + OR (A_FSB(23) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND + ram/RS_FSM_FFd8 AND NOT BACTr) + OR (A_FSB(23) AND RefReq AND NOT ram/RefDone AND + ram/RS_FSM_FFd8 AND NOT BACTr AND fsb/ASrf) + OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND + ram/RS_FSM_FFd8 AND NOT BACTr) + OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND + ram/RS_FSM_FFd8 AND NOT BACTr AND fsb/ASrf) + OR (RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd4) + OR (A_FSB(23) AND RefUrg AND NOT ram/RefDone AND + ram/RS_FSM_FFd8) + OR (A_FSB(22) AND RefUrg AND NOT ram/RefDone AND + ram/RS_FSM_FFd8) + OR (RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd8 AND + NOT ram/RASEN)); FDCPE_ram/RS_FSM_FFd8: FDCPE port map (ram/RS_FSM_FFd8,ram/RS_FSM_FFd8_D,FCLK,'0','0'); -ram/RS_FSM_FFd8_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND - NOT ram/RS_FSM_FFd4 AND fsb/ASrf) - OR (NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4) +ram/RS_FSM_FFd8_D <= ((A_FSB(23) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT BACTr) + OR (A_FSB(23) AND RefReq AND NOT ram/RefDone AND + NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT BACTr AND fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND + NOT ram/RS_FSM_FFd4 AND ram/RASEN AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf) + OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT BACTr) + OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND + NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT BACTr AND fsb/ASrf) + OR (RefUrg AND NOT ram/RefDone AND NOT ram/RS_FSM_FFd8 AND + NOT ram/RS_FSM_FFd1) OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND - NOT ram/RS_FSM_FFd4 AND NOT fsb/ASrf) - OR (NOT ram/RefDone AND RefReq AND nAS_FSB AND - NOT ram/RS_FSM_FFd4 AND NOT fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND - NOT nAS_FSB AND NOT ram/RS_FSM_FFd4)); + NOT ram/RS_FSM_FFd1 AND NOT fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd4 AND ram/RASEN AND NOT ram/RS_FSM_FFd1) + OR (NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4 AND + NOT ram/RS_FSM_FFd1) + OR (A_FSB(23) AND RefUrg AND NOT ram/RefDone AND + NOT ram/RS_FSM_FFd1) + OR (A_FSB(22) AND RefUrg AND NOT ram/RefDone AND + NOT ram/RS_FSM_FFd1) + OR (RefUrg AND cs/nOverlay AND NOT ram/RefDone AND + NOT ram/RS_FSM_FFd1) + OR (RefUrg AND NOT ram/RefDone AND NOT ram/RASEN AND + NOT ram/RS_FSM_FFd1)); FDCPE_ram/RefDone: FDCPE port map (ram/RefDone,ram/RefDone_D,FCLK,'0','0'); ram/RefDone_D <= ((NOT RefUrg AND NOT RefReq) - OR (NOT ram/RefDone AND NOT ram/RS_FSM_FFd3 AND NOT ram/RS_FSM_FFd2)); + OR (NOT ram/RefDone AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd7 AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3)); Register Legend: FDCPE (Q,D,C,CLR,PRE,CE); diff --git a/cpld/XC95144XL/WarpSE_html/fit/defeqns.htm b/cpld/XC95144XL/WarpSE_html/fit/defeqns.htm index 64ae039..86ea4fe 100644 --- a/cpld/XC95144XL/WarpSE_html/fit/defeqns.htm +++ b/cpld/XC95144XL/WarpSE_html/fit/defeqns.htm @@ -5,9 +5,6 @@ ********** Mapped Logic ********** - -$OpTx$$OpTx$FX_DC$354_INV$541 <= (nAS_FSB AND NOT fsb/ASrf); - FDCPE_ALE0M: FDCPE port map (ALE0M,ALE0M_D,C16M,'0','0');
     ALE0M_D <= ((iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd3 AND
      NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND nAoutOE) @@ -25,6 +22,9 @@ FDCPE_ALE0M: FDCPE port map (ALE0M,ALE0M_D,C16M,'0','0'); FDCPE_ALE0S: FDCPE port map (ALE0S,iobs/TS_FSM_FFd2,FCLK,'0','0'); +FDCPE_BACTr: FDCPE port map (BACTr,BACTr_D,FCLK,'0','0'); +
     BACTr_D <= (nAS_FSB AND NOT fsb/ASrf); + C25MEN <= '1'; @@ -71,6 +71,16 @@ FDCPE_IODONE: FDCPE port map (IODONE,IODONE_D,NOT C8M,nAS_IOB,'0'); FTCPE_IOL0: FTCPE port map (IOL0,IOL0_T,FCLK,'0','0');
     IOL0_T <= ((iobs/TS_FSM_FFd1) +
      OR (NOT iobs/IOL1 AND NOT IOL0 AND NOT nADoutLE1) +
      OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND +
      nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20) AND +
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB AND +
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) +
      OR (nVPA_FSB_OBUF.EXP) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND +
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19) AND
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18) AND @@ -79,18 +89,6 @@ FTCPE_IOL0: FTCPE port map (IOL0,IOL0_T,FCLK,'0','0');
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16) AND
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND cs/nOverlay AND -
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) -
      OR (iobs/IORW1.EXP) -
      OR (NOT iobs/IOL1 AND NOT IOL0 AND NOT nADoutLE1) -
      OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND -
      nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND -
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20) AND -
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB AND -
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
      OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
      OR (nLDS_FSB AND NOT IOL0 AND nADoutLE1)
      OR (NOT nLDS_FSB AND IOL0 AND nADoutLE1) @@ -107,10 +105,6 @@ FDCPE_IONPReady: FDCPE port map (IONPReady,IONPReady_D,FCLK,'0','0');
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND
      NOT nWE_FSB AND NOT IONPReady)); -FDCPE_IOPWReady: FDCPE port map (IOPWReady,IOPWReady_D,FCLK,'0','0'); -
     IOPWReady_D <= ((iobs/Clear1) -
      OR (NOT iobs/Load1 AND nADoutLE1)); - FDCPE_IORDREQ: FDCPE port map (IORDREQ,IORDREQ_D,FCLK,'0','0');
     IORDREQ_D <= ((NOT iobs/IORW1 AND NOT iobs/TS_FSM_FFd2 AND NOT nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/TS_FSM_FFd2 AND @@ -127,7 +121,7 @@ FDCPE_IORDREQ: FDCPE port map (IORDREQ,IORDREQ_D,FCLK,'0','0'); FTCPE_IOU0: FTCPE port map (IOU0,IOU0_T,FCLK,'0','0');
     IOU0_T <= ((iobs/TS_FSM_FFd1) -
      OR (nBERR_FSB_OBUF.EXP) +
      OR (nAoutOE_OBUF.EXP)
      OR (NOT iobs/IOU1 AND NOT IOU0 AND NOT nADoutLE1)
      OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND
      nADoutLE1) @@ -137,12 +131,16 @@ FTCPE_IOU0: FTCPE port map (IOU0,IOU0_T,FCLK,'0','0');
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB AND
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19) AND +
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18) AND
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(17) AND
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16) AND
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND cs/nOverlay AND +
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
      OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
      OR (nUDS_FSB AND NOT IOU0 AND nADoutLE1)
      OR (NOT nUDS_FSB AND IOU0 AND nADoutLE1) @@ -183,33 +181,11 @@ FDCPE_IOWRREQ: FDCPE port map (IOWRREQ,IOWRREQ_D,FCLK,'0','0');
      NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1)); FDCPE_QoSReady: FDCPE port map (QoSReady,QoSReady_D,FCLK,'0','0'); -
     QoSReady_D <= ((A_FSB(22) AND NOT A_FSB(17) AND -
      NOT $OpTx$$OpTx$FX_DC$354_INV$541) -
      OR (A_FSB(22) AND NOT A_FSB(16) AND -
      NOT $OpTx$$OpTx$FX_DC$354_INV$541) -
      OR (A_FSB(22) AND NOT A_FSB(13) AND -
      NOT $OpTx$$OpTx$FX_DC$354_INV$541) -
      OR (A_FSB(22) AND NOT A_FSB(14) AND -
      NOT $OpTx$$OpTx$FX_DC$354_INV$541) -
      OR (A_FSB(22) AND NOT A_FSB(10) AND -
      NOT $OpTx$$OpTx$FX_DC$354_INV$541) -
      OR (nRESout.EXP) -
      OR (A_FSB(22) AND A_FSB(21) AND -
      NOT $OpTx$$OpTx$FX_DC$354_INV$541) -
      OR (A_FSB(22) AND A_FSB(19) AND -
      NOT $OpTx$$OpTx$FX_DC$354_INV$541) -
      OR (A_FSB(22) AND A_FSB(18) AND -
      NOT $OpTx$$OpTx$FX_DC$354_INV$541) -
      OR (A_FSB(22) AND A_FSB(15) AND -
      NOT $OpTx$$OpTx$FX_DC$354_INV$541) -
      OR (A_FSB(22) AND A_FSB(12) AND -
      NOT $OpTx$$OpTx$FX_DC$354_INV$541) -
      OR (A_FSB(23) AND NOT $OpTx$$OpTx$FX_DC$354_INV$541) -
      OR (NOT cnt/LTimer(0) AND NOT cnt/LTimer(1)) -
      OR (NOT nWE_FSB AND NOT $OpTx$$OpTx$FX_DC$354_INV$541) -
      OR (QoSReady AND NOT $OpTx$$OpTx$FX_DC$354_INV$541) -
      OR (A_FSB(22) AND A_FSB(20) AND -
      NOT $OpTx$$OpTx$FX_DC$354_INV$541)); +
     QoSReady_D <= ((QoSReady AND fsb/ASrf) +
      OR (NOT cnt/Wait(0) AND NOT cnt/Wait(1) AND NOT cnt/Wait(2) AND +
      NOT cnt/Wait(3) AND cnt/Wait(4)) +
      OR (NOT cnt/QS(0) AND NOT cnt/QS(1)) +
      OR (QoSReady AND NOT nAS_FSB)); RA(0) <= ((ram/RASEL AND A_FSB(1)) @@ -260,13 +236,30 @@ RA(11) <= ((A_FSB(20) AND ram/RASEL)
      OR (A_FSB(19) AND NOT ram/RASEL)); FDCPE_RAMReady: FDCPE port map (RAMReady,RAMReady_D,FCLK,'0','0'); -
     RAMReady_D <= ((NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4 AND NOT RAMReady) -
      OR (nAS_FSB AND NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4 AND -
      NOT fsb/ASrf) -
      OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND -
      NOT ram/RS_FSM_FFd4 AND NOT fsb/ASrf) -
      OR (NOT ram/RefDone AND RefReq AND nAS_FSB AND -
      NOT ram/RS_FSM_FFd4 AND NOT fsb/ASrf)); +
     RAMReady_D <= ((RefUrg AND NOT ram/RefDone AND nAS_FSB AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd6 AND NOT fsb/ASrf) +
      OR (A_FSB(23) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND +
      NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd5 AND +
      NOT ram/RS_FSM_FFd6 AND NOT BACTr) +
      OR (A_FSB(23) AND RefReq AND NOT ram/RefDone AND +
      NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd5 AND +
      NOT ram/RS_FSM_FFd6 AND NOT BACTr AND fsb/ASrf) +
      OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND +
      NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd5 AND +
      NOT ram/RS_FSM_FFd6 AND NOT BACTr) +
      OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND +
      NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd5 AND +
      NOT ram/RS_FSM_FFd6 AND NOT BACTr AND fsb/ASrf) +
      OR (NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4 AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd6) +
      OR (A_FSB(23) AND RefUrg AND NOT ram/RefDone AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd6) +
      OR (A_FSB(22) AND RefUrg AND NOT ram/RefDone AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd6) +
      OR (RefUrg AND NOT ram/RefDone AND NOT ram/RS_FSM_FFd8 AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd6) +
      OR (RefUrg AND NOT ram/RefDone AND NOT ram/RASEN AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd6)); FDCPE_RefReq: FDCPE port map (RefReq,RefReq_D,FCLK,'0','0',RefReq_CE);
     RefReq_D <= (NOT cnt/Timer(0) AND cnt/Timer(1) AND NOT cnt/Timer(2) AND @@ -274,8 +267,7 @@ FDCPE_RefReq: FDCPE port map (RefReq,RefReq_D,FCLK,'0','0',RefReq_CE);
     RefReq_CE <= (NOT cnt/Er(0) AND cnt/Er(1)); FDCPE_RefUrg: FDCPE port map (RefUrg,RefUrg_D,FCLK,'0','0',RefUrg_CE); -
     RefUrg_D <= (cnt/Timer(0) AND NOT cnt/Timer(1) AND NOT cnt/Timer(2) AND -
      cnt/Timer(3)); +
     RefUrg_D <= (NOT cnt/Timer(1) AND NOT cnt/Timer(2) AND cnt/Timer(3));
     RefUrg_CE <= (NOT cnt/Er(0) AND cnt/Er(1)); FDCPE_cnt/Er0: FDCPE port map (cnt/Er(0),E,FCLK,'0','0'); @@ -283,313 +275,228 @@ FDCPE_cnt/Er0: FDCPE port map (cnt/Er(0),E,FCLK,'0','0'); FDCPE_cnt/Er1: FDCPE port map (cnt/Er(1),cnt/Er(0),FCLK,'0','0'); FTCPE_cnt/IS_FSM_FFd1: FTCPE port map (cnt/IS_FSM_FFd1,cnt/IS_FSM_FFd1_T,FCLK,'0','0'); -
     cnt/IS_FSM_FFd1_T <= (RefUrg AND NOT cnt/IS_FSM_FFd1 AND cnt/IS_FSM_FFd2 AND -
      NOT cnt/Er(0) AND cnt/nIPL2r AND cnt/Er(1) AND cnt/LTimerTC); +
     cnt/IS_FSM_FFd1_T <= (cnt/TimerTC AND cnt/LTimerTC AND NOT cnt/IS_FSM_FFd1 AND +
      cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/nIPL2r AND cnt/Er(1)); FTCPE_cnt/IS_FSM_FFd2: FTCPE port map (cnt/IS_FSM_FFd2,cnt/IS_FSM_FFd2_T,FCLK,'0','0'); -
     cnt/IS_FSM_FFd2_T <= ((RefUrg AND cnt/IS_FSM_FFd1 AND cnt/IS_FSM_FFd2 AND -
      NOT cnt/Er(0) AND cnt/Er(1) AND cnt/LTimerTC) -
      OR (RefUrg AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND -
      NOT cnt/Er(0) AND cnt/Er(1) AND cnt/LTimerTC)); +
     cnt/IS_FSM_FFd2_T <= ((cnt/TimerTC AND cnt/LTimerTC AND cnt/IS_FSM_FFd1 AND +
      cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1)) +
      OR (cnt/TimerTC AND cnt/LTimerTC AND NOT cnt/IS_FSM_FFd1 AND +
      NOT cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); -FDCPE_cnt/LTimer0: FDCPE port map (cnt/LTimer(0),cnt/LTimer_D(0),FCLK,'0','0'); -
     cnt/LTimer_D(0) <= ((nROMCS_OBUF.EXP) -
      OR (NOT cnt/LTimer(0) AND cnt/LTimer(10) AND -
      cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) -
      OR (NOT cnt/LTimer(0) AND cnt/LTimer(8) AND -
      cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) -
      OR (NOT cnt/LTimer(0) AND cnt/LTimer(9) AND -
      cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) -
      OR (NOT cnt/LTimer(0) AND cnt/LTimer(11) AND -
      cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND -
      A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND -
      NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND -
      A_FSB(8) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) -
      OR (ALE0S.EXP) -
      OR (NOT cnt/LTimer(0) AND cnt/LTimer(3) AND -
      cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) -
      OR (NOT cnt/LTimer(0) AND cnt/LTimer(4) AND -
      cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) -
      OR (NOT cnt/LTimer(0) AND cnt/LTimer(5) AND -
      cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) -
      OR (NOT cnt/LTimer(0) AND cnt/LTimer(6) AND -
      cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) -
      OR (NOT cnt/LTimer(0) AND cnt/LTimer(7) AND -
      cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) -
      OR (cnt/LTimer(0) AND -
      NOT cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) -
      OR (NOT cnt/LTimer(0) AND cnt/LTimer(1) AND -
      cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) -
      OR (NOT cnt/LTimer(0) AND cnt/LTimer(2) AND -
      cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) -
      OR (NOT cnt/LTimer(0) AND NOT cnt/IS_FSM_FFd1 AND -
      cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) -
      OR (NOT cnt/LTimer(0) AND cnt/IS_FSM_FFd2 AND -
      cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2)); +FTCPE_cnt/LTimer0: FTCPE port map (cnt/LTimer(0),'1',FCLK,'0','0',cnt/LTimer_CE(0)); +
     cnt/LTimer_CE(0) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); -FDCPE_cnt/LTimer1: FDCPE port map (cnt/LTimer(1),cnt/LTimer_D(1),FCLK,'0','0'); -
     cnt/LTimer_D(1) <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND -
      A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND -
      NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND -
      A_FSB(9) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND -
      A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND -
      NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(8) AND -
      fsb/ASrf AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND -
      A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND -
      NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND -
      A_FSB(9) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND -
      A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND -
      NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(8) AND -
      fsb/ASrf AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND -
      A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND -
      NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(9) AND -
      fsb/ASrf AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND -
      A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND -
      NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(9) AND -
      fsb/ASrf AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) -
      OR (NOT cnt/LTimer(0) AND NOT cnt/LTimer(1)) -
      OR (NOT cnt/LTimer(1) AND -
      NOT cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) -
      OR (cnt/LTimer(0) AND cnt/LTimer(1) AND -
      cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND -
      A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND -
      NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND -
      A_FSB(8) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND -
      A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND -
      NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND -
      A_FSB(8) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2)); +FTCPE_cnt/LTimer1: FTCPE port map (cnt/LTimer(1),cnt/LTimer(0),FCLK,'0','0',cnt/LTimer_CE(1)); +
     cnt/LTimer_CE(1) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); -FTCPE_cnt/LTimer2: FTCPE port map (cnt/LTimer(2),cnt/LTimer_T(2),FCLK,'0','0'); -
     cnt/LTimer_T(2) <= ((cnt/LTimer(2) AND cnt/IS_FSM_FFd1 AND -
      NOT cnt/IS_FSM_FFd2) -
      OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND -
      NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) -
      OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND -
      cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); +FTCPE_cnt/LTimer2: FTCPE port map (cnt/LTimer(2),cnt/LTimer_T(2),FCLK,'0','0',cnt/LTimer_CE(2)); +
     cnt/LTimer_T(2) <= (cnt/LTimer(0) AND cnt/LTimer(1)); +
     cnt/LTimer_CE(2) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); -FTCPE_cnt/LTimer3: FTCPE port map (cnt/LTimer(3),cnt/LTimer_T(3),FCLK,'0','0'); -
     cnt/LTimer_T(3) <= ((cnt/LTimer(3) AND cnt/IS_FSM_FFd1 AND -
      NOT cnt/IS_FSM_FFd2) -
      OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND -
      cnt/LTimer(2) AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) -
      OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND -
      cnt/LTimer(2) AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); +FTCPE_cnt/LTimer3: FTCPE port map (cnt/LTimer(3),cnt/LTimer_T(3),FCLK,'0','0',cnt/LTimer_CE(3)); +
     cnt/LTimer_T(3) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2)); +
     cnt/LTimer_CE(3) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); -FTCPE_cnt/LTimer4: FTCPE port map (cnt/LTimer(4),cnt/LTimer_T(4),FCLK,'0','0'); -
     cnt/LTimer_T(4) <= ((cnt/LTimer(4) AND cnt/IS_FSM_FFd1 AND -
      NOT cnt/IS_FSM_FFd2) -
      OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND -
      cnt/LTimer(2) AND cnt/LTimer(3) AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND -
      cnt/Er(1)) -
      OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND -
      cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND -
      cnt/Er(1))); +FTCPE_cnt/LTimer4: FTCPE port map (cnt/LTimer(4),cnt/LTimer_T(4),FCLK,'0','0',cnt/LTimer_CE(4)); +
     cnt/LTimer_T(4) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND +
      cnt/LTimer(3)); +
     cnt/LTimer_CE(4) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); -FTCPE_cnt/LTimer5: FTCPE port map (cnt/LTimer(5),cnt/LTimer_T(5),FCLK,'0','0'); -
     cnt/LTimer_T(5) <= ((cnt/LTimer(5) AND cnt/IS_FSM_FFd1 AND -
      NOT cnt/IS_FSM_FFd2) -
      OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND -
      cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND NOT cnt/IS_FSM_FFd1 AND -
      NOT cnt/Er(0) AND cnt/Er(1)) -
      OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND -
      cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/IS_FSM_FFd2 AND -
      NOT cnt/Er(0) AND cnt/Er(1))); +FTCPE_cnt/LTimer5: FTCPE port map (cnt/LTimer(5),cnt/LTimer_T(5),FCLK,'0','0',cnt/LTimer_CE(5)); +
     cnt/LTimer_T(5) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND +
      cnt/LTimer(3) AND cnt/LTimer(4)); +
     cnt/LTimer_CE(5) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); -FTCPE_cnt/LTimer6: FTCPE port map (cnt/LTimer(6),cnt/LTimer_T(6),FCLK,'0','0'); -
     cnt/LTimer_T(6) <= ((cnt/LTimer(6) AND cnt/IS_FSM_FFd1 AND -
      NOT cnt/IS_FSM_FFd2) -
      OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND +FTCPE_cnt/LTimer6: FTCPE port map (cnt/LTimer(6),cnt/LTimer_T(6),FCLK,'0','0',cnt/LTimer_CE(6)); +
     cnt/LTimer_T(6) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND +
      cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5)); +
     cnt/LTimer_CE(6) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); + +FTCPE_cnt/LTimer7: FTCPE port map (cnt/LTimer(7),cnt/LTimer_T(7),FCLK,'0','0',cnt/LTimer_CE(7)); +
     cnt/LTimer_T(7) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND +
      cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6)); +
     cnt/LTimer_CE(7) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); + +FTCPE_cnt/LTimer8: FTCPE port map (cnt/LTimer(8),cnt/LTimer_T(8),FCLK,'0','0',cnt/LTimer_CE(8)); +
     cnt/LTimer_T(8) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND +
      cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND +
      cnt/LTimer(7)); +
     cnt/LTimer_CE(8) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); + +FTCPE_cnt/LTimer9: FTCPE port map (cnt/LTimer(9),cnt/LTimer_T(9),FCLK,'0','0',cnt/LTimer_CE(9)); +
     cnt/LTimer_T(9) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND +
      cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND +
      cnt/LTimer(7) AND cnt/LTimer(8)); +
     cnt/LTimer_CE(9) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); + +FTCPE_cnt/LTimer10: FTCPE port map (cnt/LTimer(10),cnt/LTimer_T(10),FCLK,'0','0',cnt/LTimer_CE(10)); +
     cnt/LTimer_T(10) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND +
      cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND +
      cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9)); +
     cnt/LTimer_CE(10) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); + +FTCPE_cnt/LTimer11: FTCPE port map (cnt/LTimer(11),cnt/LTimer_T(11),FCLK,'0','0',cnt/LTimer_CE(11)); +
     cnt/LTimer_T(11) <= (cnt/LTimer(0) AND cnt/LTimer(10) AND cnt/LTimer(1) AND
      cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND -
      NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) -
      OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND -
      cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND -
      cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); +
      cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9)); +
     cnt/LTimer_CE(11) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); -FTCPE_cnt/LTimer7: FTCPE port map (cnt/LTimer(7),cnt/LTimer_T(7),FCLK,'0','0'); -
     cnt/LTimer_T(7) <= ((cnt/LTimer(7) AND cnt/IS_FSM_FFd1 AND -
      NOT cnt/IS_FSM_FFd2) -
      OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND -
      cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND -
      cnt/LTimer(6) AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) -
      OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND -
      cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND -
      cnt/LTimer(6) AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); - -FTCPE_cnt/LTimer8: FTCPE port map (cnt/LTimer(8),cnt/LTimer_T(8),FCLK,'0','0'); -
     cnt/LTimer_T(8) <= ((cnt/LTimer(8) AND cnt/IS_FSM_FFd1 AND -
      NOT cnt/IS_FSM_FFd2) -
      OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND -
      cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND -
      cnt/LTimer(6) AND cnt/LTimer(7) AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND -
      cnt/Er(1)) -
      OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND -
      cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND -
      cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND -
      cnt/Er(1))); - -FTCPE_cnt/LTimer9: FTCPE port map (cnt/LTimer(9),cnt/LTimer_T(9),FCLK,'0','0'); -
     cnt/LTimer_T(9) <= ((RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND -
      cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND -
      cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/IS_FSM_FFd2 AND -
      NOT cnt/Er(0) AND cnt/Er(1)) -
      OR (cnt/LTimer(9) AND cnt/IS_FSM_FFd1 AND -
      NOT cnt/IS_FSM_FFd2) -
      OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND -
      cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND -
      cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND NOT cnt/IS_FSM_FFd1 AND -
      NOT cnt/Er(0) AND cnt/Er(1))); - -FTCPE_cnt/LTimer10: FTCPE port map (cnt/LTimer(10),cnt/LTimer_T(10),FCLK,'0','0'); -
     cnt/LTimer_T(10) <= ((cnt/LTimer(10) AND cnt/IS_FSM_FFd1 AND -
      NOT cnt/IS_FSM_FFd2) -
      OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND -
      cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND -
      cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9) AND -
      NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) -
      OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND -
      cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND -
      cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9) AND -
      cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); - -FTCPE_cnt/LTimer11: FTCPE port map (cnt/LTimer(11),cnt/LTimer_T(11),FCLK,'0','0'); -
     cnt/LTimer_T(11) <= ((cnt/LTimer(11) AND cnt/IS_FSM_FFd1 AND -
      NOT cnt/IS_FSM_FFd2) -
      OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND -
      cnt/LTimer(10) AND cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND -
      cnt/LTimer(5) AND cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND -
      cnt/LTimer(9) AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) -
      OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND -
      cnt/LTimer(10) AND cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND -
      cnt/LTimer(5) AND cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND -
      cnt/LTimer(9) AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); - -FDCPE_cnt/LTimerTC: FDCPE port map (cnt/LTimerTC,cnt/LTimerTC_D,FCLK,'0','0'); -
     cnt/LTimerTC_D <= (NOT cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(10) AND +FDCPE_cnt/LTimerTC: FDCPE port map (cnt/LTimerTC,cnt/LTimerTC_D,FCLK,'0','0',cnt/LTimerTC_CE); +
     cnt/LTimerTC_D <= (NOT cnt/LTimer(0) AND cnt/LTimer(10) AND cnt/LTimer(1) AND
      cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND
      cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9) AND
      cnt/LTimer(11)); +
     cnt/LTimerTC_CE <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); +FDCPE_cnt/QS0: FDCPE port map (cnt/QS(0),cnt/QS_D(0),FCLK,'0','0'); +
     cnt/QS_D(0) <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND +
      A_FSB(13) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND +
      NOT nWE_FSB AND NOT nAS_FSB AND A_FSB(8)) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND +
      A_FSB(13) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND +
      NOT nWE_FSB AND NOT nAS_FSB AND A_FSB(9)) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND +
      A_FSB(13) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND +
      NOT nWE_FSB AND NOT nAS_FSB AND A_FSB(8)) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND +
      A_FSB(13) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND +
      NOT nWE_FSB AND A_FSB(9) AND fsb/ASrf) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND +
      A_FSB(13) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND +
      NOT nWE_FSB AND A_FSB(8) AND fsb/ASrf) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND +
      A_FSB(13) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND +
      NOT nWE_FSB AND A_FSB(9) AND fsb/ASrf) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND +
      A_FSB(13) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND +
      NOT nWE_FSB AND A_FSB(8) AND fsb/ASrf) +
      OR (cnt/QS(0) AND NOT cnt/TimerTC) +
      OR (cnt/QS(0) AND cnt/Er(0)) +
      OR (cnt/QS(0) AND NOT cnt/Er(1)) +
      OR (NOT cnt/QS(0) AND cnt/QS(1) AND cnt/TimerTC AND NOT cnt/Er(0) AND +
      cnt/Er(1)) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND +
      A_FSB(13) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND +
      NOT nWE_FSB AND NOT nAS_FSB AND A_FSB(9))); -cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +FDCPE_cnt/QS1: FDCPE port map (cnt/QS(1),cnt/QS_D(1),FCLK,'0','0'); +
     cnt/QS_D(1) <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND -
      A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND -
      NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(9) AND -
      fsb/ASrf) +
      A_FSB(13) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND +
      NOT nWE_FSB AND A_FSB(9) AND fsb/ASrf)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND -
      A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND -
      NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(9) AND -
      fsb/ASrf) +
      A_FSB(13) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND +
      NOT nWE_FSB AND A_FSB(8) AND fsb/ASrf)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND -
      A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND -
      NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(8) AND -
      fsb/ASrf) +
      A_FSB(13) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND +
      NOT nWE_FSB AND A_FSB(9) AND fsb/ASrf)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND -
      A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND -
      NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(8) AND -
      fsb/ASrf) +
      A_FSB(13) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND +
      NOT nWE_FSB AND A_FSB(8) AND fsb/ASrf)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND -
      A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND -
      NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND -
      A_FSB(9)) -
      OR (RefUrg AND NOT cnt/Er(0) AND cnt/Er(1)) -
      OR (NOT cnt/LTimer(0) AND NOT cnt/LTimer(1) AND NOT cnt/LTimer(10) AND -
      NOT cnt/LTimer(2) AND NOT cnt/LTimer(3) AND NOT cnt/LTimer(4) AND NOT cnt/LTimer(5) AND -
      NOT cnt/LTimer(6) AND NOT cnt/LTimer(7) AND NOT cnt/LTimer(8) AND NOT cnt/LTimer(9) AND -
      NOT cnt/LTimer(11) AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2) +
      A_FSB(13) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND +
      NOT nWE_FSB AND NOT nAS_FSB AND A_FSB(9))
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND -
      A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND -
      NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND -
      A_FSB(9)) +
      A_FSB(13) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND +
      NOT nWE_FSB AND NOT nAS_FSB AND A_FSB(8))
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND -
      A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND -
      NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND -
      A_FSB(8)) +
      A_FSB(13) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND +
      NOT nWE_FSB AND NOT nAS_FSB AND A_FSB(9))
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND -
      A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND -
      NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND -
      A_FSB(8))); +
      A_FSB(13) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND +
      NOT nWE_FSB AND NOT nAS_FSB AND A_FSB(8)) +
      OR (NOT cnt/QS(0) AND NOT cnt/QS(1)) +
      OR (NOT cnt/QS(1) AND NOT cnt/TimerTC) +
      OR (NOT cnt/QS(1) AND cnt/Er(0)) +
      OR (NOT cnt/QS(1) AND NOT cnt/Er(1)) +
      OR (cnt/QS(0) AND cnt/QS(1) AND cnt/TimerTC AND NOT cnt/Er(0) AND +
      cnt/Er(1))); FTCPE_cnt/Timer0: FTCPE port map (cnt/Timer(0),cnt/Timer_T(0),FCLK,'0','0',cnt/Timer_CE(0)); -
     cnt/Timer_T(0) <= (RefUrg AND NOT cnt/Timer(0) AND NOT cnt/Er(0) AND cnt/Er(1)); +
     cnt/Timer_T(0) <= (NOT cnt/Timer(0) AND cnt/TimerTC AND NOT cnt/Er(0) AND +
      cnt/Er(1));
     cnt/Timer_CE(0) <= (NOT cnt/Er(0) AND cnt/Er(1)); FDCPE_cnt/Timer1: FDCPE port map (cnt/Timer(1),cnt/Timer_D(1),FCLK,'0','0',cnt/Timer_CE(1));
     cnt/Timer_D(1) <= ((cnt/Timer(0) AND cnt/Timer(1))
      OR (NOT cnt/Timer(0) AND NOT cnt/Timer(1)) -
      OR (RefUrg AND NOT cnt/Er(0) AND cnt/Er(1))); +
      OR (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)));
     cnt/Timer_CE(1) <= (NOT cnt/Er(0) AND cnt/Er(1)); FDCPE_cnt/Timer2: FDCPE port map (cnt/Timer(2),cnt/Timer_D(2),FCLK,'0','0',cnt/Timer_CE(2));
     cnt/Timer_D(2) <= ((NOT cnt/Timer(0) AND NOT cnt/Timer(2))
      OR (NOT cnt/Timer(1) AND NOT cnt/Timer(2)) -
      OR (RefUrg AND NOT cnt/Er(0) AND cnt/Er(1)) -
      OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2))); +
      OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2)) +
      OR (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)));
     cnt/Timer_CE(2) <= (NOT cnt/Er(0) AND cnt/Er(1)); FTCPE_cnt/Timer3: FTCPE port map (cnt/Timer(3),cnt/Timer_T(3),FCLK,'0','0',cnt/Timer_CE(3)); -
     cnt/Timer_T(3) <= ((RefUrg AND cnt/Timer(3) AND NOT cnt/Er(0) AND cnt/Er(1)) -
      OR (NOT RefUrg AND cnt/Timer(0) AND cnt/Timer(1) AND -
      cnt/Timer(2)) +
     cnt/Timer_T(3) <= ((cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2) AND +
      NOT cnt/TimerTC)
      OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2) AND
      cnt/Er(0))
      OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2) AND -
      NOT cnt/Er(1))); +
      NOT cnt/Er(1)) +
      OR (cnt/Timer(3) AND cnt/TimerTC AND NOT cnt/Er(0) AND +
      cnt/Er(1)));
     cnt/Timer_CE(3) <= (NOT cnt/Er(0) AND cnt/Er(1)); -FTCPE_cnt/WS0: FTCPE port map (cnt/WS(0),cnt/WS_T(0),FCLK,'0','0'); -
     cnt/WS_T(0) <= (nAS_FSB AND NOT cnt/WS(0) AND NOT fsb/ASrf); +FDCPE_cnt/TimerTC: FDCPE port map (cnt/TimerTC,cnt/TimerTC_D,FCLK,'0','0',cnt/TimerTC_CE); +
     cnt/TimerTC_D <= (cnt/Timer(0) AND NOT cnt/Timer(1) AND NOT cnt/Timer(2) AND +
      cnt/Timer(3)); +
     cnt/TimerTC_CE <= (NOT cnt/Er(0) AND cnt/Er(1)); -FDCPE_cnt/WS1: FDCPE port map (cnt/WS(1),cnt/WS_D(1),FCLK,'0','0'); -
     cnt/WS_D(1) <= ((nAS_FSB AND NOT fsb/ASrf) -
      OR (NOT cnt/WS(0) AND NOT cnt/WS(1)) -
      OR (cnt/WS(0) AND cnt/WS(1))); +FTCPE_cnt/Wait0: FTCPE port map (cnt/Wait(0),cnt/Wait_T(0),FCLK,'0','0'); +
     cnt/Wait_T(0) <= (nAS_FSB AND NOT cnt/Wait(0) AND NOT fsb/ASrf); -FTCPE_cnt/WS2: FTCPE port map (cnt/WS(2),cnt/WS_T(2),FCLK,'0','0'); -
     cnt/WS_T(2) <= ((nAS_FSB AND cnt/WS(2) AND NOT fsb/ASrf) -
      OR (NOT nAS_FSB AND cnt/WS(0) AND cnt/WS(1)) -
      OR (cnt/WS(0) AND cnt/WS(1) AND fsb/ASrf)); +FDCPE_cnt/Wait1: FDCPE port map (cnt/Wait(1),cnt/Wait_D(1),FCLK,'0','0'); +
     cnt/Wait_D(1) <= ((nAS_FSB AND NOT fsb/ASrf) +
      OR (cnt/Wait(0) AND cnt/Wait(1)) +
      OR (NOT cnt/Wait(0) AND NOT cnt/Wait(1))); -FTCPE_cnt/WS3: FTCPE port map (cnt/WS(3),cnt/WS_T(3),FCLK,'0','0'); -
     cnt/WS_T(3) <= ((nAS_FSB AND cnt/WS(3) AND NOT fsb/ASrf) -
      OR (NOT nAS_FSB AND cnt/WS(0) AND cnt/WS(1) AND cnt/WS(2)) -
      OR (cnt/WS(0) AND cnt/WS(1) AND cnt/WS(2) AND fsb/ASrf)); +FTCPE_cnt/Wait2: FTCPE port map (cnt/Wait(2),cnt/Wait_T(2),FCLK,'0','0'); +
     cnt/Wait_T(2) <= ((nAS_FSB AND cnt/Wait(2) AND NOT fsb/ASrf) +
      OR (NOT nAS_FSB AND cnt/Wait(0) AND cnt/Wait(1)) +
      OR (cnt/Wait(0) AND cnt/Wait(1) AND fsb/ASrf)); + +FTCPE_cnt/Wait3: FTCPE port map (cnt/Wait(3),cnt/Wait_T(3),FCLK,'0','0'); +
     cnt/Wait_T(3) <= ((nAS_FSB AND cnt/Wait(3) AND NOT fsb/ASrf) +
      OR (NOT nAS_FSB AND cnt/Wait(0) AND cnt/Wait(1) AND +
      cnt/Wait(2)) +
      OR (cnt/Wait(0) AND cnt/Wait(1) AND cnt/Wait(2) AND +
      fsb/ASrf)); + +FTCPE_cnt/Wait4: FTCPE port map (cnt/Wait(4),cnt/Wait_T(4),FCLK,'0','0'); +
     cnt/Wait_T(4) <= ((nAS_FSB AND cnt/Wait(4) AND NOT fsb/ASrf) +
      OR (NOT nAS_FSB AND cnt/Wait(0) AND cnt/Wait(1) AND +
      cnt/Wait(2) AND cnt/Wait(3)) +
      OR (cnt/Wait(0) AND cnt/Wait(1) AND cnt/Wait(2) AND +
      cnt/Wait(3) AND fsb/ASrf)); FDCPE_cnt/nIPL2r: FDCPE port map (cnt/nIPL2r,nIPL2,FCLK,'0','0'); -FDCPE_cs/ODCSr: FDCPE port map (cs/ODCSr,cs/ODCSr_D,FCLK,'0','0'); -
     cs/ODCSr_D <= ((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND -
      NOT nAS_FSB) -
      OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND -
      fsb/ASrf)); - FTCPE_cs/nOverlay: FTCPE port map (cs/nOverlay,cs/nOverlay_T,FCLK,'0','0');
     cs/nOverlay_T <= ((NOT nRES.PIN AND cs/nOverlay AND nAS_FSB AND NOT fsb/ASrf) -
      OR (nRES.PIN AND NOT cs/nOverlay AND nAS_FSB AND cs/ODCSr AND -
      NOT fsb/ASrf)); +
      OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND +
      NOT cs/nOverlay AND NOT nAS_FSB) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND +
      NOT cs/nOverlay AND fsb/ASrf)); FDCPE_fsb/ASrf: FDCPE port map (fsb/ASrf,NOT nAS_FSB,NOT FCLK,'0','0'); @@ -688,37 +595,34 @@ FDCPE_iobs/IODONEr: FDCPE port map (iobs/IODONEr,IODONE,FCLK,'0','0'); FDCPE_iobs/IOL1: FDCPE port map (iobs/IOL1,NOT nLDS_FSB,FCLK,'0','0',iobs/Load1); FTCPE_iobs/IORW1: FTCPE port map (iobs/IORW1,iobs/IORW1_T,FCLK,'0','0'); -
     iobs/IORW1_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND -
      NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd1 AND -
      fsb/ASrf AND nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND -
      NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd2 AND -
      fsb/ASrf AND nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND -
      NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd1 AND -
      fsb/ASrf AND nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND -
      NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd2 AND -
      fsb/ASrf AND nADoutLE1) +
     iobs/IORW1_T <= ((cs/nOverlay.EXP)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND
      NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND
      iobs/TS_FSM_FFd1 AND nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND +
      NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd2 AND +
      fsb/ASrf AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND +
      NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND +
      iobs/TS_FSM_FFd1 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND +
      NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd1 AND +
      fsb/ASrf AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND +
      NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd2 AND +
      fsb/ASrf AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND
      NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND
      iobs/TS_FSM_FFd2 AND nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND
      NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND -
      iobs/TS_FSM_FFd1 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND -
      NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND
      iobs/TS_FSM_FFd2 AND nADoutLE1)); FDCPE_iobs/IOU1: FDCPE port map (iobs/IOU1,NOT nUDS_FSB,FCLK,'0','0',iobs/Load1); @@ -726,11 +630,11 @@ FDCPE_iobs/IOU1: FDCPE port map (iobs/IOU1,NOT nUDS_FSB,FCLK,'0','0',iobs/Load1) FDCPE_iobs/Load1: FDCPE port map (iobs/Load1,iobs/Load1_D,FCLK,'0','0');
     iobs/Load1_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND -
      NOT iobs/Sent AND NOT nWE_FSB AND iobs/TS_FSM_FFd1 AND fsb/ASrf AND +
      NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd1 AND
      nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND -
      NOT iobs/Sent AND NOT nWE_FSB AND iobs/TS_FSM_FFd2 AND fsb/ASrf AND +
      NOT iobs/Sent AND NOT nWE_FSB AND iobs/TS_FSM_FFd1 AND fsb/ASrf AND
      nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND @@ -738,11 +642,11 @@ FDCPE_iobs/Load1: FDCPE port map (iobs/Load1,iobs/Load1_D,FCLK,'0','0');
      nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND -
      NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd1 AND +
      NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd2 AND
      nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND -
      NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd2 AND +
      NOT iobs/Sent AND NOT nWE_FSB AND iobs/TS_FSM_FFd2 AND fsb/ASrf AND
      nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND @@ -758,7 +662,9 @@ FDCPE_iobs/Load1: FDCPE port map (iobs/Load1,iobs/Load1_D,FCLK,'0','0');
      nADoutLE1)); FTCPE_iobs/Sent: FTCPE port map (iobs/Sent,iobs/Sent_T,FCLK,'0','0'); -
     iobs/Sent_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
     iobs/Sent_T <= ((A_FSB(22) AND NOT iobs/Sent AND NOT cs/nOverlay AND +
      NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND
      NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND @@ -766,6 +672,9 @@ FTCPE_iobs/Sent: FTCPE port map (iobs/Sent,iobs/Sent_T,FCLK,'0','0');
      NOT iobs/Sent AND NOT nWE_FSB AND fsb/ASrf AND nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND +
      NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND
      NOT iobs/Sent AND NOT nWE_FSB AND fsb/ASrf AND nADoutLE1)
      OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/Sent AND
      NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) @@ -773,11 +682,6 @@ FTCPE_iobs/Sent: FTCPE port map (iobs/Sent,iobs/Sent_T,FCLK,'0','0');
      NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1)
      OR (A_FSB(22) AND NOT iobs/Sent AND NOT cs/nOverlay AND NOT nAS_FSB AND
      NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) -
      OR (A_FSB(22) AND NOT iobs/Sent AND NOT cs/nOverlay AND -
      NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND -
      NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND nADoutLE1)
      OR (iobs/Sent AND nAS_FSB AND NOT fsb/ASrf)
      OR (A_FSB(23) AND NOT iobs/Sent AND NOT nAS_FSB AND
      NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) @@ -793,20 +697,29 @@ FDCPE_iobs/TS_FSM_FFd1: FDCPE port map (iobs/TS_FSM_FFd1,iobs/TS_FSM_FFd1_D,FCLK
      OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr)); FDCPE_iobs/TS_FSM_FFd2: FDCPE port map (iobs/TS_FSM_FFd2,iobs/TS_FSM_FFd2_D,FCLK,'0','0'); -
     iobs/TS_FSM_FFd2_D <= ((nRAS_OBUF.EXP) -
      OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND -
      nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND +
     iobs/TS_FSM_FFd2_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20) AND
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18) AND +
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(17) AND +
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16) AND
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB AND +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19) AND +
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(13) AND NOT A_FSB(14) AND +
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND cs/nOverlay AND
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
      OR (iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2)
      OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr) -
      OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1)); +
      OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) +
      OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND +
      nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB AND +
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1)); nADoutLE0 <= (NOT ALE0M AND NOT ALE0S); @@ -831,8 +744,8 @@ FDCPE_nAoutOE: FDCPE port map (nAoutOE,nAoutOE_D,FCLK,'0','0');
      OR (cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND NOT nAoutOE)); FDCPE_nBERR_FSB: FDCPE port map (nBERR_FSB,nBERR_FSB_D,FCLK,'0','0'); -
     nBERR_FSB_D <= ((NOT IOBERR AND nBERR_FSB) -
      OR (NOT iobs/Sent AND nBERR_FSB) +
     nBERR_FSB_D <= ((NOT iobs/Sent AND nBERR_FSB) +
      OR (NOT IOBERR AND nBERR_FSB)
      OR (nAS_FSB AND NOT fsb/ASrf)); FTCPE_nBR_IOB: FTCPE port map (nBR_IOB,nBR_IOB_T,FCLK,'0','0'); @@ -840,7 +753,25 @@ FTCPE_nBR_IOB: FTCPE port map (nBR_IOB,nBR_IOB_T,FCLK,'0','0');
      OR (NOT nBR_IOB AND NOT cnt/IS_FSM_FFd1 AND cnt/IS_FSM_FFd2 AND
      NOT cnt/nIPL2r)); -FDCPE_nCAS: FDCPE port map (nCAS,NOT ram/CAS,NOT FCLK,'0','0'); +FDCPE_nCAS: FDCPE port map (nCAS,nCAS_D,NOT FCLK,'0','0'); +
     nCAS_D <= ((ram/RS_FSM_FFd1) +
      OR (ram/RS_FSM_FFd2) +
      OR (ram/RS_FSM_FFd3) +
      OR (NOT RefUrg AND ram/RS_FSM_FFd4) +
      OR (ram/RefDone AND ram/RS_FSM_FFd8) +
      OR (ram/RefDone AND ram/RS_FSM_FFd4) +
      OR (ram/RefDone AND ram/RS_FSM_FFd7) +
      OR (NOT RefUrg AND NOT RefReq AND ram/RS_FSM_FFd8) +
      OR (NOT RefUrg AND ram/RS_FSM_FFd8 AND BACTr) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT RefUrg AND +
      ram/RS_FSM_FFd8) +
      OR (NOT RefUrg AND nAS_FSB AND ram/RS_FSM_FFd8 AND NOT fsb/ASrf) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT nAS_FSB AND +
      ram/RS_FSM_FFd8 AND ram/RASEN) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RS_FSM_FFd8 AND +
      ram/RASEN AND fsb/ASrf) +
      OR (NOT RefUrg AND ram/RS_FSM_FFd7) +
      OR (ram/DTACKr AND ram/RS_FSM_FFd5)); FDCPE_nDTACK_FSB: FDCPE port map (nDTACK_FSB,nDTACK_FSB_D,FCLK,'0','0');
     nDTACK_FSB_D <= ((NOT QoSReady) @@ -848,11 +779,11 @@ FDCPE_nDTACK_FSB: FDCPE port map (nDTACK_FSB,nDTACK_FSB_D,FCLK,'0','0');
      OR (A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16))
      OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND -
      A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND NOT nWE_FSB AND NOT IONPReady AND -
      NOT IOPWReady) +
      A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND NOT iobs/Sent AND NOT nWE_FSB AND +
      NOT IONPReady AND NOT nADoutLE1)
      OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND -
      A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND NOT nWE_FSB AND NOT IONPReady AND -
      NOT IOPWReady) +
      A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND NOT iobs/Sent AND NOT nWE_FSB AND +
      NOT IONPReady AND NOT nADoutLE1)
      OR (A_FSB(23) AND NOT IONPReady)
      OR (nAS_FSB AND NOT fsb/ASrf)
      OR (A_FSB(22) AND A_FSB(21) AND NOT IONPReady) @@ -862,9 +793,11 @@ FDCPE_nDinLE: FDCPE port map (nDinLE,nDinLE_D,NOT C16M,'0','0');
     nDinLE_D <= (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4); -nDinOE <= NOT (((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB) -
      OR (A_FSB(22) AND A_FSB(21) AND nWE_FSB AND NOT nAS_FSB) -
      OR (A_FSB(22) AND A_FSB(20) AND nWE_FSB AND NOT nAS_FSB))); +nDinOE <= NOT (((A_FSB(23) AND cs/nOverlay AND nWE_FSB AND NOT nAS_FSB) +
      OR (A_FSB(22) AND A_FSB(21) AND cs/nOverlay AND nWE_FSB AND +
      NOT nAS_FSB) +
      OR (A_FSB(22) AND A_FSB(20) AND cs/nOverlay AND nWE_FSB AND +
      NOT nAS_FSB))); nDoutOE <= NOT (((iobm/DoutOE AND NOT nAoutOE) @@ -881,20 +814,22 @@ FDCPE_nLDS_IOB: FDCPE port map (nLDS_IOB_I,nLDS_IOB,NOT C16M,'0','0');
     nLDS_IOB <= nLDS_IOB_I when nLDS_IOB_OE = '1' else 'Z';
     nLDS_IOB_OE <= NOT nAoutOE; - -nOE <= NOT ((nWE_FSB AND NOT nAS_FSB)); +FDCPE_nOE: FDCPE port map (nOE,nOE_D,FCLK,'0','0'); +
     nOE_D <= ((NOT nWE_FSB) +
      OR (nAS_FSB AND NOT fsb/ASrf) +
      OR (ram/DTACKr AND BACTr)); -nRAMLWE <= NOT ((ram/RAMEN AND NOT nWE_FSB AND NOT nLDS_FSB AND NOT nAS_FSB)); +nRAMLWE <= NOT ((NOT nWE_FSB AND NOT nLDS_FSB AND ram/RASEL)); -nRAMUWE <= NOT ((ram/RAMEN AND NOT nWE_FSB AND NOT nUDS_FSB AND NOT nAS_FSB)); +nRAMUWE <= NOT ((NOT nWE_FSB AND NOT nUDS_FSB AND ram/RASEL)); nRAS <= NOT (((ram/RASrf)
      OR (ram/RASrr) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND -
      NOT nAS_FSB))); +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND +
      ram/RASEN))); nRES_I <= '0'; @@ -905,8 +840,8 @@ FDCPE_nRESout: FDCPE port map (nRESout,nRESout_D,FCLK,'0','0');
     nRESout_D <= (cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2); -nROMCS <= NOT (((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20)) -
      OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND NOT cs/nOverlay))); +nROMCS <= NOT (((NOT cs/nOverlay) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20)))); nROMWE <= NOT ((NOT nWE_FSB AND NOT nAS_FSB)); @@ -931,98 +866,130 @@ FTCPE_nVMA_IOB: FTCPE port map (nVMA_IOB_I,nVMA_IOB_T,C8M,'0','0'); FDCPE_nVPA_FSB: FDCPE port map (nVPA_FSB,nVPA_FSB_D,FCLK,'0',nAS_FSB);
     nVPA_FSB_D <= ((A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND IONPReady AND -
      NOT nAS_FSB AND QoSReady) +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND QoSReady AND +
      IONPReady AND NOT nAS_FSB)
      OR (A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND IONPReady AND -
      QoSReady AND fsb/ASrf)); +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND QoSReady AND +
      IONPReady AND fsb/ASrf)); -FDCPE_ram/CAS: FDCPE port map (ram/CAS,ram/CAS_D,FCLK,'0','0'); -
     ram/CAS_D <= ((ram/RS_FSM_FFd7) -
      OR (ram/RS_FSM_FFd6) -
      OR (RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd5) -
      OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND -
      ram/RS_FSM_FFd8 AND NOT fsb/ASrf) -
      OR (NOT ram/RefDone AND RefReq AND nAS_FSB AND -
      ram/RS_FSM_FFd8 AND NOT fsb/ASrf) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND -
      NOT nAS_FSB AND ram/RS_FSM_FFd8) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND -
      ram/RS_FSM_FFd8 AND fsb/ASrf)); - -FTCPE_ram/Once: FTCPE port map (ram/Once,ram/Once_T,FCLK,'0','0'); -
     ram/Once_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND -
      NOT ram/Once AND NOT nAS_FSB AND ram/RS_FSM_FFd8) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND -
      NOT ram/Once AND ram/RS_FSM_FFd8 AND fsb/ASrf) -
      OR (ram/Once AND nAS_FSB AND NOT fsb/ASrf)); - -FDCPE_ram/RAMEN: FDCPE port map (ram/RAMEN,ram/RAMEN_D,FCLK,'0','0'); -
     ram/RAMEN_D <= ((ram/RS_FSM_FFd7) -
      OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND -
      ram/RS_FSM_FFd8 AND NOT fsb/ASrf) -
      OR (NOT ram/RAMEN AND ram/Once AND NOT nAS_FSB) -
      OR (NOT ram/RAMEN AND ram/Once AND fsb/ASrf) -
      OR (NOT ram/RAMEN AND NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4) -
      OR (NOT ram/RefDone AND RefReq AND nAS_FSB AND -
      ram/RS_FSM_FFd8 AND NOT fsb/ASrf)); +FDCPE_ram/DTACKr: FDCPE port map (ram/DTACKr,NOT nDTACK_FSB,FCLK,'0','0'); FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,FCLK,'0','0'); -
     ram/RASEL_D <= ((ram/RS_FSM_FFd7) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND -
      NOT nAS_FSB AND ram/RS_FSM_FFd8) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND -
      ram/RS_FSM_FFd8 AND fsb/ASrf)); +
     ram/RASEL_D <= ((ram/RS_FSM_FFd6) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND +
      ram/RS_FSM_FFd8 AND ram/RASEN) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND +
      ram/RS_FSM_FFd8 AND ram/RASEN AND fsb/ASrf)); -FDCPE_ram/RASrf: FDCPE port map (ram/RASrf,ram/RS_FSM_FFd7,NOT FCLK,'0','0'); +FDCPE_ram/RASEN: FDCPE port map (ram/RASEN,ram/RASEN_D,FCLK,'0','0'); +
     ram/RASEN_D <= ((RefUrg AND NOT ram/RefDone AND nAS_FSB AND +
      NOT ram/RS_FSM_FFd1 AND NOT fsb/ASrf) +
      OR (A_FSB(23) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND +
      NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT BACTr) +
      OR (A_FSB(23) AND RefReq AND NOT ram/RefDone AND +
      NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT BACTr AND fsb/ASrf) +
      OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND +
      NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT BACTr) +
      OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND +
      NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT BACTr AND fsb/ASrf) +
      OR (NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4 AND +
      NOT ram/RS_FSM_FFd1) +
      OR (A_FSB(23) AND RefUrg AND NOT ram/RefDone AND +
      NOT ram/RS_FSM_FFd1) +
      OR (A_FSB(22) AND RefUrg AND NOT ram/RefDone AND +
      NOT ram/RS_FSM_FFd1) +
      OR (RefUrg AND NOT ram/RefDone AND NOT ram/RS_FSM_FFd8 AND +
      NOT ram/RS_FSM_FFd1) +
      OR (RefUrg AND NOT ram/RefDone AND NOT ram/RASEN AND +
      NOT ram/RS_FSM_FFd1)); + +FDCPE_ram/RASrf: FDCPE port map (ram/RASrf,ram/RS_FSM_FFd6,NOT FCLK,'0','0'); FDCPE_ram/RASrr: FDCPE port map (ram/RASrr,ram/RASrr_D,FCLK,'0','0'); -
     ram/RASrr_D <= ((ram/RS_FSM_FFd3) -
      OR (ram/RS_FSM_FFd6) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND -
      NOT nAS_FSB AND ram/RS_FSM_FFd8) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND -
      ram/RS_FSM_FFd8 AND fsb/ASrf)); +
     ram/RASrr_D <= ((ram/RS_FSM_FFd7) +
      OR (IONPReady.EXP) +
      OR (A_FSB(23) AND RefUrg AND NOT ram/RefDone AND +
      ram/RS_FSM_FFd8) +
      OR (A_FSB(22) AND RefUrg AND NOT ram/RefDone AND +
      ram/RS_FSM_FFd8) +
      OR (RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd8 AND +
      NOT ram/RASEN) +
      OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND +
      ram/RS_FSM_FFd8 AND NOT fsb/ASrf) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND +
      ram/RS_FSM_FFd8 AND ram/RASEN) +
      OR (RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd4)); FDCPE_ram/RS_FSM_FFd1: FDCPE port map (ram/RS_FSM_FFd1,ram/RS_FSM_FFd2,FCLK,'0','0'); FDCPE_ram/RS_FSM_FFd2: FDCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd3,FCLK,'0','0'); -FDCPE_ram/RS_FSM_FFd3: FDCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd6,FCLK,'0','0'); +FDCPE_ram/RS_FSM_FFd3: FDCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd7,FCLK,'0','0'); FDCPE_ram/RS_FSM_FFd4: FDCPE port map (ram/RS_FSM_FFd4,ram/RS_FSM_FFd4_D,FCLK,'0','0'); -
     ram/RS_FSM_FFd4_D <= ((NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd1) -
      OR (RefUrg AND NOT ram/RefDone AND NOT ram/RS_FSM_FFd1)); +
     ram/RS_FSM_FFd4_D <= (ram/DTACKr AND ram/RS_FSM_FFd5); -FDCPE_ram/RS_FSM_FFd5: FDCPE port map (ram/RS_FSM_FFd5,ram/RS_FSM_FFd7,FCLK,'0','0'); +FDCPE_ram/RS_FSM_FFd5: FDCPE port map (ram/RS_FSM_FFd5,ram/RS_FSM_FFd5_D,FCLK,'0','0'); +
     ram/RS_FSM_FFd5_D <= ((ram/RS_FSM_FFd6) +
      OR (NOT ram/DTACKr AND ram/RS_FSM_FFd5)); FDCPE_ram/RS_FSM_FFd6: FDCPE port map (ram/RS_FSM_FFd6,ram/RS_FSM_FFd6_D,FCLK,'0','0'); -
     ram/RS_FSM_FFd6_D <= ((RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd5) -
      OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND -
      ram/RS_FSM_FFd8 AND NOT fsb/ASrf) -
      OR (NOT ram/RefDone AND RefReq AND nAS_FSB AND -
      ram/RS_FSM_FFd8 AND NOT fsb/ASrf)); +
     ram/RS_FSM_FFd6_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND +
      ram/RS_FSM_FFd8 AND ram/RASEN) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND +
      ram/RS_FSM_FFd8 AND ram/RASEN AND fsb/ASrf)); FDCPE_ram/RS_FSM_FFd7: FDCPE port map (ram/RS_FSM_FFd7,ram/RS_FSM_FFd7_D,FCLK,'0','0'); -
     ram/RS_FSM_FFd7_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND -
      NOT nAS_FSB AND ram/RS_FSM_FFd8) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND -
      ram/RS_FSM_FFd8 AND fsb/ASrf)); +
     ram/RS_FSM_FFd7_D <= ((RefUrg AND NOT ram/RefDone AND nAS_FSB AND +
      ram/RS_FSM_FFd8 AND NOT fsb/ASrf) +
      OR (A_FSB(23) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND +
      ram/RS_FSM_FFd8 AND NOT BACTr) +
      OR (A_FSB(23) AND RefReq AND NOT ram/RefDone AND +
      ram/RS_FSM_FFd8 AND NOT BACTr AND fsb/ASrf) +
      OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND +
      ram/RS_FSM_FFd8 AND NOT BACTr) +
      OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND +
      ram/RS_FSM_FFd8 AND NOT BACTr AND fsb/ASrf) +
      OR (RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd4) +
      OR (A_FSB(23) AND RefUrg AND NOT ram/RefDone AND +
      ram/RS_FSM_FFd8) +
      OR (A_FSB(22) AND RefUrg AND NOT ram/RefDone AND +
      ram/RS_FSM_FFd8) +
      OR (RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd8 AND +
      NOT ram/RASEN)); FDCPE_ram/RS_FSM_FFd8: FDCPE port map (ram/RS_FSM_FFd8,ram/RS_FSM_FFd8_D,FCLK,'0','0'); -
     ram/RS_FSM_FFd8_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND -
      NOT ram/RS_FSM_FFd4 AND fsb/ASrf) -
      OR (NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4) +
     ram/RS_FSM_FFd8_D <= ((A_FSB(23) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND +
      NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT BACTr) +
      OR (A_FSB(23) AND RefReq AND NOT ram/RefDone AND +
      NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT BACTr AND fsb/ASrf) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND +
      NOT ram/RS_FSM_FFd4 AND ram/RASEN AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf) +
      OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND +
      NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT BACTr) +
      OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND +
      NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT BACTr AND fsb/ASrf) +
      OR (RefUrg AND NOT ram/RefDone AND NOT ram/RS_FSM_FFd8 AND +
      NOT ram/RS_FSM_FFd1)
      OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND -
      NOT ram/RS_FSM_FFd4 AND NOT fsb/ASrf) -
      OR (NOT ram/RefDone AND RefReq AND nAS_FSB AND -
      NOT ram/RS_FSM_FFd4 AND NOT fsb/ASrf) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND -
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd4)); +
      NOT ram/RS_FSM_FFd1 AND NOT fsb/ASrf) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND +
      NOT ram/RS_FSM_FFd4 AND ram/RASEN AND NOT ram/RS_FSM_FFd1) +
      OR (NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4 AND +
      NOT ram/RS_FSM_FFd1) +
      OR (A_FSB(23) AND RefUrg AND NOT ram/RefDone AND +
      NOT ram/RS_FSM_FFd1) +
      OR (A_FSB(22) AND RefUrg AND NOT ram/RefDone AND +
      NOT ram/RS_FSM_FFd1) +
      OR (RefUrg AND cs/nOverlay AND NOT ram/RefDone AND +
      NOT ram/RS_FSM_FFd1) +
      OR (RefUrg AND NOT ram/RefDone AND NOT ram/RASEN AND +
      NOT ram/RS_FSM_FFd1)); FDCPE_ram/RefDone: FDCPE port map (ram/RefDone,ram/RefDone_D,FCLK,'0','0');
     ram/RefDone_D <= ((NOT RefUrg AND NOT RefReq) -
      OR (NOT ram/RefDone AND NOT ram/RS_FSM_FFd3 AND NOT ram/RS_FSM_FFd2)); +
      OR (NOT ram/RefDone AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd7 AND +
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3)); Register Legend:
      FDCPE (Q,D,C,CLR,PRE,CE); diff --git a/cpld/XC95144XL/WarpSE_html/fit/eqns.htm b/cpld/XC95144XL/WarpSE_html/fit/eqns.htm index a71522c..c7a119f 100644 --- a/cpld/XC95144XL/WarpSE_html/fit/eqns.htm +++ b/cpld/XC95144XL/WarpSE_html/fit/eqns.htm @@ -3,9 +3,9 @@ -
-
FCLK to nLDS_IOB + +
FCLK to nDinOE 0.000 14.500 -14.500