From 0a532676a141ee53dff6ecefa9564a2b2a8f2338 Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Thu, 13 Jul 2023 16:03:30 -0400 Subject: [PATCH 1/7] Fix some CPLD typos --- cpld/CNT.v | 17 +- cpld/RAM.v | 4 +- cpld/WarpSE.v | 2 +- cpld/XC95144XL/WarpSE.bld | 2 +- cpld/XC95144XL/WarpSE.cmd_log | 13 + cpld/XC95144XL/WarpSE.gise | 31 +- cpld/XC95144XL/WarpSE.gyd | 57 +- cpld/XC95144XL/WarpSE.jed | 2500 ++--- cpld/XC95144XL/WarpSE.mfd | 1817 ++-- cpld/XC95144XL/WarpSE.nga | 2 +- cpld/XC95144XL/WarpSE.ngc | 2 +- cpld/XC95144XL/WarpSE.ngd | 2 +- cpld/XC95144XL/WarpSE.ngr | 2 +- cpld/XC95144XL/WarpSE.pad | 129 - cpld/XC95144XL/WarpSE.rpt | 2350 ++--- cpld/XC95144XL/WarpSE.syr | 131 +- cpld/XC95144XL/WarpSE.vm6 | 8850 +++++++++-------- cpld/XC95144XL/WarpSE.xml | 3 - cpld/XC95144XL/WarpSE_build.xml | 235 - cpld/XC95144XL/WarpSE_html/fit/ascii.htm | 1269 +-- cpld/XC95144XL/WarpSE_html/fit/defeqns.htm | 561 +- cpld/XC95144XL/WarpSE_html/fit/eqns.htm | 1206 +-- cpld/XC95144XL/WarpSE_html/fit/fbs.htm | 26 +- cpld/XC95144XL/WarpSE_html/fit/fbs_FB1.htm | 74 +- cpld/XC95144XL/WarpSE_html/fit/fbs_FB2.htm | 66 +- cpld/XC95144XL/WarpSE_html/fit/fbs_FB3.htm | 113 +- cpld/XC95144XL/WarpSE_html/fit/fbs_FB4.htm | 115 +- cpld/XC95144XL/WarpSE_html/fit/fbs_FB5.htm | 109 +- cpld/XC95144XL/WarpSE_html/fit/fbs_FB7.htm | 2 +- cpld/XC95144XL/WarpSE_html/fit/fbs_FB8.htm | 4 +- .../XC95144XL/WarpSE_html/fit/maplogic_00.htm | 598 +- .../XC95144XL/WarpSE_html/fit/maplogic_01.htm | 364 +- .../XC95144XL/WarpSE_html/fit/maplogic_02.htm | 490 +- cpld/XC95144XL/WarpSE_html/fit/summary.htm | 14 +- cpld/XC95144XL/WarpSE_html/fit/time.htm | 1096 ++ cpld/XC95144XL/WarpSE_ngdbuild.xrpt | 40 +- cpld/XC95144XL/WarpSE_pad.csv | 129 - cpld/XC95144XL/WarpSE_summary.html | 14 +- cpld/XC95144XL/WarpSE_xst.xrpt | 45 +- cpld/XC95144XL/_ngo/netlist.lst | 2 +- cpld/XC95144XL/_xmsgs/cpldfit.xmsgs | 7 +- cpld/XC95144XL/_xmsgs/pn_parser.xmsgs | 2 +- cpld/XC95144XL/_xmsgs/xst.xmsgs | 21 +- cpld/XC95144XL/errors.xml | 32 + cpld/XC95144XL/iseconfig/WarpSE.projectmgr | 2 +- cpld/XC95144XL/iseconfig/WarpSE.xreport | 2 +- cpld/XC95144XL/tmperr.err | 32 + cpld/XC95144XL/webtalk_pn.xml | 4 +- cpld/XC95144XL/xlnx_auto_0_xdb/cst.xbcd | Bin 5188 -> 5180 bytes cpld/XC95144XL/xst/work/hdllib.ref | 14 +- cpld/XC95144XL/xst/work/vlg14/_r_a_m.bin | Bin 21204 -> 21386 bytes cpld/XC95144XL/xst/work/vlg22/_c_s.bin | Bin 12861 -> 11906 bytes cpld/XC95144XL/xst/work/vlg37/_f_s_b.bin | Bin 5204 -> 6467 bytes cpld/XC95144XL/xst/work/vlg52/_warp_s_e.bin | Bin 20168 -> 20380 bytes cpld/XC95144XL/xst/work/vlg65/_c_n_t.bin | Bin 10731 -> 11439 bytes cpld/XC95144XL/xst/work/vlg73/_i_o_b_m.bin | Bin 17217 -> 17217 bytes cpld/XC95144XL/xst/work/vlg79/_i_o_b_s.bin | Bin 13862 -> 13882 bytes 57 files changed, 11222 insertions(+), 11380 deletions(-) delete mode 100644 cpld/XC95144XL/WarpSE.pad delete mode 100644 cpld/XC95144XL/WarpSE.xml delete mode 100644 cpld/XC95144XL/WarpSE_build.xml delete mode 100644 cpld/XC95144XL/WarpSE_pad.csv create mode 100644 cpld/XC95144XL/errors.xml diff --git a/cpld/CNT.v b/cpld/CNT.v index 71abb9b..44cef53 100644 --- a/cpld/CNT.v +++ b/cpld/CNT.v @@ -8,7 +8,7 @@ module CNT( /* Mac PDS bus master control outputs */ output reg AoutOE, output reg nBR_IOB, /* Sound QoS */ - input BACT, input SndRAMCSWR, output reg QoSReady); + input BACT, input WS, input SndRAMCSWR, output reg QoSReady); /* E clock synchronization */ reg [1:0] Er; always @(posedge CLK) Er[1:0] <= { Er[0], E }; @@ -56,11 +56,6 @@ module CNT( * 4096 states == 57.516 ms */ reg [11:0] LTimer; reg LTimerTC; - always @(posedge CLK) begin - if (EFall && TimerTC) LTimer <= LTimer+1; - LTimerTC <= LTimer[11:0]==12'hFFE; - end - /* Sound QoS trigger * Sound timer counts from 1 to 3 * starting at first sound RAM access. @@ -78,17 +73,17 @@ module CNT( LTimer <= LTimer+1; SndSlowEN <= STimer!=3; end + LTimerTC <= LTimer[11:0]==12'hFFE; end /* Sound QoS */ - wire SndSlowEN = LTimer[1:0]!=0; reg [6:0] Credits; always @(posedge CLK) begin if (!SndSlowEN) Credits <= 8; - else if (!C8MFall && !FSBW) Credits <= Credits+1; - else if ( C8MFall && !FSBW) Credits <= Credits; - else if (!C8MFall && FSBW) Credits <= Credits; - else if ( C8MFall && FSBW) Credits <= Credits-1; + else if (!C8MFall && !WS) Credits <= Credits+1; + else if ( C8MFall && !WS) Credits <= Credits; + else if (!C8MFall && WS) Credits <= Credits; + else if ( C8MFall && WS) Credits <= Credits-1; end always @(posedge CLK) if (!BACT || !QoSReady) QoSReady <= Credits[6:3]==0; diff --git a/cpld/RAM.v b/cpld/RAM.v index db8eee5..6866b2d 100644 --- a/cpld/RAM.v +++ b/cpld/RAM.v @@ -29,9 +29,9 @@ module RAM( always @(posedge CLK) begin if (!nRefClkR && !RefClk) begin RefReq <= 1; - else if (nRefClkR && RefClk) begin + end else if (nRefClkR && RefClk) begin RefUrg <= RefReq; - else if (RS[2]) beign + end else if (RS[2]) begin RefReq <= 0; RefUrg <= 0; end diff --git a/cpld/WarpSE.v b/cpld/WarpSE.v index 6f6d919..ebef925 100644 --- a/cpld/WarpSE.v +++ b/cpld/WarpSE.v @@ -143,7 +143,7 @@ module WarpSE( /* Mac PDS bus master control outputs */ AoutOE, nBR_IOB, /* Sound QoS */ - BACT, SndRAMCSWR, QoSReady); + BACT, WS, SndRAMCSWR, QoSReady); FSB fsb( /* MC68HC000 interface */ diff --git a/cpld/XC95144XL/WarpSE.bld b/cpld/XC95144XL/WarpSE.bld index 294b11d..1ba73c6 100644 --- a/cpld/XC95144XL/WarpSE.bld +++ b/cpld/XC95144XL/WarpSE.bld @@ -30,7 +30,7 @@ NGDBUILD Design Results Summary: Number of errors: 0 Number of warnings: 0 -Total memory usage is 155300 kilobytes +Total memory usage is 155620 kilobytes Writing NGD file "WarpSE.ngd" ... Total REAL time to NGDBUILD completion: 3 sec diff --git a/cpld/XC95144XL/WarpSE.cmd_log b/cpld/XC95144XL/WarpSE.cmd_log index 7da0efd..a18416b 100644 --- a/cpld/XC95144XL/WarpSE.cmd_log +++ b/cpld/XC95144XL/WarpSE.cmd_log @@ -918,3 +918,16 @@ ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/ cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd tsim -intstyle ise WarpSE WarpSE.nga hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd diff --git a/cpld/XC95144XL/WarpSE.gise b/cpld/XC95144XL/WarpSE.gise index 61a38b2..85acfed 100644 --- a/cpld/XC95144XL/WarpSE.gise +++ b/cpld/XC95144XL/WarpSE.gise @@ -32,7 +32,6 @@ - @@ -41,13 +40,10 @@ - - - @@ -59,15 +55,15 @@ - + - + - + @@ -83,11 +79,11 @@ - + - + @@ -96,33 +92,26 @@ - - + + - - - - - - - - - - + + + diff --git a/cpld/XC95144XL/WarpSE.gyd b/cpld/XC95144XL/WarpSE.gyd index f6057fd..98e9504 100644 --- a/cpld/XC95144XL/WarpSE.gyd +++ b/cpld/XC95144XL/WarpSE.gyd @@ -76,30 +76,31 @@ nVPA_FSB S:PIN93 ;The remaining section of the .gyd file is for documentation purposes only. ;It shows where your internal equations were placed in the last successful fit. -PARTITION FB1_1 ram/RS_FSM_FFd3 ram/RS_FSM_FFd2 ram/RS_FSM_FFd1 cnt/Er<1> - cnt/Er<0> ram/RefDone iobs/IOU1 iobs/IOL1 - cnt/TimerTC cnt/Timer<0> cnt/IS_FSM_FFd2 RefUrg - RefReq iobm/ES<2> cnt/Timer<1> cnt/Timer<3> - cnt/Timer<2> ram/RS_FSM_FFd7 -PARTITION FB2_4 ram/RASrf ram/DTACKr iobs/IODONEr iobs/IOACTr - iobm/VPAr iobm/IOWRREQr iobm/IOS_FSM_FFd5 iobm/IOS_FSM_FFd4 - iobm/IOS_FSM_FFd1 iobm/IORDREQr iobm/Er iobm/C8Mr - cnt/nIPL2r iobm/IOS_FSM_FFd2 IOBERR -PARTITION FB3_1 EXP10_ QoSReady cnt/WS<2> ram/RS_FSM_FFd6 - ram/RS_FSM_FFd5 iobs/TS_FSM_FFd1 ram/RASEL cs/nOverlay - nDTACK_FSB_OBUF cnt/WS<3> cnt/WS<1> iobs/Load1 - iobs/Clear1 EXP11_ IORDREQ iobs/Sent - nROMWE_OBUF ram/RS_FSM_FFd4 -PARTITION FB4_1 EXP12_ nAoutOE_OBUF EXP13_ +PARTITION FB1_1 ram/RS_FSM_FFd3 ram/RS_FSM_FFd2 ram/RS_FSM_FFd1 ram/RASrf + cnt/Er<1> ram/RefDone ram/RS_FSM_FFd4 iobs/IOU1 + iobs/IOL1 cnt/TimerTC cnt/Timer<0> cnt/IS_FSM_FFd2 + RefUrg RefReq cnt/Timer<1> cnt/Timer<3> + cnt/Timer<2> ram/RS_FSM_FFd6 +PARTITION FB2_3 ram/DTACKr iobs/IODONEr iobs/IOACTr iobm/VPAr + iobm/IOWRREQr iobm/IOS_FSM_FFd5 iobm/IOS_FSM_FFd4 iobm/IOS_FSM_FFd1 + iobm/IORDREQr iobm/Er iobm/C8Mr cnt/nIPL2r + cnt/Er<0> iobm/IOS_FSM_FFd2 IOBERR iobm/ES<2> + +PARTITION FB3_1 QoSReady IONPReady iobs/Clear1 ALE0S + iobs/TS_FSM_FFd1 cs/nOverlay cnt/WS<3> cnt/WS<2> + nDTACK_FSB_OBUF cnt/WS<1> ram/RS_FSM_FFd5 iobs/Load1 + EXP10_ IORDREQ iobs/Sent EXP11_ + nROMWE_OBUF EXP12_ +PARTITION FB4_1 EXP13_ nAoutOE_OBUF EXP14_ PARTITION FB4_5 nDoutOE_OBUF nDinOE_OBUF ram/BACTr N0 - nRESout fsb/ASrf nVPA_FSB_OBUF cnt/LTimerTC - ALE0S $OpTx$$OpTx$FX_DC$350_INV$537 EXP14_ cnt/LTimer<1> - EXP15_ cnt/LTimer<0> -PARTITION FB5_1 ram/RS_FSM_FFd8 nROMCS_OBUF EXP16_ EXP17_ - nCAS_OBUF nOE_OBUF EXP18_ cnt/WS<0> - RA_4_OBUF ram/RASEN RA_11_OBUF RA_5_OBUF - RAMReady RA_2_OBUF RA_6_OBUF IONPReady - EXP19_ ram/RASrr + nRESout fsb/ASrf nVPA_FSB_OBUF cnt/WS<0> + cnt/LTimerTC $OpTx$$OpTx$FX_DC$346_INV$533 EXP15_ cnt/LTimer<1> + EXP16_ cnt/LTimer<0> +PARTITION FB5_1 ram/RS_FSM_FFd7 nROMCS_OBUF EXP17_ EXP18_ + nCAS_OBUF nOE_OBUF ram/RASEN EXP19_ + RA_4_OBUF ram/RASEL RA_11_OBUF RA_5_OBUF + ram/RASrr RA_2_OBUF RA_6_OBUF EXP20_ + EXP21_ RAMReady PARTITION FB6_1 iobm/IOS_FSM_FFd6 nVMA_IOBout iobm/IOS_FSM_FFd7 iobm/IOS_FSM_FFd3 iobm/ES<0> iobm/ES<3> iobm/ES<1> iobm/DoutOE nLDS_IOBout IODONE nUDS_IOBout nAS_IOBout @@ -110,9 +111,9 @@ PARTITION FB7_1 cnt/LTimer<9> RA_1_OBUF cnt/LTimer<8> cnt/LTimer<7> RA_10_OBUF cnt/LTimer<5> RA_9_OBUF C25MEN_OBUF cnt/LTimer<4> cnt/LTimer<3> cnt/LTimer<2> cnt/LTimer<11> cnt/LTimer<10> cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 -PARTITION FB8_1 IOL0 RA_11_OBUF$BUF0 iobs/TS_FSM_FFd2 EXP20_ - nRAS_OBUF nRAMLWE_OBUF EXP21_ nRAMUWE_OBUF - IOWRREQ EXP22_ EXP23_ nBERR_FSB_OBUF - EXP24_ IOU0 nBR_IOB_OBUF cnt/IS_FSM_FFd1 - iobs/IORW1 EXP25_ +PARTITION FB8_1 IOL0 RA_11_OBUF$BUF0 iobs/TS_FSM_FFd2 EXP22_ + nRAS_OBUF nRAMLWE_OBUF EXP23_ nRAMUWE_OBUF + IOWRREQ EXP24_ EXP25_ nBERR_FSB_OBUF + EXP26_ IOU0 nBR_IOB_OBUF cnt/IS_FSM_FFd1 + iobs/IORW1 EXP27_ diff --git a/cpld/XC95144XL/WarpSE.jed b/cpld/XC95144XL/WarpSE.jed index 4c5d467..820acb1 100644 --- a/cpld/XC95144XL/WarpSE.jed +++ b/cpld/XC95144XL/WarpSE.jed @@ -1,5 +1,5 @@ Programmer Jedec Bit Map -Date Extracted: Sat Apr 15 05:22:03 2023 +Date Extracted: Mon Apr 17 02:27:02 2023 QF93312* QP100* @@ -97,542 +97,542 @@ L0000192 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00001000 L0000256 00000000 00000000 00000000 00000000 00000000 00001100 00000000 00000000* L0000320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0000384 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0000448 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0000448 00000000 00000000 00100000 00000000 00000000 00100000 00000000 00000000* L0000512 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* L0000576 000000 000000 000000 000000 000000 000000 000000 110000* -L0000624 000000 000000 000000 000000 000000 000100 000000 000000* -L0000672 000000 000000 000000 000000 000000 000000 000001 000000* +L0000624 000000 000000 000000 000000 100000 000100 000000 000000* +L0000672 000000 000000 000000 000000 100000 000000 000000 000000* L0000720 000000 000000 000000 000000 000000 000100 000000 000000* L0000768 000000 000000 000000 000000 000000 000100 000000 000001* L0000816 000000 000000 000000 000000 000000 000000 000000 000100* -L0000864 00000000 00000000 01000000 00000000 00000000 00000000 10000000 00000000* -L0000928 10000000 00000000 01100000 00000000 00000000 00000000 00000100 00010100* -L0000992 10000000 00000000 00100000 10000000 00000000 00000000 00000100 00010100* -L0001056 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00010100* -L0001120 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00010100* -L0001184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0001248 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000100* -L0001312 00000000 00000000 00000000 00000000 01000000 00000000 00000100 00100100* -L0001376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* -L0001440 000000 000000 000000 000000 000000 000100 000000 000000* -L0001488 000000 000000 000000 000100 000000 000000 000000 000000* -L0001536 000001 000000 000000 000000 000000 000000 000000 000000* -L0001584 000001 000000 000000 000000 000000 000001 000000 000000* -L0001632 000001 000000 000000 000000 000000 000000 000000 100000* -L0001680 000001 000000 000000 000000 000000 001000 000000 000000* -L0001728 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001792 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001856 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001920 00000010 00000000 00000000 00000000 00000000 00010000 10000000 00000000* -L0001984 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* -L0002048 00000000 00000000 00000000 01000000 00000000 10000000 00000000 00000000* -L0002112 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* +L0000864 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0000928 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010100* +L0000992 00000000 00000000 00000000 10000000 00000000 00000000 10000000 00010100* +L0001056 00000000 00000000 10000000 00000000 00000000 00000000 10000000 00010100* +L0001120 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00010100* +L0001184 00000000 00000000 00000000 00000000 00010000 00000000 00000000 00000100* +L0001248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0001312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* +L0001376 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00100100* +L0001440 000000 000000 000000 000000 000000 000100 000001 000000* +L0001488 000001 000000 000000 000000 000000 000000 000000 000000* +L0001536 000000 000000 000000 000000 000000 000000 000000 000000* +L0001584 000000 000000 000000 000000 000000 000001 000001 000000* +L0001632 000000 000000 000000 000000 000000 000000 000001 100000* +L0001680 000000 000000 000000 000000 000000 001000 000001 000000* +L0001728 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0001792 00000010 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0001856 00000010 00000000 00000000 00000000 00100000 00000000 00000000 00000000* +L0001920 00000010 00000000 00000000 00000000 00010000 00000000 00000000 00000000* +L0001984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0002048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0002112 00000000 00000000 00000000 00000000 00000000 10010100 00000000 00000000* L0002176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002304 000000 000000 000000 000000 000000 000000 000001 000000* -L0002352 000000 000000 000000 100000 000000 000000 000000 000000* -L0002400 000000 000000 000000 000000 000000 000000 000000 000000* -L0002448 000000 000000 001000 000000 000000 000000 000000 000000* -L0002496 000000 000000 000000 000000 000000 000000 000000 000000* -L0002544 000000 000000 000000 000000 000000 000000 000001 000000* -L0002592 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002656 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002720 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* -L0002784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002912 00000000 00000000 00000000 00000000 00000000 00000000 01000000 00000000* -L0002976 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* -L0003040 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* -L0003104 00000000 00000000 10000000 00000000 00000000 00000000 00000100 00000000* -L0003168 000000 000000 000000 000000 000000 000000 000000 000000* +L0002240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* +L0002304 000000 000000 100000 000000 000010 000000 000000 000001* +L0002352 000000 000000 000000 000000 000000 000000 000000 000000* +L0002400 000000 000000 000000 000000 000000 101100 000000 000000* +L0002448 000000 000000 000000 000000 000000 100000 000000 000000* +L0002496 000000 000000 000000 000000 000000 000000 000000 000100* +L0002544 000000 000000 000000 000000 000000 000001 000000 000000* +L0002592 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0002656 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* +L0002720 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0002784 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00010100* +L0002848 00000000 00000000 00100100 00000000 00000000 00000000 00000000 00010100* +L0002912 00000000 00000000 10000000 00010000 00000000 00000000 00000000 00000000* +L0002976 00000000 00000000 00000000 00010000 01000000 00000000 00000000 00000000* +L0003040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0003104 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000100* +L0003168 000000 000000 000100 000000 000000 000000 000000 000000* L0003216 000000 000000 000000 000000 000000 000000 000000 000000* -L0003264 000000 000000 000000 000000 000000 000000 000000 000000* -L0003312 000000 000000 000000 000000 000000 000000 000001 000000* -L0003360 000000 000000 000000 000000 000000 000000 000001 000000* +L0003264 000000 000000 000100 000000 000001 000000 000000 000000* +L0003312 000000 000000 000100 000000 000000 000000 000000 000000* +L0003360 000000 000000 000000 000000 000000 000000 000000 000000* L0003408 000000 000000 000000 000000 000000 000000 000000 000000* -L0003456 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01010000* -L0003520 00000000 00000000 00000000 00000000 00000000 00000100 00000000 01011000* -L0003584 00000000 00000000 00000000 00000000 00000000 00000100 00000000 01011000* -L0003648 00000000 00000000 00000000 00000000 00000000 00000100 00000000 01011000* -L0003712 00000000 00000000 00000000 00000000 00000000 00001000 00000000 11011000* -L0003776 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11100000* -L0003840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11100000* -L0003904 00000000 00000000 00000000 00000000 00000000 00100000 00000000 11100000* -L0003968 00000000 00000000 00000000 00000000 00000000 00000100 00000000 11100000* -L0004032 000000 000000 000000 000000 000000 000000 000000 111011* -L0004080 000000 000000 000000 000000 100000 100000 000000 100000* -L0004128 010000 000000 000000 000000 100000 000000 000000 000001* -L0004176 000000 000000 000000 000000 000000 000100 000000 101111* -L0004224 000000 000000 000000 000000 000000 000000 000000 001111* -L0004272 000000 000000 000000 000000 000000 000000 000000 001111* -L0004320 00000000 00000000 00000000 00000000 00000000 10000100 00000000 00000000* -L0004384 00000000 00000000 00010000 10000000 00000000 10001000 00000000 00000000* -L0004448 00000000 00000000 01010000 00000000 00000000 00001000 00000000 00000100* -L0004512 00000000 00000000 00000000 00000000 00000000 00001000 10000000 00000000* -L0004576 00000000 00000000 00000000 00000000 00000000 00010100 00000000 00000100* -L0004640 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0004704 00000000 00000000 00000000 00000000 00000000 00000100 00010000 00000100* -L0004768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0004832 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000100* -L0004896 000000 000000 000000 000000 000000 001000 000000 000000* -L0004944 000000 000000 000000 000100 000000 000000 000000 001000* -L0004992 000000 000000 000000 000000 000000 000000 000000 001000* -L0005040 000000 000000 000000 000000 000000 000000 000001 000000* -L0005088 000000 000000 000000 000000 000010 000000 000000 000000* -L0005136 000000 000000 000001 000000 000010 000001 000001 000000* -L0005184 00000010 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0005248 00000010 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0005312 00000110 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0005376 00000011 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0005440 00000010 00000000 00000000 00000000 00000000 00001100 00000000 00000000* -L0005504 00000001 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0005568 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0005632 00000010 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0005696 00000000 00000000 00000000 00000000 00000000 00100100 00000000 00000000* +L0003456 00000000 00000000 00000000 00000000 10000100 00000000 00000000 01010000* +L0003520 00100000 00000000 00000000 00000000 10000100 00000000 00000000 01011000* +L0003584 00000000 00000000 00000000 00000000 10000100 00000000 00000000 01011000* +L0003648 00000000 00000000 00000000 00000000 10010100 00000000 00000000 01011000* +L0003712 00000000 00000000 00000000 00000000 10000100 00000000 00000000 11011000* +L0003776 00000000 00000000 00000000 00000000 10000100 00000000 00000000 11100000* +L0003840 00000000 00000000 00000000 00000000 10000100 00001000 00000000 11100000* +L0003904 00000000 00000000 00000000 00000000 10000100 00000000 00000000 11100000* +L0003968 00000000 00000000 00000000 00000000 10000100 00000000 00000000 11100000* +L0004032 000000 000000 000000 000000 100011 000000 000000 111011* +L0004080 000000 000000 000000 000000 000001 000000 000000 100000* +L0004128 000000 000000 010000 000000 000001 000000 000000 000001* +L0004176 000000 000000 010000 000000 000001 000000 000000 101111* +L0004224 000000 000000 000000 000000 000001 000000 000000 001111* +L0004272 000000 000000 000100 000000 000001 000000 000000 001111* +L0004320 10000000 00000000 00000000 00001000 00100000 00000000 00000000 00000000* +L0004384 00000000 00000000 00000100 10000000 00000000 00000000 00000000 00000000* +L0004448 00000000 00000000 00100100 00000000 00000000 00000000 00000000 00000100* +L0004512 00000000 00000000 00100100 00000000 00000000 00000000 10000000 00000000* +L0004576 00000000 00000000 00100100 00000000 00000000 00000000 00000000 00000100* +L0004640 00000000 00000000 10010000 00000000 01000000 00000000 00000000 00000000* +L0004704 00000000 00000000 00010000 00000000 00000000 00000000 00010000 00000100* +L0004768 00000000 00000000 00011000 00000000 00000000 00000000 00000000 00000000* +L0004832 00000000 00000000 00110000 00000000 00000000 00000000 00000100 00000100* +L0004896 000000 000000 000100 000000 000000 000000 000000 000000* +L0004944 000000 000000 000101 000000 000000 000000 000000 001000* +L0004992 000000 000000 000100 000000 000000 000000 000000 001000* +L0005040 000000 000000 000100 000000 000000 000000 000001 000000* +L0005088 000000 000000 000010 000000 000000 000000 000000 000000* +L0005136 000000 000000 100000 000000 000000 000000 000001 000000* +L0005184 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0005248 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0005312 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0005376 00000011 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0005440 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0005504 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0005568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0005632 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0005696 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0005760 000000 000000 000000 000000 000000 000000 000000 000000* -L0005808 000000 000000 000000 000000 000000 000100 000000 000000* +L0005808 000000 000000 000000 000000 000000 000010 000000 000000* L0005856 000000 000000 000000 000000 000000 000000 000000 000000* -L0005904 000000 000000 000000 000000 000000 000100 000000 000000* -L0005952 000000 000000 000000 000000 000000 000100 000000 000000* +L0005904 000000 000000 000000 000000 000000 000000 000000 000000* +L0005952 000000 000000 100000 000000 000000 000000 000000 000000* L0006000 000000 000000 000000 000000 000000 000000 000000 000000* -L0006048 00010010 01000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0006112 00000011 00000000 00000000 00000000 00000000 01000000 11111100 00000000* -L0006176 00000000 00000000 00000000 00000000 00000000 01000000 01111100 00000000* -L0006240 00011101 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0006304 00000110 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0006368 00010011 00000000 01000000 00000000 00000000 00010000 00000000 00000000* -L0006432 00010000 00000000 00000000 00000000 00000000 00000000 00001100 00000000* -L0006496 00000000 00000000 00000000 00000000 00000000 00000100 10001100 00000000* -L0006560 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0006624 000001 000000 000000 000001 000000 000000 000000 000000* -L0006672 000000 000000 000000 000100 000000 001000 000001 000000* -L0006720 000000 000000 000000 000000 000000 000000 100010 010000* -L0006768 000010 000000 000000 000000 000000 000000 100010 000000* -L0006816 001110 000000 000000 000000 000000 000001 000000 000000* -L0006864 000000 000000 000000 000000 000000 000000 000000 000000* -L0006912 00010000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* -L0006976 00000000 00000000 00000000 00000000 00001000 00100000 11111100 01000100* -L0007040 00000000 00000000 00000000 00000000 00001000 00000000 01111100 01001100* -L0007104 00011100 00000000 00000000 00000000 00001000 00010000 00000000 01001100* -L0007168 00000100 00000000 00000000 00000000 00001000 00000000 00000000 01001100* -L0007232 00010000 00000000 00000000 00000000 00000000 10000000 00000000 11000100* -L0007296 00010000 00000000 01000000 00000000 00000000 01000000 00001100 11000100* -L0007360 00000000 00000000 00000000 00000000 00000000 01000000 10001100 11000100* -L0007424 00000100 00000000 00000000 00000000 00000000 00000000 00000000 11000100* -L0007488 000001 000000 000000 000000 000000 000000 000000 000001* -L0007536 000000 000000 000000 000000 000100 000000 000001 000000* -L0007584 000000 000000 000000 000000 000100 000000 100010 000000* -L0007632 000010 000000 000000 000000 000100 000000 100010 000111* -L0007680 001110 000000 000000 000000 000100 000000 000000 010111* -L0007728 000000 000000 000000 000000 000100 000000 000000 000011* -L0007776 01000000 00000000 00000000 00000000 00000000 00100000 00000000 00010000* -L0007840 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0007904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0007968 00000000 00000000 00000000 00000100 00000000 00100000 00000000 00000000* -L0008032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0008096 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00100000* -L0008160 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00100000* -L0008224 00000000 00000000 00000000 10000000 00000000 10000000 00000000 00100000* -L0008288 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00100000* -L0008352 000000 000000 000000 100000 010000 000000 000000 001000* -L0008400 000000 000000 000001 000000 000000 000000 000000 000000* -L0008448 000000 000000 000000 000010 000000 010000 000000 000000* -L0008496 000000 000000 000000 000000 000000 010000 000000 000000* -L0008544 000000 000000 000000 000000 000000 010000 000000 000000* -L0008592 000000 000000 000000 000010 000000 000000 000000 000000* -L0008640 00000000 00000000 00001000 00000000 00000100 00000000 00000000 00000000* -L0008704 00000000 00000000 00000100 00000000 10011100 00000000 00000000 00000000* -L0008768 00000000 00000000 00000100 00000000 10011000 00000000 00000000 00000000* -L0008832 00000000 00000000 00000100 00000000 10011100 00000000 00000000 01000000* -L0008896 00000000 00000000 00000100 00000000 10011100 00000000 00000000 00000000* -L0008960 00000000 00000000 00000000 00000000 10000100 10000000 00000000 00000000* -L0009024 00000000 00000000 00000000 00000000 10000100 01000000 00000000 00000000* -L0009088 00000000 00000000 00000000 00000000 00000100 11000000 00000000 00000000* -L0009152 00000000 00000000 00000000 00000000 10000100 00000000 00000000 10000000* -L0009216 000000 000000 000000 000000 100000 000000 000000 000000* -L0009264 000000 000000 000000 000000 001100 000000 000000 000000* -L0009312 000000 000000 000010 000000 001101 000000 000000 000000* -L0009360 000000 000000 000010 000000 001101 000000 000000 000000* -L0009408 000000 000000 000010 000000 001101 000000 000000 000000* -L0009456 000000 000000 000010 000000 001100 000000 000000 000010* -L0009504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0009568 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00010100* -L0009632 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00010100* -L0009696 00000000 00000000 00000000 00000100 01000000 00110000 00000000 00010100* -L0009760 00000000 00000000 00000000 10000100 00000000 00000000 00000000 00010100* -L0009824 00000000 00000000 00001000 10010000 00000000 00000000 00000000 00000100* -L0009888 00000000 00000000 00000000 10011000 00000000 00000000 00000000 00000100* -L0009952 00000000 00000000 00010000 10001000 00000000 00000000 00000000 00000100* -L0010016 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000100* -L0010080 000000 000000 000100 100000 000000 000000 000000 000000* -L0010128 000000 000000 000110 000010 000000 010000 000000 000000* -L0010176 000000 000000 010000 000010 000000 000000 000000 000000* -L0010224 000000 000000 000100 000010 000000 000000 000000 000000* -L0010272 000000 000000 000000 000010 000000 010000 000000 000000* -L0010320 000000 000000 000000 000010 000000 000000 000000 000000* -L0010368 00000011 00000001 00000001 00000001 00000111 00000011 00000011 00001010* -L0010432 00000011 00000001 00000011 00000001 00000101 00100011 00000011 00000000* -L0010496 00000011 00000001 00000011 00000001 00000000 00000011 00000010 00000011* -L0010560 00000011 00000011 00000011 00000001 00000101 00010011 00000011 00000000* -L0010624 00000011 00000011 00000010 00000011 00000111 00000011 00000011 00000001* -L0010688 00000011 00000011 00000011 00000010 10000000 10000011 11010011 00000011* -L0010752 00000011 00000011 00000011 00000011 10000000 01000011 00100011 00000001* -L0010816 00000011 00000011 00000011 00000000 00000010 11000011 00000011 00000001* -L0010880 00000011 00000011 00000011 00000011 10000001 00000011 00000011 00000010* -L0010944 000000 000000 000000 000000 100000 000000 000000 000000* -L0010992 000000 000000 000000 000000 000000 000000 011000 000000* -L0011040 000000 000000 000000 000000 001100 000000 000000 000000* -L0011088 000000 000000 000000 000000 001100 000000 000000 000000* -L0011136 000000 000000 000000 000000 001100 010000 000000 000000* -L0011184 000000 000000 000000 000000 001100 000000 000000 000000* -L0011232 00000000 00000100 00000010 00000010 00000000 00100000 00000000 00000001* -L0011296 00000000 00000000 00000000 00000010 00000010 00000000 00000000 00000011* -L0011360 00000000 00000000 00000000 00000010 00100011 00000000 00000000 00000000* -L0011424 00000000 00000000 00000000 00000000 00000010 00000000 00000000 00000011* -L0011488 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00000010* -L0011552 00000000 00000000 00001000 00000001 00000011 00000000 00100000 00000000* -L0011616 00000000 00000000 00000000 00000000 00000011 00000000 11010000 00000010* -L0011680 00000000 00000000 00000000 00000001 00000001 00000000 00000000 00001010* -L0011744 00000000 00000000 00000000 00000100 00000010 00000000 00000000 00000001* -L0011808 000000 000000 000000 000000 000000 000000 000000 000000* -L0011856 000000 000000 000000 000100 010000 000000 000000 000000* -L0011904 000000 000000 010000 000000 000000 010000 011000 000000* -L0011952 000000 000000 000000 000000 000000 010000 000000 000000* -L0012000 000000 000000 000000 000000 000000 000000 000000 000000* +L0006048 00000010 00000100 00000000 00001000 00000000 00000000 00000000 00000000* +L0006112 00000011 00000100 00000000 00000000 00000000 00000000 00000000 00000000* +L0006176 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* +L0006240 00000001 00000000 00000000 00000000 00000000 00000000 10000000 00000000* +L0006304 00000010 00000000 00000000 00000000 00000000 00000000 10000000 00000000* +L0006368 00000011 00000000 00000000 00000000 00000000 00000000 00010000 00000000* +L0006432 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0006496 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0006560 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0006624 000000 000000 000000 000001 000000 000000 000001 000000* +L0006672 000000 000000 000000 000000 000000 000000 000000 000000* +L0006720 000000 000000 000000 000000 000000 000000 000000 000000* +L0006768 000000 000000 000000 000000 000000 000000 000001 010000* +L0006816 000000 000000 000000 000000 000000 000000 000001 000000* +L0006864 000000 000000 000000 000000 000000 000000 000001 000000* +L0006912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* +L0006976 00000000 00000000 00000000 00000000 00010000 00100000 00000000 00010000* +L0007040 00000100 00000000 00000000 00000000 00010000 00000000 00000000 00010000* +L0007104 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00010000* +L0007168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* +L0007232 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00100000* +L0007296 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00100000* +L0007360 00000000 00000000 00000000 00000000 10000000 01000000 00000000 00100000* +L0007424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0007488 000000 000000 000000 000000 000000 000000 000000 001000* +L0007536 000000 000000 000000 000000 000000 000000 000000 001000* +L0007584 000000 000000 000000 000000 010000 000000 000000 000000* +L0007632 000000 000000 000000 000000 001100 000000 000000 001000* +L0007680 000000 000000 000000 000000 011100 000000 000000 001000* +L0007728 000000 000000 000000 000000 011001 000000 000000 001000* +L0007776 00000000 00000000 00000000 00000000 00000000 00100000 00000000 10000000* +L0007840 00000000 00000000 00000000 00000000 00000000 00000000 11111100 00000100* +L0007904 00000000 00000000 00000000 00000000 10001000 00000000 01111100 00000000* +L0007968 00011100 00000000 00000000 00000100 00000100 00100000 00000000 00000100* +L0008032 00000100 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0008096 00010000 00000000 00000000 00000000 00000000 01000000 00000000 00001100* +L0008160 00000000 00000000 01001000 10001000 00000000 00000000 00001100 00000000* +L0008224 00000000 00000000 00000000 10000000 00000100 10000000 10001100 00000100* +L0008288 00011100 00000000 00000000 00001000 10001000 00000000 00000000 00000000* +L0008352 000001 000000 000000 100000 100000 000000 000000 000000* +L0008400 000100 000000 000000 000000 100000 000000 000001 100000* +L0008448 000100 000000 000000 000010 000000 010000 100010 100000* +L0008496 000010 000000 000000 000000 000000 010000 100010 000000* +L0008544 000010 000000 000000 000000 000000 010000 000000 000000* +L0008592 000000 000000 000000 000010 000100 000000 000000 000000* +L0008640 00000000 00000000 00000100 00000000 00001100 00000000 00000000 00010000* +L0008704 00000000 00000000 00000000 00000000 10001100 00000000 00000000 00000000* +L0008768 00000000 00000000 00000000 00000000 10001100 00000000 00000000 00000000* +L0008832 00000000 00000000 00000000 00000000 10001100 00000000 00000000 00000000* +L0008896 00000000 00000000 00000000 00000000 10001100 00000000 00000000 00000000* +L0008960 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0009024 00000000 00000000 00000000 00000000 10001100 00000000 00000000 00000000* +L0009088 00000000 00000000 00000000 00000000 00001100 00000000 00000000 00000000* +L0009152 00000000 00000000 00000000 00000000 10001100 00000000 00000000 00000000* +L0009216 000000 000000 000010 000000 100001 000000 000000 001000* +L0009264 000000 000000 000000 000000 000000 000000 000000 000000* +L0009312 000000 000000 010000 000000 000000 000000 000000 000000* +L0009360 000000 000000 010000 000000 000001 000000 000000 000000* +L0009408 000000 000000 000000 000000 000001 000000 000000 000000* +L0009456 000000 000000 000000 000000 000100 000000 000000 000000* +L0009504 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* +L0009568 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* +L0009632 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0009696 00000000 00000000 00010000 00000100 00000000 00000000 00000000 00000000* +L0009760 00000000 00000000 00010000 10000100 00000000 00000000 00000000 00000000* +L0009824 00000000 00000000 00000000 10010000 00100000 00000000 00000000 00000000* +L0009888 00000000 00000000 00000000 10011000 00100000 00000000 00000000 00000000* +L0009952 00000000 00000000 00000000 10001000 00000000 10000000 00000000 00000000* +L0010016 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000000* +L0010080 000000 000000 000000 100000 010000 000000 000000 110000* +L0010128 000000 000000 010000 000010 000000 000000 000000 000000* +L0010176 000000 000000 000000 000010 000000 000000 000000 000000* +L0010224 000000 000000 000000 000010 000000 000000 000000 000000* +L0010272 000000 000000 000000 000010 000000 000000 000000 010000* +L0010320 000000 000000 000100 000010 000000 000000 000000 000100* +L0010368 00000011 00000001 00000011 00000001 00000111 00000011 00000011 00000010* +L0010432 10000011 00000001 00000010 00000001 00000101 00100011 00000011 00000000* +L0010496 10000011 00000011 00000011 00000001 00100101 00000011 00000010 00000011* +L0010560 10000011 00000011 00000010 00000001 00010101 00010011 00000011 00000000* +L0010624 10000011 00000011 00000011 00000011 00000111 00000011 00000011 00000001* +L0010688 00000011 00000011 00000011 00000010 00000111 10000011 00000011 00000011* +L0010752 00000011 00000011 00000010 01000011 00000110 01000011 00000011 00000001* +L0010816 00000011 00000011 00000001 00000000 00000100 11000011 00000011 00000001* +L0010880 00000011 00000011 00000000 00000011 00000111 00000011 00000011 00000010* +L0010944 000000 000000 000000 000000 000011 000000 000000 000000* +L0010992 000000 000000 000000 000000 000001 000000 000000 000000* +L0011040 000000 000000 000000 000000 000001 000000 000001 000000* +L0011088 000000 000000 000000 000000 000000 000000 000000 000000* +L0011136 000000 000000 000000 000000 000000 010000 000000 000000* +L0011184 000000 000000 000000 000000 000000 000000 000000 000000* +L0011232 00000000 00000000 00100000 00000010 00000000 00100000 00100000 00000001* +L0011296 00000000 00000000 00000001 00000010 00000010 00000000 11000100 00000011* +L0011360 00000000 00000000 00000000 00000010 01000010 00000000 01000100 00000000* +L0011424 00000000 00000000 00000001 00000000 00000010 00000000 00000000 00000011* +L0011488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000010* +L0011552 00000000 00001000 00000000 00000001 00000000 00000000 00000000 00000000* +L0011616 00000000 00000000 00000001 00000000 00000001 00000000 00000100 00000010* +L0011680 00000000 00000000 00000010 00000001 00000011 00000000 10000100 00000010* +L0011744 00000000 00000000 00000011 00000000 00000000 00000000 00000000 00000001* +L0011808 000000 000000 000001 000000 000000 000000 000000 000000* +L0011856 000000 000000 000000 000000 000000 000000 000000 000000* +L0011904 000000 000000 000000 000000 000000 010000 100000 000000* +L0011952 000000 000000 000000 000000 000000 010000 100000 000000* +L0012000 000000 000000 000000 000000 000000 000000 000000 010000* L0012048 000000 000000 000000 000000 000000 000000 000000 000000* -L0012096 00000000 00000000 00000001 00000000 00000011 00000011 00000011 00000010* -L0012160 00000001 00000000 00000011 00000001 00000001 00010011 00000011 00000000* -L0012224 00000000 00000000 00000001 00000000 00000000 00000011 00000010 00000011* -L0012288 00000000 00000000 00100010 00000000 00000001 00000011 00000011 00000000* -L0012352 00000001 00000000 00100010 00000010 00000011 00000011 00000011 00000001* -L0012416 00000011 00000000 00000010 00000010 00000000 00000010 00000011 00000001* -L0012480 00000001 00000000 00000011 00000001 00000000 00000011 00000011 00000000* -L0012544 00000001 00000001 00000000 00000010 00000000 00000010 00000011 00000001* -L0012608 00000001 00000000 00000010 00000001 00000001 00000011 00000011 00000010* +L0012096 00000000 00000000 00000011 00000000 00000011 00000011 00000011 00001010* +L0012160 00000000 00000000 00000000 00000001 00000001 00010011 00000011 00000000* +L0012224 00000001 00000000 00000001 00000000 00000001 00000011 00000010 00000011* +L0012288 00000000 00000000 00000000 00000000 00000001 00000011 00000011 00000000* +L0012352 00000000 00000000 00000011 00000010 00000010 00000011 00000011 00000001* +L0012416 00000011 00000000 00000011 00000010 00000001 00000010 00000011 00000001* +L0012480 00000011 00000001 00000000 00000001 00000010 00000011 00000011 00000000* +L0012544 00000001 00000000 00000000 00000010 00000000 00000010 00000011 00000001* +L0012608 00000001 00000001 00000000 00000001 00000011 00000011 00000011 00000010* L0012672 000000 000000 000000 000000 000000 000000 000000 000000* -L0012720 000000 000000 000000 000000 000000 000000 000000 000001* -L0012768 000000 000000 000000 000000 100000 000000 000000 000000* +L0012720 000000 000000 000000 000000 000000 000000 000000 000000* +L0012768 000000 000000 000000 000000 000000 000000 000000 000000* L0012816 000000 000000 000000 000000 000000 000000 000000 000000* -L0012864 000000 000000 000010 000000 000000 000000 000000 000000* -L0012912 000000 000000 000010 000000 000000 000000 000000 000000* -L0012960 00000010 00000000 01000010 00000010 00000000 00000000 00000000 00000001* -L0013024 00000000 00000000 01100000 00000010 00000010 00000011 00000000 00000010* -L0013088 00000000 00000000 00100010 00000010 00000111 00000001 10000000 10000000* -L0013152 00000000 00000000 00001001 00000000 10000010 00000000 10000000 00000011* -L0013216 00000000 00000000 00000001 00000001 00000000 00000000 10000000 00000010* -L0013280 00000000 00000000 00100001 01000001 00000011 00000000 00000000 00000010* -L0013344 00000000 00000000 00000000 00000000 00000011 00000000 00000000 00000001* -L0013408 00000000 00000000 00000011 00000011 10000011 00000001 00000000 00000010* -L0013472 00000000 00000000 00000001 00000000 00000010 00000010 00000100 00000001* -L0013536 000000 000000 000000 000000 000001 000000 000001 000000* -L0013584 000000 000000 000000 000000 000000 000000 001000 000000* +L0012864 000000 000000 000000 000000 000000 000000 000000 000000* +L0012912 000000 000000 000000 000000 000000 000000 000000 000000* +L0012960 00000010 00000000 00000000 00000010 00000000 00000000 00000000 00000001* +L0013024 00000000 00000000 00000011 00000010 00000010 00000011 00000000 00000010* +L0013088 00000000 00000000 00000010 00000010 00000010 00000001 10000000 00000000* +L0013152 00000000 00000000 00000001 00000000 00000010 00000000 10000000 00000011* +L0013216 00000000 00000000 00000000 00000001 00000001 00000000 10000000 00000010* +L0013280 00000000 00000000 00000000 01000001 00000010 00000000 00000000 00000010* +L0013344 00000000 00000000 00000011 00000000 00000001 00000000 00000000 00000001* +L0013408 00000000 00000000 00000011 00000011 00000011 00000001 00000000 00001010* +L0013472 00000000 00000000 00000011 00000000 00000000 00000010 00000100 00000001* +L0013536 000000 000000 000001 000000 000000 000000 000001 000000* +L0013584 000000 000000 001000 000000 000000 000000 001000 000000* L0013632 000000 000000 000000 000000 000000 000000 000000 000000* L0013680 000000 000000 000000 000000 000000 000000 000001 000000* -L0013728 000000 000000 000000 000000 000000 000000 000001 000000* -L0013776 000000 000000 000000 000000 000001 000000 000001 000000* -L0013824 00000000 00000000 01000001 00000000 00000111 00000001 00000001 00000010* -L0013888 10000000 00000000 00110110 00000000 00000000 00000011 00000000 00010000* -L0013952 00000000 00000000 00000001 00000000 00000000 00000011 00000010 00000010* -L0014016 10000000 00000000 00100100 00000000 00000101 00000011 00000011 00010000* -L0014080 00000001 00000000 00000100 00000000 00000010 00000010 00000001 00000001* -L0014144 00000001 01000000 00000100 00000010 10000000 00000010 00000001 00100100* -L0014208 00000001 00000000 00000111 01000001 00000000 00000011 00000011 00000100* -L0014272 00000001 00000000 00000000 00000000 00000000 00000010 00000001 00100101* -L0014336 00000001 00000001 00000110 00000001 10000000 00000011 00000001 00000110* -L0014400 000000 000000 000000 000000 000001 000000 000000 001000* -L0014448 000000 000000 100100 000000 000000 000000 000000 000000* -L0014496 000000 000000 000100 000000 001100 000000 000000 000000* -L0014544 000000 000000 000100 000000 000000 000000 000000 000000* -L0014592 000000 000000 000110 000000 011100 000000 000000 011000* -L0014640 000000 000000 000000 000000 000001 001000 000000 000000* -L0014688 00000010 00000000 00010110 00000010 00000000 00000001 00000010 01000001* -L0014752 00000000 00000000 00000001 00000010 00100011 00000000 11111110 00001010* -L0014816 00000000 00000000 01001010 00000000 00000001 00000000 01111100 00000001* -L0014880 00000000 00000000 00010011 00000000 00000010 00000000 00000000 00000011* +L0013728 000000 000000 010000 000000 000000 000000 000001 000000* +L0013776 000000 000000 010000 000000 000000 000000 000001 000000* +L0013824 00000000 00000000 00000011 00000000 00000011 00000101 00000001 00000010* +L0013888 00000000 00000000 00000100 00000000 00000000 00000111 00000000 00000000* +L0013952 00000000 00000000 00000001 00000000 00000000 00000111 00000010 00000010* +L0014016 00000000 00000000 00010100 00000000 00000001 00000111 00000011 00000000* +L0014080 00000000 00000000 00000000 00000000 00000010 00001110 00000001 00000001* +L0014144 00000001 00000000 00010111 00000010 00000000 00000110 00000001 00000000* +L0014208 00000001 00000000 00000000 00100001 00000010 00100011 00000011 00000000* +L0014272 00000001 00000001 00000000 00000000 00000000 00100010 00000001 00000001* +L0014336 00000001 00000001 00000000 00000001 00000000 00100011 00000001 00000010* +L0014400 000000 000000 000010 000000 000000 000000 000001 000000* +L0014448 000000 100000 000100 000000 000000 000100 000000 000001* +L0014496 000000 000000 011110 000000 000000 000000 000000 000000* +L0014544 000000 000000 000100 000000 000000 000100 000001 000000* +L0014592 000000 000000 010010 000000 000000 000100 000001 000000* +L0014640 000000 000000 000010 000000 000000 000000 000001 000000* +L0014688 00000010 00000000 00110000 00000010 00000000 01000001 00000010 00000001* +L0014752 00000000 00000000 00000011 00000010 00000010 00000000 00000010 00000010* +L0014816 00000000 00000000 00000010 00000000 00000001 00000000 00000000 10000001* +L0014880 00000000 00000000 00000001 00000000 00000010 00000000 00000000 00000011* L0014944 00000000 00000000 00000001 00000001 00000001 00000000 00000000 00000010* -L0015008 00000000 00000000 00100001 00000001 00100011 00000000 00000000 00000011* -L0015072 00000000 00000000 00000000 00000000 00000011 00000000 00001100 00000001* -L0015136 00000000 00000000 00000011 00000001 00000011 00000001 10001100 00000010* -L0015200 00000000 00000001 00000001 00000000 00000111 00000000 00000000 00000001* +L0015008 00000000 00000000 00000000 00000001 00000011 00000000 00000000 00000011* +L0015072 00000000 00000000 00100011 00000000 00000001 00000000 00000000 00000001* +L0015136 00000000 00000001 00000011 00000001 00000011 00010001 00000000 00000010* +L0015200 00000000 00000000 00000011 00000000 00000011 00000000 00000000 00000001* L0015264 000000 000000 000000 000000 000000 000000 000000 000000* -L0015312 000000 000000 000000 000000 001110 000000 000001 000100* -L0015360 000000 000000 001000 000000 000000 000000 100010 000001* -L0015408 000000 000000 000000 000000 010000 000000 100010 000000* -L0015456 000000 000000 000000 000000 000001 000000 000000 000000* -L0015504 000001 000000 000000 000000 000000 000000 000000 000000* -L0015552 00011001 00000000 00000000 00100000 00000011 00000001 00000000 01000010* -L0015616 00000000 00000000 00000010 00000001 00000000 00000001 00000000 00001000* -L0015680 00000001 00000000 00000001 00000000 00000000 01000001 00000000 00000000* -L0015744 00000001 00000000 00000000 00000000 00000001 00000001 00000000 00000000* -L0015808 00000000 00000000 00000000 00000000 10011010 00000000 00000000 00000001* -L0015872 00000101 00000000 00000000 00001000 00000000 00000010 00000000 00000000* -L0015936 00000011 00000000 00000001 00000000 00000000 00000011 00000000 00000000* -L0016000 00000011 00000000 00000000 00000000 00000100 00000110 00000000 00000001* -L0016064 00000011 00000000 00000010 00000001 00000000 00000011 00000001 00000010* -L0016128 000000 000000 000000 000000 000000 000000 000000 000000* -L0016176 000000 000000 000000 000000 000000 000000 000000 000100* -L0016224 000010 000000 000000 000000 000000 000000 000000 000001* -L0016272 000000 000000 000000 000000 000000 000000 000000 000000* -L0016320 000000 000000 000000 000000 000000 000000 000000 000000* +L0015312 000000 000000 011010 000000 000000 000001 000000 000000* +L0015360 000000 000000 100000 000000 001000 000000 000000 000000* +L0015408 000000 000000 000000 000000 000000 001000 000000 000000* +L0015456 000000 000000 000100 000000 000000 000000 000000 000000* +L0015504 000000 000000 000000 000000 000000 000000 000000 000000* +L0015552 00000001 00000000 00000010 00100000 00000111 00000001 00000000 00000010* +L0015616 00000001 00000000 00000000 00000001 00000000 00000001 00000000 00000000* +L0015680 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* +L0015744 00000001 00000000 00000000 00000000 00100001 00000001 00000000 00000000* +L0015808 00000001 00000000 00000000 00000000 00001010 00000000 00000000 00000001* +L0015872 00000001 00000000 00000001 00001000 00000000 00000010 00000000 00000000* +L0015936 00000001 00000000 00000000 00000000 00000000 00000011 00000000 00000000* +L0016000 00000011 00000000 00000000 00000000 00000000 00000010 00000000 00000001* +L0016064 00000011 00000000 00000000 00000001 00000000 00000111 00000001 00000010* +L0016128 000000 000000 000000 000000 001000 000000 000000 000000* +L0016176 000000 000000 000000 000100 000000 100000 000000 000000* +L0016224 000000 000000 000000 000000 000000 100000 000000 000000* +L0016272 000000 000000 000000 000000 010000 100000 000000 000000* +L0016320 000000 000000 000000 000000 000001 000000 000000 000000* L0016368 000000 000000 000000 000000 000000 000000 000000 000000* -L0016416 00000111 00000000 00010011 00000010 00000000 00000000 00000010 00010001* -L0016480 00000100 00000000 00000001 00000011 00000011 00000000 00000000 00000110* -L0016544 00000101 00000000 00000010 00000000 00000101 00000000 10000000 00010111* -L0016608 00000001 00000000 00000011 00000000 00000010 00000000 10000000 00000111* -L0016672 00000000 00000000 00000001 00000001 00000001 00000000 10000000 00010110* -L0016736 00000001 00010000 00000001 10000001 00000011 00000000 00000000 00000011* -L0016800 00000011 00000000 00000010 10011001 00000001 00000000 00100000 00100001* -L0016864 00000111 00000000 00000011 00001001 10000001 00000001 00000001 00000010* -L0016928 00000010 00000000 00000001 10001000 00000011 00000000 00000100 00100001* -L0016992 000000 000000 000000 100000 000001 000000 000001 000000* -L0017040 001010 000000 000000 000000 000000 000000 000000 000000* -L0017088 000000 000000 000000 000000 000000 000000 000000 000000* -L0017136 000000 000000 000000 000010 000000 000000 000001 000000* -L0017184 000000 000000 000000 000000 010000 000000 000001 000000* -L0017232 000000 000000 000001 000000 010001 000000 000001 001000* -L0017280 00000000 00000000 00000000 00000000 00000011 00000100 00000000 00000010* -L0017344 00000000 00000000 00000010 00000000 00000000 00000101 00000000 00000000* -L0017408 00000000 00000000 00000001 00000000 00000000 00000100 00000000 00000000* -L0017472 00000000 00000000 00000000 00000100 00000001 00000101 00000000 00000000* -L0017536 00000000 00000000 00000000 10000100 00000010 00001100 00000000 00000001* -L0017600 00000000 00000000 00000000 10000000 00000000 00000100 00000000 00000000* -L0017664 00000001 00000000 00010001 10001000 00000000 00100001 00000000 00000000* -L0017728 00000001 00000000 00000000 10001000 00000000 00100000 00000000 00000000* -L0017792 00000001 00000000 00000010 10001001 00000000 00100011 00000001 00000010* -L0017856 000000 000000 000000 100000 000000 000000 000000 000000* -L0017904 000000 000000 000000 101010 000000 000100 000000 000010* -L0017952 000000 000000 000000 000010 000000 000000 000000 000000* -L0018000 000000 000000 000000 000010 000000 000100 000000 000000* -L0018048 000000 000000 000000 000010 000000 000100 000000 000000* -L0018096 000000 000000 000000 000010 000000 000000 000000 000000* -L0018144 00000010 00000000 00000010 00000010 00000000 01000001 00000010 00000101* -L0018208 00000000 00000000 00010001 00000010 00000010 00000000 00000000 00000010* -L0018272 00000000 00000000 00010010 00000000 00000001 00000000 00000000 00000011* -L0018336 00000000 00000000 01000000 00000000 00000010 00000000 00000000 00000011* -L0018400 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00000010* -L0018464 00000000 00000000 00010001 00000001 00000011 00000000 00000000 00000001* -L0018528 00000000 00000000 00000010 00000001 00100001 00000000 01000000 00000001* -L0018592 00000000 00000000 00000011 00000001 00000001 00010010 00000001 00000011* +L0016416 00000011 01000000 00000001 00000010 00000000 00001000 00000010 00000101* +L0016480 00000001 00000100 00000111 00000011 00000010 00000100 00000000 00000010* +L0016544 00000000 00000000 00100111 00000000 00010101 00000000 10000000 00000011* +L0016608 00000001 00000000 00100101 00000000 00000010 00000000 10000000 00000011* +L0016672 00000001 00000000 00100101 00000001 00000101 00000000 10000000 00000010* +L0016736 00000001 00000000 10010010 10000001 00000010 00000000 00000000 00000011* +L0016800 00000001 00000000 00010011 10011001 10000011 00000000 00100000 00000001* +L0016864 00000011 00000000 00010011 00001001 10001011 00000001 00000001 00000010* +L0016928 00000010 00000000 00010001 10001000 00001011 00000000 00000100 00000001* +L0016992 000000 000000 000100 100000 100000 000000 000001 000000* +L0017040 000000 000000 000100 000000 000000 000000 000000 000000* +L0017088 000000 000000 000100 000000 000000 000000 000000 000000* +L0017136 000000 000000 000101 000010 000000 000000 000001 000000* +L0017184 000000 000000 000000 000000 000100 000000 000001 000000* +L0017232 000000 000000 100000 000000 011000 000000 000001 000000* +L0017280 00000000 00000000 00000010 00000000 00000110 00000000 00000000 00000010* +L0017344 00000000 00000000 00000000 00000000 00000100 00000001 00000000 00000000* +L0017408 00000000 00000000 00000000 00000000 00100100 00000000 00000000 00000000* +L0017472 00000000 00000000 00000000 00000000 00000101 00000001 00000000 00000000* +L0017536 00000000 00000000 00000000 00000000 00000110 00000000 00000000 00000001* +L0017600 00011100 00000000 00000001 00000000 00000100 00000000 00000000 00000000* +L0017664 00000001 00000000 00000100 01000000 00000100 00000001 00000000 00000000* +L0017728 00000001 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0017792 00000001 00000000 00000000 00000001 00000100 00000011 00000001 00000010* +L0017856 000000 000000 000000 000000 000011 000100 000000 000000* +L0017904 000000 000000 000000 000000 000001 000000 000000 000000* +L0017952 000010 000000 000000 000000 000001 000000 000000 000000* +L0018000 000000 000000 000000 000000 000000 000000 000000 000000* +L0018048 000000 000000 000000 000000 000000 000000 000000 000000* +L0018096 000000 000000 000000 000000 000000 000000 000000 000000* +L0018144 00010110 00000000 00000001 00000010 00010000 00000001 00000010 00000001* +L0018208 00000100 00000000 00000011 00000010 00000010 00000000 00000000 00000010* +L0018272 00000100 00000000 00000011 00000000 00000001 00000000 00000000 00000011* +L0018336 00000000 00000000 00000001 00000000 00000010 00000000 00000000 00000011* +L0018400 00000000 00000000 00000001 00000000 00000001 00000000 00000000 00000010* +L0018464 00000000 00000000 00000010 00000001 00000010 00000000 00000000 00000001* +L0018528 00000000 00000000 00000011 00000001 00000011 00000000 01000000 00000001* +L0018592 00000100 00000000 00000011 00000001 00000011 00000010 00000001 00000011* L0018656 00000000 00000000 00000001 00000000 00000011 00000000 00000000 00000001* L0018720 000000 000000 000000 000000 000000 000000 000000 000000* -L0018768 000000 000000 100000 000000 000000 000001 000000 000000* -L0018816 000000 000000 000000 100000 000000 000000 000000 000010* -L0018864 000000 000000 000000 000001 000000 001000 000000 000000* +L0018768 000010 000000 000000 000000 000000 000000 000000 000000* +L0018816 000000 000000 000000 000000 000000 000000 000000 000000* +L0018864 000000 000000 000000 000000 000000 000000 000000 000000* L0018912 000000 000000 000000 000000 000000 000000 000000 000000* -L0018960 000000 000000 000001 000000 000000 000000 000000 000000* -L0019008 00000001 00000000 00000000 00000000 01000011 00000000 00000000 00000010* -L0019072 00000000 00000000 00000001 00000011 00000010 00000001 00000000 00000000* -L0019136 00000000 00000000 00000000 00000000 00000000 00000010 00000001 00000010* -L0019200 00000001 00000000 00000000 00000000 00000001 00000000 00000000 00000000* -L0019264 00000000 00000000 00000000 00000010 01000000 00000011 00000000 00000011* -L0019328 00000011 00000000 00000001 00000010 00000000 00000000 00000000 00000010* -L0019392 00000000 00000000 00000000 00000011 00000001 00000011 00000000 00000000* -L0019456 00000001 00000000 00000001 00000000 00000010 00000001 00000000 00000010* -L0019520 00000000 00000000 00000000 00000000 01000000 00000110 00000000 00000000* -L0019584 000000 000000 000000 000000 000000 000000 000000 000000* -L0019632 000000 000000 000000 000000 010000 100000 000000 000000* -L0019680 000000 000000 000000 000000 010000 100000 000000 000000* -L0019728 000000 000000 000000 000000 010000 100000 000000 000000* -L0019776 000000 000000 000000 000000 000000 000000 000000 000000* -L0019824 000000 000000 000000 000000 000000 000000 000000 000000* -L0019872 00000000 00000000 00000000 00000000 00000011 00001000 00000000 00000010* -L0019936 00000000 00000000 00000011 00000000 10011000 00000101 00000000 00000000* -L0020000 00000000 00000000 00000001 00000000 10011001 00000000 00000000 00000001* -L0020064 00100000 00000000 00000000 00000000 10011001 00000000 00000000 00000000* -L0020128 00000000 00000000 00000000 00000000 10011010 00000000 00000000 00000001* -L0020192 00000000 00000000 00000001 00000000 00000101 00000000 00000000 00010000* -L0020256 00000000 00000000 00000001 00000001 00000101 00000000 00000000 00000000* -L0020320 00000000 00000000 00000010 00000000 00000100 00000000 00000000 00000011* -L0020384 00100000 00000000 00000010 00000001 00000111 00000011 00000001 00000010* -L0020448 000000 000000 000000 000000 000000 000000 000000 000000* -L0020496 000000 000000 000000 000000 001100 000000 000000 000000* -L0020544 000000 000000 000000 000000 000001 000000 000000 000100* -L0020592 000000 000001 000000 000000 000001 000000 000000 000000* -L0020640 000000 000000 000000 000000 000001 000000 000000 000000* -L0020688 000000 000000 000000 000000 000000 000000 000000 000000* -L0020736 00000000 00000000 00000000 00000000 00000010 00000000 00000010 00100010* -L0020800 00000000 00000000 00000010 00000010 00000010 00000000 00000000 00000010* -L0020864 00000000 00000000 00000010 00000000 00000000 00000000 00000000 00000010* -L0020928 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0020992 00000000 00000000 00000000 00000000 01000010 00000000 00000000 00000011* -L0021056 00000000 00000000 00000000 00000000 00000010 00000000 00000000 00000000* -L0021120 00000000 00000000 00100001 01000000 00000000 00001000 00000000 00001000* -L0021184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0021248 00000001 00000000 00000000 00000001 00000000 00000000 00000001 00000010* -L0021312 000000 000000 000000 000000 000000 000000 000000 000000* +L0018960 000000 000000 000000 000000 000000 000000 000000 000000* +L0019008 00000000 00000000 00000001 00000000 00000010 00000000 00000000 00000010* +L0019072 00000001 00000000 00000010 00000011 00000010 00000001 00000000 00000000* +L0019136 00000000 00000000 00010000 00000001 00000000 00000010 00000001 00000010* +L0019200 00000010 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0019264 00000001 00000000 00000001 10000110 00000000 00000011 00000000 00000011* +L0019328 00000011 00000000 00000000 10000010 00000000 00000000 00000000 00000010* +L0019392 00000000 00000000 00000000 10001011 00000000 00000011 00000000 00000000* +L0019456 00000001 00000000 00000001 10001000 00000000 01000001 00000000 00000010* +L0019520 00000000 00000000 00000000 10001000 00000001 00000010 00000000 00000000* +L0019584 000000 000000 000000 100000 000000 000000 000000 000000* +L0019632 000000 000000 000000 101010 000000 000000 000000 000010* +L0019680 000000 000000 000000 000010 000000 000000 000000 000000* +L0019728 000000 000000 000000 000010 000000 010000 000000 000000* +L0019776 000000 000000 000000 000010 000000 000000 000000 000000* +L0019824 000000 000000 000000 000010 000000 000000 000000 000000* +L0019872 00000000 00000000 00000010 00000000 00000010 00000000 00000000 00000110* +L0019936 00000000 00000000 00010001 00000000 00000000 00000001 00000000 00000000* +L0020000 00000000 00000000 00000001 00000000 00000000 00100000 00000000 00000001* +L0020064 00000000 00000000 00000000 00000000 00000001 00000000 00000000 00000000* +L0020128 00000000 00000000 00000001 00000000 00000010 00000000 00000000 00000001* +L0020192 00000000 00000000 00000001 00000000 00000000 01000000 00000000 00000000* +L0020256 00000000 00000000 00000010 00000001 00000000 00000000 00000000 00000000* +L0020320 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000011* +L0020384 00100000 00000000 00000010 00000001 00000001 00000011 00000001 00000010* +L0020448 000000 000000 000001 000000 000000 000000 000000 000000* +L0020496 000000 000000 000000 000000 000000 010000 000000 000000* +L0020544 000000 000000 001000 100000 000000 000000 000000 000010* +L0020592 000000 000000 001000 000001 000000 000000 000000 000000* +L0020640 001000 000000 010000 000000 000000 000000 000000 000000* +L0020688 000000 000000 010000 000000 000000 000000 000000 000000* +L0020736 00000000 00000000 00000010 00000000 00000010 10000000 00000010 00000010* +L0020800 00000000 00000000 00000010 00000010 00100010 10000000 00000000 00000010* +L0020864 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000010* +L0020928 00000000 00000000 00000000 00000000 01000001 00000000 00000000 00000000* +L0020992 00000000 00000000 00000000 00000000 01000011 00010000 00000000 00000011* +L0021056 00100000 00000000 00000001 00000000 00000010 00100000 00000000 00000000* +L0021120 00000000 00000000 00000000 00000000 00000010 00000000 00000000 00000000* +L0021184 00000000 00000000 00000010 00000000 01100000 00000000 00000000 00000000* +L0021248 00000001 00000000 00000000 00000001 01100000 00000000 00000001 00000010* +L0021312 000000 000000 000000 000000 001000 001000 000000 000000* L0021360 000000 000000 000000 000000 000000 000000 000000 000000* -L0021408 000000 000000 000000 000000 000000 000000 000001 000000* -L0021456 000000 000000 000000 000000 000000 000000 000000 000000* +L0021408 000000 000000 000000 000000 010000 100000 000001 000000* +L0021456 000000 000000 000000 000000 011000 100000 000000 000000* L0021504 000000 000000 000000 000000 000000 000000 000000 000000* L0021552 000000 000000 000000 000000 000000 000000 000000 000000* -L0021600 00000010 00000000 00000000 00000010 00000100 00000000 00001010 00000001* -L0021664 00000000 00000000 00000000 00000010 00000110 00000000 11110110 00000010* -L0021728 00000000 00000000 00000010 00000010 00000010 00000000 01110100 00000010* -L0021792 00000000 00000000 00000010 00000000 00000100 00000000 00000000 00000010* -L0021856 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000010* -L0021920 00000000 00000000 00000000 00000000 10000010 00000000 00000000 00000011* -L0021984 00000000 00000000 00000000 00000000 10000010 00000000 00000100 00000000* -L0022048 00000000 00000000 00000001 00000000 00000000 00000000 10000100 00000000* -L0022112 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00001000* -L0022176 000000 000000 000000 000000 100000 000000 000000 000000* -L0022224 000000 000010 000000 000000 000000 000000 000000 000000* -L0022272 000000 000000 000000 000000 001100 000000 100000 000000* -L0022320 000000 000000 000000 000000 001100 000000 100000 000000* -L0022368 000000 000000 000000 000000 001100 000000 000000 000000* -L0022416 000000 000000 000000 000000 001100 000000 000000 000000* -L0022464 00000011 00000001 10000001 00000001 00000011 00000011 00000011 00001010* -L0022528 00000011 00000001 10000011 00000011 00000011 00000011 00000011 00000010* -L0022592 00000011 00000001 10000011 00000001 00000001 00000011 00000011 10000011* -L0022656 00000011 00000011 10000011 00000001 00000001 00110011 00000011 00000000* -L0022720 00000011 00000011 10000010 00000011 00000011 00000011 00000011 00000011* -L0022784 00000011 00000011 10000011 00000010 00000011 10000011 00000011 00000011* -L0022848 00001011 00000011 10000011 00000011 00000001 01000011 00000011 00000001* -L0022912 00000011 00000011 00000011 00000010 00000010 01000011 00000011 00001011* -L0022976 00000011 00000011 10000011 00000011 00000011 00000011 00000011 00000010* -L0023040 000000 000000 100000 000000 000000 000000 000000 000000* -L0023088 000000 000000 000000 000000 000000 000000 000000 000001* -L0023136 000000 000000 100001 000000 000000 000000 000000 000000* -L0023184 000000 000000 100001 000000 000000 000000 000000 001000* -L0023232 000000 000000 100001 000000 000000 010000 000000 000000* -L0023280 000000 000000 100001 000000 000000 000000 000000 000000* -L0023328 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01010000* -L0023392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11011100* -L0023456 00000000 00000000 00000000 00000000 00000000 00000000 10000000 01011100* -L0023520 00000000 00000000 00000000 00000000 00000000 00000000 10000000 11011100* -L0023584 00000000 00000000 00000000 00000000 00000000 00000000 10000000 11011100* -L0023648 00000000 00000000 00000000 00000100 00000000 00000000 00000000 11100100* -L0023712 00000000 00000000 00000000 00000000 00010000 00000000 00000000 11101100* -L0023776 00001000 00000000 00000000 00000000 00000000 10000000 00000000 11100100* -L0023840 00000000 00000000 00000000 00000000 00000000 00000000 00000100 11101100* -L0023904 000000 000000 000000 000000 000000 000000 000001 111011* -L0023952 000000 000000 000000 000100 000000 000000 000000 000000* -L0024000 000000 000000 000000 000000 000000 010000 000000 000001* -L0024048 000000 000000 000000 000000 000000 010000 000001 100111* -L0024096 000000 000000 000000 000000 000010 000000 000001 001111* -L0024144 000000 000000 000000 000000 000010 000000 000001 001111* -L0024192 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* -L0024256 00000000 00000000 00000000 00000000 00000000 10000000 00000000 01000100* -L0024320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01001100* -L0024384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01001100* -L0024448 00000000 00000000 00000000 00000000 00000000 00010000 00000000 01001100* -L0024512 00000000 00000000 00000000 00000000 00000000 00100000 00000000 11000100* -L0024576 00000100 00000000 00001000 00000000 00000000 00000000 00000000 11000100* -L0024640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11000100* -L0024704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11000100* -L0024768 000000 000000 000000 000000 000000 001000 000000 110001* -L0024816 001100 000000 000000 000000 000000 000000 000000 000000* -L0024864 000010 000000 000000 000000 000000 100000 000001 000000* -L0024912 000000 000000 000000 000000 000000 100000 000000 000111* -L0024960 000000 000000 000000 000000 000000 000000 000000 010111* -L0025008 000000 000000 000000 000000 000000 000000 000000 000111* -L0025056 00001100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025120 00000100 00000000 00000000 00000000 00000000 01000000 11111100 00000000* -L0025184 00000100 00000000 00000000 00000000 00000000 00001000 01111100 00000000* +L0021600 00000010 00001000 00000000 00000010 00001100 00000000 00001010 00000101* +L0021664 00000000 00000000 00000010 00000010 10001010 01000000 11110110 00000010* +L0021728 00000000 00000000 00000010 00000010 10001010 00001000 01110100 00000010* +L0021792 00000000 00000000 00000000 00000000 10001000 00000000 00000000 00000010* +L0021856 00000000 00000000 00000000 00000000 10001001 00000000 00000000 00000010* +L0021920 00000000 00000000 00000000 00000000 00000011 00000000 00000000 00000011* +L0021984 00000000 00000000 00000001 01000000 00000110 00100000 00000100 00000000* +L0022048 00000000 00000000 00000000 00000000 00000110 00000000 10000100 00000000* +L0022112 00000000 00000000 00000010 00000000 00000110 00000000 00000000 00000000* +L0022176 000000 000000 000000 000000 000001 000000 000000 000000* +L0022224 000000 000000 000000 000000 000000 000100 000000 000000* +L0022272 000000 000000 000000 000000 000000 000000 100000 000000* +L0022320 000000 000000 000000 000000 000001 000000 100000 000000* +L0022368 000000 000000 000000 000000 000001 000001 000000 000000* +L0022416 000000 000000 000000 000000 000000 000000 000000 000000* +L0022464 00000011 00000001 00001011 00000001 00000011 00000011 00000011 00000010* +L0022528 00000011 00000001 00000011 00000011 00000011 00000011 00000011 00000010* +L0022592 00000011 00000011 00000011 00000001 00000001 00000011 00000011 00000011* +L0022656 00000011 00000011 00000010 00000001 01000001 00000011 00000011 00000000* +L0022720 00000011 00000011 00000011 00000011 00000011 00000011 00000011 00000011* +L0022784 00000011 00000011 00000011 00000010 00000011 00000011 00000011 00000011* +L0022848 00000011 00000011 00000010 00000011 00000010 00001011 00000011 00000001* +L0022912 00000011 00000011 00000011 00000010 00100000 00000011 00000011 00000011* +L0022976 00000011 00000011 00000010 00000011 00000011 00000011 00000011 00000010* +L0023040 000000 000000 000000 000000 000000 000000 000000 000000* +L0023088 000000 000000 000000 000000 000000 000000 000000 000000* +L0023136 000000 000000 000000 000000 000000 000000 000000 000010* +L0023184 000000 000000 000000 000000 000000 000000 000000 000000* +L0023232 000000 000000 000000 000000 000000 000000 000000 000000* +L0023280 000000 000000 000000 000000 000000 000000 000000 000000* +L0023328 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000100* +L0023392 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0023456 00000000 00000000 00000000 00000000 00000100 00000000 10000000 00000000* +L0023520 00000000 00000000 00000000 00000000 00000100 00000000 10000000 00000000* +L0023584 00000000 00000000 00000000 00000000 00000100 00000000 10000000 00000000* +L0023648 00000000 00000000 00000000 00000100 10000000 00000000 00000000 00000000* +L0023712 00000000 00000000 00000000 00000000 10001000 00000000 00000000 00000000* +L0023776 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* +L0023840 00000000 00000000 00000000 00000000 10001000 00000000 00000100 00000000* +L0023904 000000 000000 000000 000000 100000 000000 000001 000000* +L0023952 000000 000000 000000 000000 000000 000000 000000 000000* +L0024000 000000 000000 000000 000000 000000 000000 000000 000000* +L0024048 000000 000000 000000 000000 000000 000000 000001 000000* +L0024096 000000 000000 000000 000000 000000 000000 000001 000000* +L0024144 000000 000000 000000 000000 000100 000000 000001 000000* +L0024192 00011000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0024256 00000000 00000000 00000100 00000000 00000000 00000000 11111100 00000000* +L0024320 00000000 00000000 00000100 00000000 00000000 00000000 01111100 00000000* +L0024384 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0024448 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0024512 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0024576 00000100 00000000 00010000 00000000 00000000 00000000 00001100 00000000* +L0024640 00000000 00000000 00011000 00000000 00000000 00000000 10001100 00000000* +L0024704 00000000 00000000 00011000 00000000 00000000 00000000 00000000 00000000* +L0024768 000000 000000 000110 000000 000000 000000 000000 000000* +L0024816 000000 000000 000100 000000 000000 000000 000001 000000* +L0024864 000010 000000 000110 000000 000000 000000 100010 000010* +L0024912 000000 000000 100110 000000 000000 000000 100010 000000* +L0024960 000000 000000 000010 000000 000000 000000 000000 000000* +L0025008 000000 000000 000010 000000 000000 000000 000000 000000* +L0025056 00000100 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0025120 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025184 00000100 00000000 00001000 00000000 00000000 00000000 00000000 00000000* L0025248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0025312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025440 00000000 00000000 00000000 00000000 00001000 00100000 00001100 00000000* -L0025504 00000100 00000000 00000000 00000000 00000000 00000000 10001100 00000000* +L0025376 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025504 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0025568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0025632 000000 000000 000000 000000 000000 000000 000000 000000* -L0025680 000010 000000 000000 000100 000000 000100 000010 000000* -L0025728 000000 000000 000000 000000 000000 000000 100000 000000* -L0025776 000000 000000 000000 000000 000000 000000 100000 000000* -L0025824 000000 000000 000000 000000 000000 000001 000000 001000* -L0025872 000000 000000 000000 000001 000000 000000 000000 001000* -L0025920 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0025984 00000000 00000000 00000100 00000111 00000011 00000000 00000011 00000010* -L0026048 00000000 00000000 00000100 00000000 00000001 00000000 00000001 00000001* -L0026112 00000000 00000000 00000100 00000000 00000000 00000100 00000000 00000000* -L0026176 00000000 00000000 00000100 00000010 00000011 00000001 00000010 00000010* -L0026240 00000000 00000000 00000000 00000010 00000011 00000001 00000010 00000011* -L0026304 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0026368 00000000 00000000 00010101 00000000 00000000 00000001 00000010 00000010* -L0026432 00000000 00000000 00010110 00000000 00000010 00000000 00000010 00000000* -L0026496 000000 000000 000101 000000 000000 000000 000000 000000* -L0026544 000000 000001 000100 000000 000000 000010 000000 000000* -L0026592 000000 000000 000110 000000 000010 000000 000001 000010* -L0026640 000000 000000 000110 000000 000000 000000 000000 000000* -L0026688 000000 000000 000110 000000 000000 000000 000000 000000* -L0026736 000000 000000 000110 000000 000000 000000 000000 000000* -L0026784 00001000 00000000 00000100 00000000 00000000 00000000 00000100 00000100* -L0026848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0026912 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0025680 000010 000000 000010 000000 000000 000000 000000 000010* +L0025728 000000 000000 000000 000000 000000 000000 000000 000000* +L0025776 000000 000000 000000 000000 000010 000000 000000 000000* +L0025824 000000 000000 000000 000000 000000 000000 000000 000000* +L0025872 000000 000000 000000 000001 000000 000000 000000 000000* +L0025920 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000100* +L0025984 00000000 00000000 00000000 00000111 00000011 00000000 00000011 00000010* +L0026048 00000000 00000000 00000000 00000000 00000001 00000000 00000001 00000001* +L0026112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0026176 00000000 00000000 00000000 00000010 00000011 00001001 00000010 00000010* +L0026240 00000000 00000100 00000000 00000010 00000011 00000001 00000010 00000011* +L0026304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0026368 00000000 00000000 00000001 00000000 00000000 00000001 00000010 00000010* +L0026432 00000000 00000000 00000010 00000000 00000010 00000100 00000010 00000000* +L0026496 000000 000000 000000 000000 000000 000000 000000 000000* +L0026544 000000 000000 000000 000000 000000 000000 000000 000010* +L0026592 000000 000000 000000 000000 000000 000000 000001 000010* +L0026640 000000 000000 000000 000000 000000 000000 000000 000000* +L0026688 000000 000000 000000 000000 000000 000000 000000 000000* +L0026736 000000 000000 000000 000000 000000 000000 000000 000000* +L0026784 00011000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0026848 00000000 00000000 00000000 00000000 00000000 00000000 11111100 00000000* +L0026912 00000000 00000000 00000000 00000100 00000000 00000100 01111100 00000000* L0026976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0027040 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0027104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0027168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0027232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0027296 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0027104 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0027168 00000000 00000000 00000000 00000000 00000000 00000000 00001100 00000000* +L0027232 00000000 00000000 00000000 00000000 00000000 00000000 10001100 00000000* +L0027296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0027360 000000 000000 000000 000000 000000 000000 000000 000000* -L0027408 001100 000000 000000 000100 000000 000000 000000 000000* -L0027456 000000 000000 000000 000000 000000 000000 000000 000000* -L0027504 000000 000000 000000 000000 000000 000000 000000 000000* +L0027408 000000 000000 000000 000000 000000 000000 000000 000000* +L0027456 000000 000000 000000 000000 000000 000000 100010 000000* +L0027504 000000 000000 000000 000000 000000 000000 100010 000000* L0027552 000000 000000 000000 000001 000000 000000 000000 000000* L0027600 000000 000000 000000 000000 000000 000000 000000 000000* -L0027648 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0027648 00011000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* L0027712 00000000 00000000 00000000 00000000 00000011 00000000 00000011 00000010* L0027776 00000000 00000000 00000000 00000000 00000001 00000000 00000001 00000000* -L0027840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0027904 00000000 00000000 00000000 00000011 00000001 00001000 00000010 00000010* -L0027968 00000100 00000000 00000000 00000010 00000001 00000001 00000010 00000010* +L0027840 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0027904 00000000 00000000 00000000 00000011 00000001 00000000 00000010 00000010* +L0027968 00001100 00000000 00000000 00000010 00000001 00000001 00000010 00010010* L0028032 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0028096 00000000 00000000 00000001 00000010 00000000 00000000 00000010 00000010* -L0028160 00000000 00000000 00000000 00000000 00000010 00000100 00000011 00000000* -L0028224 000000 000000 000010 000000 000000 000000 000000 000000* -L0028272 001100 000000 000000 000000 000000 000000 000000 000000* +L0028160 00000000 00000000 00000000 00000000 00000010 00000000 00000011 00000000* +L0028224 000000 000000 000000 000000 000000 000000 000000 000000* +L0028272 000000 000000 000000 000000 000000 000000 000000 000000* L0028320 000000 000000 000000 000000 000000 000000 000000 000000* -L0028368 000000 000000 000000 000000 000000 000000 000000 001000* +L0028368 000000 000000 000000 000000 000000 000000 000000 000000* L0028416 000000 000000 000000 000000 000000 000000 000000 000000* L0028464 000000 000000 000000 000000 000000 000000 000000 000000* -L0028512 00000111 00000000 00000001 10000001 00000011 00000000 00000011 00000010* -L0028576 00000111 00000000 00000011 00000011 00000000 00000000 00000000 00000100* -L0028640 00000111 00000000 00000011 00000001 00000000 00000100 10000010 00000111* -L0028704 00000011 00000010 00000011 00000001 00000001 00000000 10000011 00000100* -L0028768 00000010 00000010 00000010 00000000 00000010 00000001 10000001 00000101* -L0028832 00000011 00001010 00000011 00000000 00000010 00000000 00000001 00000101* -L0028896 00000011 00000011 00000011 00000011 00000001 00000000 00000011 00000101* -L0028960 00000111 00000000 00000010 00000000 00001010 00000000 00000001 00000101* -L0029024 00000011 00000000 00000011 00000011 00000001 00000000 00000100 00000110* +L0028512 00000111 00000100 00000011 10001001 00000011 00000000 00000011 00000010* +L0028576 00000111 00000000 00000011 00000011 00000000 00000000 00000000 00000000* +L0028640 00000111 00000010 00000011 00000001 00000000 00000000 10000010 00000011* +L0028704 00000011 00000010 00000010 00000001 00000001 00000000 10000011 00000000* +L0028768 00000011 00000011 00000011 00000000 00000010 00000001 10000001 00000001* +L0028832 00000011 00000001 00000011 00000000 00000010 00000000 00000001 00000001* +L0028896 00000011 00000000 00000010 00000011 00000010 00000000 00000011 00000001* +L0028960 00000111 00000000 00000010 00000000 00000000 00000000 00000001 00000001* +L0029024 00000011 00000000 00000010 00000011 00000001 00000000 00000100 00000010* L0029088 000000 000000 000000 000000 000000 000000 000001 000000* -L0029136 000000 000000 000000 000100 000000 000000 000000 000000* +L0029136 000000 000000 000000 000000 000010 000000 000000 000000* L0029184 000000 000000 000000 000000 000000 000000 000000 000000* L0029232 000000 000000 000000 000000 000000 000000 000001 000000* -L0029280 000000 000000 000000 000000 000010 000000 000001 000000* +L0029280 000000 000000 000000 000000 000000 000000 000001 000000* L0029328 000000 000000 000000 000000 000000 000000 000001 000000* -L0029376 00000000 00000001 00000000 00000000 00000000 00000010 00000000 00000000* -L0029440 00000000 00000001 00000000 00000100 00000000 00000001 00000000 00000000* -L0029504 00000000 00000001 00000000 00000000 00000000 00000011 10000000 00000000* -L0029568 00000000 00000001 00000000 00000000 00000000 00000011 10000000 00000000* -L0029632 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* -L0029696 00000000 00000001 00000000 00000000 00000000 00000000 00000000 00010000* -L0029760 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* -L0029824 00000000 00000001 10000000 00000000 00000000 00000011 00000000 00000000* -L0029888 00000000 00000010 00000000 00000000 00000000 00000011 00000100 00000000* -L0029952 000000 000000 000000 000000 000000 000000 000001 000000* -L0030000 000000 000000 000000 000001 000000 000000 000000 000000* -L0030048 000000 000000 000000 000000 000000 000000 000000 000000* -L0030096 000000 000000 000000 000000 000000 000000 000001 000000* -L0030144 000000 000000 000000 000000 000000 000000 000001 000000* -L0030192 000000 000000 000000 000000 000000 000000 000001 000000* -L0030240 00000000 00000000 00000000 10000001 00000000 00000001 00000000 00000000* -L0030304 00000000 00000000 00000000 10000000 01000000 00000001 00000000 00000000* -L0030368 00000000 00000000 00000000 10000100 00000000 00000001 00000000 00000000* -L0030432 00000000 00000010 00000000 10000100 00000000 00000000 00000000 00000000* -L0030496 00000001 00000001 00000000 10000100 00000010 00000010 00000000 00000000* -L0030560 00000000 00000100 00000000 10000100 00000000 00000010 00000000 00000000* -L0030624 00000000 00000000 00000000 10001100 00000000 00000010 00000000 00000000* -L0030688 00000000 00000010 00000000 10001100 00000000 10000001 00000000 00000000* -L0030752 00000000 00000001 00000000 10001100 01000000 00000110 00000000 00000000* -L0030816 000000 000000 000000 100001 000000 000000 000000 000000* -L0030864 000001 000000 000000 000010 000001 000000 000000 000000* -L0030912 000000 000000 000000 000011 000000 000000 000000 000000* -L0030960 000000 000000 000000 000011 000000 000000 000000 000000* -L0031008 000000 000000 000000 000011 000000 000000 000000 000000* -L0031056 000000 000000 000000 000011 000000 000000 000000 000000* +L0029376 00000000 00000001 00000000 10001100 00000000 00000010 00000000 00000000* +L0029440 00000000 00000001 00100000 10000000 00000000 00000001 00000000 01000100* +L0029504 00000000 00000000 00000000 10000000 00100000 00000011 10000000 01001100* +L0029568 00000000 00000001 00000000 10000000 00010000 00110011 10000000 01001100* +L0029632 00000000 00000000 00000000 00000000 00000000 00000000 10000000 01001100* +L0029696 00000000 00000000 00000000 00000100 00000000 10000000 00000000 11000100* +L0029760 00000000 00000011 00000000 00000100 00000000 01000001 00000000 11000100* +L0029824 00000000 00000010 00000000 00000100 00000000 01000011 00000000 11000100* +L0029888 00000000 00000010 00000000 00000100 00000000 00000011 00000100 11000100* +L0029952 000000 000000 000000 000001 000010 000000 000001 000001* +L0030000 000000 000000 000000 000000 000000 000000 000000 000000* +L0030048 000000 000000 000000 000001 000000 000000 000000 000000* +L0030096 000000 000000 000000 000001 000000 000000 000001 000111* +L0030144 000000 000000 000000 000001 000000 010000 000001 010111* +L0030192 000000 000000 000000 000001 000000 000000 000001 000011* +L0030240 00000000 00000000 00000000 00000001 00000000 00000001 00000000 00010000* +L0030304 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* +L0030368 00000000 00000001 00000000 00000100 00000000 00000001 00000000 00000000* +L0030432 10000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0030496 10000000 00000000 00000000 00000000 00000010 00000010 00000000 00000000* +L0030560 00000000 01000010 00000000 00000000 00000000 00000010 00000000 00100000* +L0030624 00000000 00000000 00000000 00000000 00000000 00000010 00000000 00100000* +L0030688 00000000 00000001 00000000 00000000 01000000 10000001 00000000 00100000* +L0030752 00000000 00000001 00000000 00000000 00000000 00000010 00000000 00100000* +L0030816 000000 000000 000000 000000 010000 000000 000000 001000* +L0030864 000000 000000 000000 000001 000001 000000 000000 000000* +L0030912 000000 000000 000000 000000 000000 010000 000000 000000* +L0030960 000001 000000 000000 000000 000000 010000 000000 000000* +L0031008 000000 000000 000000 000000 000000 000000 000000 000000* +L0031056 000000 000000 000000 000000 000000 000000 000000 000000* L0031104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0031168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0031232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0031232 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* L0031296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0031360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0031424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -646,110 +646,110 @@ L0031824 000000 000000 000000 000000 000000 000000 000000 000000* L0031872 000000 000000 000000 000000 000000 000000 000000 000000* L0031920 000000 000000 000000 000000 000000 000000 000000 000000* L0031968 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032096 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0032032 00000001 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0032096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0032160 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032224 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0032224 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0032288 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032352 00000011 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0032352 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0032416 00000011 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0032480 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032544 000000 000000 000000 000000 000000 000000 000000 000000* +L0032544 000000 000000 000001 000000 000000 000000 000000 000000* L0032592 000000 000000 000000 000000 000000 000000 000000 000000* -L0032640 000000 000000 000000 000000 000000 000000 000000 000000* -L0032688 000000 000000 000000 000000 000000 000000 000000 000000* -L0032736 000000 000000 000000 000000 000000 000000 000000 000000* -L0032784 000000 000000 000000 000000 000000 000000 000000 000000* -L0032832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0032896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0032640 000000 000000 001000 000000 000000 000000 000000 000000* +L0032688 000000 000000 001000 000000 000000 000000 000000 000000* +L0032736 000000 000000 010000 000000 000000 000000 000000 000000* +L0032784 000000 000000 010000 000000 000000 000000 000000 000000* +L0032832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0032896 00000000 00000000 00000000 00000000 00000000 00000000 11111100 00000000* L0032960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0033024 00000000 00000000 00101000 00000000 00000000 00000000 00000000 00000000* -L0033088 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0033024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0033088 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0033152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0033216 00010000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0033216 00000000 00000000 00000000 00000000 00000000 00000000 00001100 00000000* L0033280 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0033344 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0033408 000000 000000 000000 000000 000000 000000 000000 000000* -L0033456 000000 000000 000000 000000 100000 000000 000000 000010* -L0033504 000000 000000 000000 000000 100000 000000 000000 000010* -L0033552 000000 000000 000000 000000 000000 000000 000000 000000* +L0033456 000000 000000 000000 000000 000000 000000 000000 000000* +L0033504 000100 000000 010000 000000 000000 000000 100010 000000* +L0033552 000000 000000 010000 000000 000000 000000 000000 000000* L0033600 000000 000000 000000 000000 000000 000000 000000 000000* -L0033648 000000 000000 000000 000000 000000 000000 000000 000000* -L0033696 00000001 00000000 00000001 00000000 00000000 00000000 00000011 00000010* -L0033760 00000001 00000000 00000000 00000000 00000000 00000010 00000000 00000000* -L0033824 00000000 00000000 00000010 00000000 00000000 00000000 10000010 00000000* -L0033888 00000000 00000000 00000000 00000100 00000000 00000000 10000011 00000000* -L0033952 00000001 00000000 00000100 10000100 00000000 00000010 10000001 00000001* -L0034016 00010000 00000000 00000000 10010000 00010000 00000010 00000001 00000001* -L0034080 00000001 00000000 00000101 10011000 00000000 00000000 00000011 00000001* -L0034144 00000000 00000000 00110110 10001000 00000010 00000010 00000001 00000001* -L0034208 00000000 00000000 00110100 10001000 00000000 00000000 00000100 00000000* -L0034272 000000 000000 101101 100000 000000 000000 000001 000000* -L0034320 000000 000000 000100 000010 000000 000000 000000 000000* -L0034368 000000 000000 000100 000010 000000 000000 000000 000000* -L0034416 000000 000000 000110 010010 000000 000000 000001 000000* -L0034464 000000 000000 000100 000010 000010 000000 000001 000000* -L0034512 000000 000000 001100 000010 000010 000000 000001 000000* -L0034560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0034624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0034688 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0034752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0034816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0034880 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0034944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035008 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035072 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0033648 000000 000000 000100 000000 000000 000000 000000 000000* +L0033696 00000000 00000000 00000000 00000000 00000000 00000000 11111111 00000010* +L0033760 00000001 00000000 00000100 00000000 00000000 00000010 00000000 00000000* +L0033824 00000001 00000000 00100100 00000001 00000000 00000000 10000010 00000000* +L0033888 00000000 00000000 00100100 00000100 00000000 00000000 10000011 00000000* +L0033952 00000000 00000000 10100100 10000100 00000000 00000010 10000001 00000001* +L0034016 00000000 00000000 10010011 10010000 00000000 00000010 00001101 00000001* +L0034080 00000001 00000000 00010010 10011000 00000000 00000000 00000011 00000001* +L0034144 00000000 00000000 00010010 10001000 00000000 00000010 00000001 00000001* +L0034208 00000000 00000001 00011000 10001000 00000000 00000000 00000100 00000000* +L0034272 000000 000000 001100 100000 000010 000000 000001 000000* +L0034320 000100 000000 000100 000010 000000 000000 100010 000000* +L0034368 000000 000000 000100 000010 000000 000000 000001 010000* +L0034416 000000 000000 000100 010010 000000 000000 000001 000000* +L0034464 000000 000000 000000 000010 000000 000000 000001 000000* +L0034512 000000 000000 100010 000010 000000 000000 000001 000000* +L0034560 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0034624 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0034688 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0034752 00000000 00000000 00000000 00000000 00000000 00000100 00000000 01000000* +L0034816 00000000 00000000 00000000 00000000 00000000 00001100 00000000 00000000* +L0034880 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0034944 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0035008 00000000 00000000 10000000 00000000 00000000 00100000 00000000 00000000* +L0035072 00000000 00000000 00000000 00000000 00000000 00100100 00000000 10000000* L0035136 000000 000000 000000 000000 000000 000000 000000 000000* -L0035184 000000 000000 000000 000000 000000 000000 000000 000000* +L0035184 000000 000000 000000 000000 000000 000100 000000 000000* L0035232 000000 000000 000000 000000 000000 000000 000000 000000* -L0035280 000000 000000 100000 000000 000000 000000 000000 000000* -L0035328 000000 000000 000000 000000 000000 000000 000000 000000* -L0035376 000000 000000 000000 000000 000000 000000 000000 000000* +L0035280 000000 000000 000000 000000 000000 000100 000000 000000* +L0035328 000000 000000 000000 000000 000000 000100 000000 000000* +L0035376 000000 000000 000000 000000 000000 000000 000000 000010* L0035424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035552 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* -L0035616 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* -L0035680 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* -L0035744 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* -L0035808 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0035872 00000000 00000000 00110100 00000000 00000000 00000000 00000000 00000000* -L0035936 00000000 00000000 00110100 00000000 00000000 00000000 00000100 00000000* -L0036000 000000 000000 001101 000000 000000 001000 000001 000000* +L0035488 00000000 00000000 00000100 00000000 00000000 01000000 00000000 00010100* +L0035552 00000000 00000000 00100100 00000000 00000000 01000000 10000000 00010100* +L0035616 00000000 00000000 00100100 00000000 00000000 00000000 10000000 00010100* +L0035680 00000000 00000000 00100100 00000000 00000000 00000000 10000000 00010100* +L0035744 00000000 00000000 10010000 00000000 00000000 00010000 00000000 00000100* +L0035808 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000100* +L0035872 00000000 00000000 00010000 00000000 00000000 00000100 00000000 00000100* +L0035936 00000000 00000000 00010000 00000000 00000000 00000000 00000100 00000100* +L0036000 000000 000000 000100 000000 000000 000000 000001 000000* L0036048 000000 000000 000100 000000 000000 001000 000000 000000* L0036096 000000 000000 000100 000000 000000 000000 000000 000000* -L0036144 000000 000000 000100 000000 000000 001000 000001 000000* -L0036192 000000 000000 000100 000000 000010 001000 000001 000000* -L0036240 000000 000000 000100 000000 000010 001000 000001 000000* +L0036144 000000 000000 000100 000000 000000 000000 000001 000000* +L0036192 000000 000000 000000 000000 000000 000001 000001 000000* +L0036240 000000 000000 100000 000000 000000 000000 000001 000000* L0036288 00000000 00000000 00000000 00000000 00000010 00000000 00000000 00000000* L0036352 00000000 00000000 00000000 00000010 00000000 00000000 00000000 00000000* L0036416 00000000 00000000 00000000 00000000 00000000 00000010 00000000 00000000* -L0036480 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* -L0036544 00000000 00000000 00000000 00000000 00000000 00000101 00000000 00000000* +L0036480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0036544 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* L0036608 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11000000* L0036672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0036736 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0036800 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0036800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0036864 000000 000000 000000 000000 000000 000000 000000 000000* L0036912 000000 000000 000000 000000 000000 000000 000000 000000* L0036960 000000 000000 000000 000000 000000 000000 000000 000000* L0037008 000000 000000 000000 000000 000000 000000 000000 000100* -L0037056 000000 000000 000000 000000 000000 000100 000000 000000* +L0037056 000000 000000 000000 000000 000000 000000 000000 000000* L0037104 000000 000000 000000 000000 000000 000000 000000 000000* L0037152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0037216 00000000 00000000 10000000 00000000 00000000 10000000 00000000 00010100* -L0037280 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010100* -L0037344 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00010100* -L0037408 00000000 00000000 00000000 10000100 00000000 00000000 00000000 00010100* -L0037472 00000000 00000000 00000000 10010000 00000000 00100000 00000000 00000100* -L0037536 00000000 00000000 00000100 10011000 00000000 00000100 00000000 00000100* -L0037600 00000000 00000000 00110100 10001000 00000000 00000000 00000000 00000100* -L0037664 00000000 00000000 00110100 10001000 00000000 00000000 00000000 00000100* -L0037728 000000 000000 001101 100000 000000 000000 000000 000000* +L0037216 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00010100* +L0037280 00000000 00000000 00100100 00000000 00000000 00000000 00000000 00010100* +L0037344 00000000 00000000 00100100 00000100 00000000 00000000 00000000 00010100* +L0037408 00000000 00000000 00100100 10000100 00000000 00000000 00000000 00010100* +L0037472 00000000 00000000 10010000 10010000 00000000 00000000 00000000 00000100* +L0037536 00000000 00000000 00010000 10011000 00010000 00000000 00000000 00000100* +L0037600 00000000 00000000 00010000 10001000 00000000 00000000 00000000 00000100* +L0037664 00000000 00000000 00010000 10001000 00000000 00000000 00000000 00000100* +L0037728 000000 000000 000100 100000 000000 000000 000000 000000* L0037776 000000 000000 000100 000010 000000 000000 000000 000000* -L0037824 000000 000000 000100 000010 000000 000000 000000 000000* -L0037872 000000 000000 000100 000010 000000 100000 000000 000000* -L0037920 000000 000000 000100 000010 000000 000000 000000 000000* -L0037968 000000 000000 000100 000010 000000 000000 000000 100000* +L0037824 000000 000000 000101 000010 000000 000000 000000 000000* +L0037872 000000 000000 000100 000010 000000 000000 000000 000000* +L0037920 000000 000000 000000 000010 000000 000000 000000 000000* +L0037968 000000 000000 100000 000010 000000 000000 000000 100000* L0038016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0038080 00000000 00000000 00000000 00000011 00000011 00000011 00000011 00000010* L0038144 00000000 00000000 00000000 00000000 00000001 00000001 00000001 00000001* @@ -767,114 +767,114 @@ L0038784 000000 000000 000000 000010 000000 000000 000000 000000* L0038832 000000 000000 000000 000010 000000 000000 000001 000000* L0038880 00000011 00000001 00000011 00000011 00000011 00000011 00000011 00000011* L0038944 00000011 00000001 00000011 00000011 00000011 00000011 00000011 00000011* -L0039008 00000011 00000001 00000011 00000011 00000011 00000011 10000010 00000011* +L0039008 00000011 00000011 00000011 00000011 00000011 00000011 10000010 00000011* L0039072 00000011 00000011 00000011 00000101 00000011 00000011 00000011 00000011* L0039136 00000011 00000011 00000011 10000011 00000011 00000011 00000011 00000011* L0039200 00000011 00000011 00000011 10000011 00000011 00000011 00000011 00000011* L0039264 00000011 00000011 00000011 10001011 00000011 00000011 00000011 00000011* -L0039328 00000011 00000011 00000011 00000001 00010011 00000011 00000011 00000011* +L0039328 00000011 00000011 00000011 00000001 00000011 00000011 00000011 00000011* L0039392 00000011 00000011 00000011 00000011 00000011 00000011 00000111 00000011* L0039456 000000 000000 000000 000000 000000 000000 000000 000000* -L0039504 100000 000000 000000 000010 000000 000000 000000 000000* +L0039504 100000 000000 000000 000010 000100 000000 000000 000000* L0039552 000000 000000 000000 000010 000000 000000 000000 000000* L0039600 000000 000000 000000 000010 000000 000000 000001 000000* L0039648 000000 000000 000000 000000 000000 000000 000001 000000* -L0039696 000000 000000 000000 000000 000000 000000 000000 000000* -L0039744 00000010 00000000 01000011 00000010 00000011 00000011 00000011 00000011* -L0039808 00000001 00000000 01101011 00000011 00000011 00000011 00000011 00000010* -L0039872 00000000 00000000 00100011 00000010 00000111 00000011 10000010 00000011* -L0039936 00000000 00000000 00101011 00000100 00000011 00000011 10000011 00000011* -L0040000 00000001 00000000 00100011 10000111 00000011 00000011 10000011 00000011* -L0040064 00000011 00000000 00000011 10000011 00000011 00000010 00000011 00000011* -L0040128 00000001 00000000 00000111 10001001 00000011 00000011 00000011 00000001* -L0040192 00000001 00000001 00010111 10001011 10000011 00000011 00000011 00000011* -L0040256 00000001 00000000 00010111 10001001 00000011 00000011 00000111 00000011* -L0040320 000000 000000 000101 100000 000001 000000 000001 000000* -L0040368 000000 000000 000100 000010 100000 000000 000000 000000* -L0040416 000000 000000 000100 000010 110000 000000 000000 000000* -L0040464 000000 000000 000100 000010 000000 000000 000001 000000* -L0040512 000000 000000 000100 000010 010010 000000 000001 000000* -L0040560 000000 000000 000100 000010 010011 000000 000001 100000* -L0040608 00000010 00000000 00000011 00000010 00000111 00000001 00000011 00100011* -L0040672 10000000 00000000 00000111 00000010 10011111 00000011 00000010 00000010* -L0040736 10000000 00000000 00000111 00000000 00000001 00000011 00000010 00000011* -L0040800 00000000 00000000 00000011 00000000 00000011 00000011 00000011 00000011* -L0040864 00000001 00000000 00000001 00000001 00000011 00000010 00000001 00000011* -L0040928 00000001 00000000 10000001 00010011 10000111 00000010 00000001 00000011* -L0040992 00000001 00000000 00000011 00010001 10000011 00000011 00000011 00000001* -L0041056 00000001 00000000 00100011 00000001 00000011 00000011 00000001 00000011* -L0041120 00000001 00000001 00000011 00000001 00000011 00000011 00000001 00000011* +L0039696 000000 000000 000001 000000 000000 000000 000000 000000* +L0039744 00000010 00000000 00000011 00000010 00000011 00000111 00000011 00000011* +L0039808 00000000 00000000 00000111 00000011 00010011 00000111 00000011 01000110* +L0039872 00000001 00000000 00000111 00000010 00010011 00000111 10000010 01001111* +L0039936 00000000 00000000 00010101 00000100 00000011 00000111 10000011 01001111* +L0040000 00000000 00000000 00011111 10000111 00000011 00001111 10000011 01001111* +L0040064 00000011 00000000 10010011 10000011 00000011 00000010 00000011 11000111* +L0040128 00000011 00000001 00010011 10001001 00000011 00100011 00000011 11000101* +L0040192 00000001 00000000 00010011 10001011 10000011 00100011 00000011 11000111* +L0040256 00000001 00000001 00010011 10001001 00000011 00100011 00000111 11000111* +L0040320 000000 000000 000100 100000 000000 000000 000001 110001* +L0040368 000000 000000 000100 000010 100000 000100 000000 000000* +L0040416 000000 000000 010100 000010 110000 000000 000000 000000* +L0040464 000000 000000 010100 000010 001100 000100 000001 000111* +L0040512 000000 000000 000000 000010 011100 000100 000001 010111* +L0040560 000000 000000 100100 000010 011001 000000 000001 000111* +L0040608 00000010 00000000 10000011 00000010 00000011 00000001 00000011 00000011* +L0040672 10000000 00000000 00000011 00000010 10001110 00000011 00000010 00000010* +L0040736 10000000 00000000 00100011 00000000 00000101 00000011 00000010 00000011* +L0040800 00000000 00000000 00000001 00000000 00000011 00000011 00000011 00000011* +L0040864 00000000 00000000 00000001 00000001 00000011 00000010 00000001 00000011* +L0040928 00000001 00000000 00100011 00010011 10000011 00000010 00000001 00000011* +L0040992 00000001 00000000 00000011 00010001 10001111 00000011 00000011 00000001* +L0041056 00000001 00000001 00000011 00000001 00001011 00000011 00000001 00000011* +L0041120 00000001 00000001 00000011 00000001 00000011 00010011 00000001 00000011* L0041184 000000 000000 000000 000000 000000 000000 000000 000000* -L0041232 000000 000000 001000 010000 000000 000000 000000 010000* -L0041280 000001 000000 000000 000000 001100 000000 000000 000000* -L0041328 000000 000000 000000 000000 001100 000000 000000 000000* -L0041376 000000 000000 000000 000000 000000 000000 000000 100000* -L0041424 000000 000000 000000 000000 000000 000000 000000 000000* -L0041472 00000011 00000000 00000011 00000010 00000011 00000001 00000010 00000011* -L0041536 00000000 00000000 00000011 00000011 00000011 00000001 00000000 00000010* -L0041600 00000001 00000000 00000011 00000000 00000101 00000001 00000000 00000011* -L0041664 00000001 00000000 00000011 00000000 00000011 00000001 00000000 00000011* -L0041728 00000000 00000000 00000001 00000001 00000011 00000000 00000000 00000011* -L0041792 00000001 00000000 00000001 00000001 00000011 00000010 00000000 00000011* -L0041856 00000011 00000000 00000011 00000001 00000001 00000011 00000000 00000001* -L0041920 00000011 00000000 00000011 00000001 10000001 00000011 00000001 00000011* -L0041984 00000011 00000000 00000011 00000001 00000011 00000011 00000001 00000011* -L0042048 000000 000000 000000 000000 000001 000000 000000 000000* -L0042096 000000 000000 000000 000000 000000 000000 000000 000000* -L0042144 000000 000000 000000 000000 010000 000000 000000 000000* +L0041232 000000 000000 000000 010000 000000 000000 000000 000000* +L0041280 000001 000000 000010 000000 000000 000001 000000 000000* +L0041328 000000 000000 000010 000000 000000 000000 000000 000000* +L0041376 000000 000000 000000 000000 000000 001000 000000 001000* +L0041424 000000 000000 000000 000000 000000 000000 000000 001000* +L0041472 00000011 00000000 00000011 00000010 00000011 00000001 00000010 01000011* +L0041536 00000001 00000000 00000011 00000011 00000010 00000001 00000000 00001010* +L0041600 00000000 00000000 00000011 00000000 00000001 00000001 00000000 00000011* +L0041664 00000001 00000000 00000001 00000000 00001011 00000001 00000000 00000011* +L0041728 00000001 00000000 00000001 00000001 10000011 00000000 00000000 00000011* +L0041792 00000001 00000000 00000011 00000001 00000010 00000010 00000000 00000011* +L0041856 00000001 00000000 00000011 00000001 00000011 00000011 00000000 00000001* +L0041920 00000011 00000000 00000011 00000001 00000011 00000011 00000001 00000011* +L0041984 00000011 00000000 00000001 00000001 00000111 00000011 00000001 00000011* +L0042048 000000 000000 000000 000000 000000 000000 000000 000000* +L0042096 000000 000000 000000 000000 000000 000000 000000 000100* +L0042144 000000 000000 000000 000000 000000 000000 000001 000001* L0042192 000000 000000 000000 000000 000000 000000 000000 000000* -L0042240 000000 000000 000000 000000 010010 000000 000000 000000* -L0042288 000000 000000 000000 000000 010011 000000 000000 000000* -L0042336 00000010 00010000 00000010 00000010 00000011 00000001 00000010 00000011* -L0042400 00000000 00000000 00000011 00000010 00000010 00000001 00000000 00000010* -L0042464 00000000 00000000 00000011 00000000 10011001 00000000 10000000 00000011* -L0042528 10000000 00000000 00000000 00000000 00000111 00000001 10000000 00000011* -L0042592 10000000 00000000 00000001 00000000 00000110 00000000 10000000 00000011* -L0042656 00000000 00000000 00000001 00000001 00000011 00000000 00010000 00000001* -L0042720 00000001 00000000 00000011 00000001 00000101 00000001 00000000 00000001* -L0042784 00000001 00000000 00000011 00000001 00000001 00000010 00000001 00000011* -L0042848 00000001 00000000 00000011 00000001 10000011 00000011 00000101 00000011* -L0042912 000000 000000 000000 000000 100000 000000 000001 000000* -L0042960 000000 000000 000000 000000 100000 000000 000000 000000* -L0043008 000000 000000 000000 000000 000000 000000 000000 000000* -L0043056 000001 000000 000000 000000 000000 000000 000001 000000* -L0043104 000000 000000 000000 000000 001100 000000 000001 000000* -L0043152 000000 000000 000000 000000 001100 000000 000001 000000* -L0043200 00000000 00000000 00000000 00000010 00000000 00000000 00000010 00000001* -L0043264 00000010 00000000 00000010 00000010 00000000 00000011 00000010 00000011* -L0043328 00000000 00000000 00000000 00000000 00000000 00000011 00000000 00000011* +L0042240 000000 000000 000000 000000 000000 000000 000000 000000* +L0042288 000001 000000 000000 000000 000000 000000 000000 000000* +L0042336 00000010 00000000 00000011 00001010 00000010 00000001 00010010 00010011* +L0042400 00000000 00000000 00000011 00000010 00010010 00000001 11100100 00000110* +L0042464 10000000 00000000 00000011 00000000 00110001 00000000 01100100 00010111* +L0042528 00000000 00000000 00010001 00000000 00000011 00000001 00000000 00000111* +L0042592 10000000 00000000 00010001 00000000 00000011 00000000 00000000 00010111* +L0042656 00000000 00100000 00000011 00000001 00000010 00000000 00000000 00000001* +L0042720 00000001 00000000 00000011 00000001 00000011 00000001 00000100 00100001* +L0042784 00000001 00000000 00000011 00000101 10000011 00000010 10000101 00000011* +L0042848 00000001 00000000 00000001 00000001 00000011 00000011 00000001 00100011* +L0042912 000000 000000 000000 000000 000000 000000 000000 000000* +L0042960 000000 000000 000000 000000 000000 000000 000000 000000* +L0043008 000000 000000 000000 000000 000000 000000 100000 000000* +L0043056 000000 000000 000000 000000 000100 000000 100000 000000* +L0043104 000000 000000 000000 000000 011100 000000 000000 000000* +L0043152 000000 000000 000000 000000 011001 000000 000000 001000* +L0043200 00000000 00000000 00000011 00000010 00000000 00000001 00000001 00000001* +L0043264 00000000 00000000 00000000 00000010 00000010 00000011 00000011 00000011* +L0043328 00000000 00000000 00000000 00000000 00000010 00000011 00000010 00000011* L0043392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0043456 00000010 00000000 00000010 00000010 00000011 00000011 00000010 00000001* +L0043456 00000011 00000000 00000011 00000010 00000000 00000011 00000001 00000001* L0043520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0043584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0043648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0043712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0043776 000000 000000 000000 000000 000000 000000 000000 000000* L0043824 000000 000000 000000 000000 000000 000000 000000 000000* -L0043872 000000 000000 000000 000000 000000 000000 000000 000000* +L0043872 000000 000000 000000 000000 000000 000000 000000 001000* L0043920 000000 000000 000000 000000 000000 000000 000000 000000* L0043968 000000 000000 000000 000000 000000 000000 000000 000000* L0044016 000000 000000 000000 000000 000000 000000 000000 000000* -L0044064 00000000 00000000 00000011 00000011 00000001 00000000 00000011 00000001* -L0044128 00000001 00000000 00000001 00000001 00000001 00000010 00000001 00000001* -L0044192 00000001 00000000 00000001 00000011 00100001 00000010 00000011 00000001* +L0044064 00000000 00000000 00000011 00000011 00000001 00000010 00000001 00000011* +L0044128 00000000 00000001 00000001 00000001 00000001 00000000 00000001 00000001* +L0044192 00000000 00000001 00000011 00000001 00000001 00000011 00000001 00000011* L0044256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0044320 00000001 00000000 00000010 00000010 00000010 00000010 00000000 00000000* -L0044384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0044320 00000000 00000001 00000000 00000010 00000010 00010011 00000000 00000000* +L0044384 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* L0044448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0044512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0044576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0044640 000000 000000 000000 000000 010000 000000 000000 000000* +L0044512 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0044576 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0044640 000000 000000 000000 000000 000000 000100 000000 000000* L0044688 000000 000000 000000 000000 000000 000000 000000 000000* -L0044736 000000 000000 000000 000000 000000 000000 000000 000000* +L0044736 000000 000000 000000 000000 000000 000000 000000 100000* L0044784 000000 000000 000000 000000 000000 000000 000000 000000* L0044832 000000 000000 000000 000000 000000 000000 000000 000000* L0044880 000000 000000 000000 000000 000000 000000 000000 000000* -L0044928 00000000 00000000 00000010 00000010 00000000 00000000 00000001 00000010* -L0044992 00000000 00000000 00000000 00000011 00000010 00000011 00000001 00000010* -L0045056 00000000 00000000 00000000 00000001 00000010 00000011 00000010 00000010* +L0044928 00000000 00000000 00000000 00000010 00000000 00000010 00000001 00000010* +L0044992 00000000 00000000 00000010 00000010 00000000 00000000 00000001 00000010* +L0045056 00000010 00000000 10000010 00000000 00000000 00000000 00000010 00000010* L0045120 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000001* -L0045184 00000010 00000000 00000010 00000011 00000000 00000011 00000001 00000010* +L0045184 00000010 00000000 00000000 00000010 00000010 00000010 00000001 00000010* L0045248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0045312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0045376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -885,12 +885,12 @@ L0045600 000000 000000 000000 000000 000000 000000 000001 000000* L0045648 000000 000000 000000 000000 000000 000000 000000 000000* L0045696 000000 000000 000000 000000 000000 000000 000000 000000* L0045744 000000 000000 000000 000000 000000 000000 000000 000000* -L0045792 00000000 00000010 00000010 00000011 00000000 00000000 00000001 00000000* -L0045856 00000001 00000000 00000001 00000011 00000001 00000010 11111101 00000001* -L0045920 00000000 00000000 00000000 00000001 00000000 00000010 01111101 00000010* +L0045792 00000000 00000000 00000000 00000011 00000000 00000010 00000010 00000000* +L0045856 00000000 00000010 00000000 00000011 00000001 00000000 11111101 00000001* +L0045920 00000000 00000010 00000010 00000001 00000000 00000000 01111110 00000000* L0045984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0046048 00000011 00000010 00000011 00000011 00000000 00000010 00000011 00000011* -L0046112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0046048 00000000 00000010 00000010 00000011 00000001 00000010 00000001 00000011* +L0046112 00000000 00010000 00000000 00000000 00000000 00000000 00000000 00000000* L0046176 00000000 00000000 00000000 00000000 00000000 00000000 00001100 00000000* L0046240 00000000 00000000 00000000 00000000 00000000 00000000 10001100 00000000* L0046304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -900,88 +900,88 @@ L0046464 000000 000000 000000 000000 000000 000000 100010 000000* L0046512 000000 000000 000000 000000 000000 000000 100010 000000* L0046560 000000 000000 000000 000000 000000 000000 000000 000000* L0046608 000000 000000 000000 000000 000000 000000 000000 000000* -L0046656 00000000 00000000 00000000 00000000 10011010 00000000 00000000 00000000* -L0046720 00000000 00000000 00000000 00000000 10011000 00000010 00000000 00000010* -L0046784 00000000 00000000 00000011 00000011 10011000 00000010 00000001 00000010* -L0046848 00000000 00000000 00000000 00000000 10011000 00000000 00000000 10000000* -L0046912 00000011 00000000 00000010 00000000 10011010 00000010 00000010 00000000* -L0046976 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0047040 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0047104 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0047168 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0047232 000000 000000 000000 000000 100000 000100 000000 000000* -L0047280 000000 000000 000000 000000 001100 000000 000000 000001* -L0047328 000000 000000 000000 000000 001100 000000 000000 000000* -L0047376 000000 000000 000000 000000 001101 000000 000000 000000* -L0047424 000000 000000 000000 000000 001101 000000 000000 000000* -L0047472 000000 000000 000000 000000 001101 000000 000000 000000* -L0047520 00000000 00000000 00000010 00000010 00000000 00000000 00000000 00000010* -L0047584 00000001 00000000 00000000 00000000 00000000 00000010 00000000 10000000* -L0047648 00000001 00000000 00000000 00000010 00000000 00000010 00000000 10000010* +L0046656 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000010* +L0046720 00000000 00000000 00100000 00000000 00000010 00000010 00000000 00000000* +L0046784 00000000 00000000 00000010 00000010 00000010 00000010 00000000 00000000* +L0046848 00000000 00000000 00100000 00000000 00000000 00000000 00000000 10000000* +L0046912 00000010 00000000 00100010 00000000 00000000 00000010 00000010 00000010* +L0046976 00000000 00000000 10100000 00000000 00000000 00000000 00000000 00000000* +L0047040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0047104 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0047168 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0047232 000000 000000 001000 000000 000000 000000 000000 000000* +L0047280 000000 000000 000000 000000 000000 000000 000000 000001* +L0047328 010000 000000 000000 000000 000000 000000 000001 000000* +L0047376 000000 000000 100000 000000 000000 000000 000000 000000* +L0047424 000000 000000 100000 000000 000000 000000 000000 000000* +L0047472 000000 000000 100000 000000 000000 000000 000000 000000* +L0047520 00000000 00000000 00000010 00000010 00000000 00000000 01000000 00000010* +L0047584 00000000 00000001 00000000 00000000 00000000 00000000 10000100 10000000* +L0047648 00000000 00000001 00000000 00000010 00000000 00000010 00000100 10000000* L0047712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0047776 00000001 00000000 00000010 00000000 00000010 00000010 00000000 00000000* -L0047840 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* -L0047904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0047968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0047776 00000000 00000001 00000010 00000000 00000010 00000010 00000000 00000010* +L0047840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0047904 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0047968 00000000 00000000 00000000 00000000 00000000 00000000 10000100 00000000* L0048032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0048096 000000 000000 000000 000000 000000 000000 000000 000000* -L0048144 000000 000000 000000 000000 000000 000000 000000 000000* -L0048192 000000 000000 000000 000000 000000 000000 000000 000000* -L0048240 000000 000000 000000 000000 000000 000000 000000 000000* -L0048288 000000 000000 000000 000000 000000 000000 000000 000000* -L0048336 000000 000000 000000 000000 000000 000000 000000 000000* -L0048384 00000000 00000010 00000000 00000011 00100011 00000000 00000010 00110000* -L0048448 00000001 00000001 00000000 00000011 00000000 00000011 00000000 00010100* -L0048512 00000001 00000001 00000010 00000000 00000010 00000011 10000000 00010100* -L0048576 00000000 00000000 00000000 00000100 00000000 00000000 10000001 00010100* -L0048640 00000000 00000001 00000010 10000111 00000011 00000010 10000010 00010111* -L0048704 00000000 00000000 00000100 10000000 00000000 00000000 00000000 00100100* -L0048768 00000000 00000000 10000100 10001000 00000000 00000000 00000000 00100100* -L0048832 00000000 00000000 00011100 10001000 00000000 00000000 00000000 00100100* -L0048896 00000000 00000000 00110100 10001000 00000000 00000000 00000100 00100100* -L0048960 000000 000000 001101 100000 000000 000000 000001 001000* -L0049008 000000 000000 000100 000010 000000 000000 000000 010000* +L0048096 000000 000000 000000 000000 000000 001000 000000 000000* +L0048144 000000 000000 000000 000000 000000 001000 000000 000000* +L0048192 000000 000000 000000 000000 000000 000000 100000 000000* +L0048240 000000 000000 000000 000000 000000 001000 100000 000000* +L0048288 000000 000000 000000 000000 000000 001000 000000 000000* +L0048336 000000 000000 000000 000000 000000 001000 000000 000000* +L0048384 00000010 00000010 00000010 00000001 00000000 00000000 00000011 00110000* +L0048448 00000000 00000001 10001100 00000001 00000000 00000011 00000011 00010110* +L0048512 00000010 00000001 00000100 00000010 00000000 00000011 10000000 00010100* +L0048576 00000001 00000000 00100100 00000100 00000001 00001000 10000000 00010101* +L0048640 00000010 00000000 00100110 10000111 00000010 00000110 10000011 00010110* +L0048704 00000000 00000000 10010100 10000000 00000000 00000000 00000000 00100100* +L0048768 00000000 00000000 00010000 10001000 00000000 00000000 00000000 00100100* +L0048832 00000000 00000000 00010000 10001000 00000000 00000000 00000000 00100100* +L0048896 00000000 00000000 00010000 10001000 00000000 00000000 00000100 00100100* +L0048960 000000 000000 000100 100000 000000 000000 000001 001000* +L0049008 000000 000000 000100 000010 010000 000000 000000 010000* L0049056 000000 000000 000100 000010 000000 000000 000000 000000* L0049104 000000 000000 000100 000010 000000 000000 000001 000000* -L0049152 000000 000000 000100 000010 000010 000000 000001 001000* -L0049200 000000 000000 000100 000010 000010 000000 000001 001000* -L0049248 00000000 00000000 00000011 00000001 00000011 00000000 00000010 00000001* -L0049312 00000000 00000000 00000000 00000010 00000000 00000010 00000000 00000000* -L0049376 00000000 00000000 00000001 00000011 00000001 00000001 00000010 00000001* +L0049152 000000 000000 000000 000010 000000 000100 000001 001000* +L0049200 000000 000000 100000 000010 000000 000000 000001 001000* +L0049248 00000000 00000000 00000011 00000001 00000000 10000000 00000010 00000001* +L0049312 00000000 00000000 00000000 00000010 00000000 00000011 00000000 00000000* +L0049376 00000000 00000001 00000001 00000011 00000000 00000001 00000010 00000001* L0049440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0049504 00000001 00000000 00000010 00000010 00000010 00000001 00000000 01001010* -L0049568 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0049632 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0049504 00000001 00000001 00000010 00000010 00000000 00010001 00000000 01001010* +L0049568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0049632 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0049696 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0049760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0049824 000000 000000 000000 000000 000000 000000 000000 000000* -L0049872 000000 000000 000000 010000 000000 000000 000000 000000* -L0049920 000000 000000 000000 010000 000000 000000 000000 000000* +L0049824 000000 000000 000000 000000 000000 001000 000000 000000* +L0049872 000000 000001 000000 010000 000000 000000 000000 000000* +L0049920 000000 000001 000000 010000 000000 100000 000000 000000* L0049968 000000 000000 000000 010000 000000 000000 000000 000000* L0050016 000000 000000 000000 000000 000000 000000 000000 000000* -L0050064 000000 000000 000000 000000 000000 000000 000000 000001* -L0050112 00000001 00000010 00000001 00000001 00000001 00000000 00000000 00000001* -L0050176 00000000 00000000 00000000 00000000 00000000 00000010 00000000 10000000* -L0050240 00000001 00000000 00000001 00000011 00000001 00000010 00000000 00000001* -L0050304 00000010 00000000 00000010 00000000 00000010 00000000 00000000 00000010* -L0050368 00000001 00000010 00000000 00000010 00000000 00000010 00000010 00000000* -L0050432 00100000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0050064 000000 000000 000000 000000 000000 000001 000000 000001* +L0050112 00000000 00000010 00000000 00000011 00000001 00000000 00000001 00000001* +L0050176 00000000 00000000 00000000 00000000 00000010 00000000 00000000 10000001* +L0050240 00000000 00000000 00000000 00000011 00000001 00000010 00000001 00000001* +L0050304 00000000 00000000 00000010 00000000 00000000 00000000 00000010 00000000* +L0050368 00000000 00000010 00000000 00000010 00000001 00000010 00000000 00000011* +L0050432 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0050496 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0050560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0050624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0050688 000000 000000 000000 000000 000000 000000 000000 000000* -L0050736 000000 000000 000000 000000 000000 000000 000000 010000* +L0050736 001000 000000 000000 000000 000000 000000 000000 010000* L0050784 000000 000000 000000 000000 000000 000000 000001 000000* L0050832 000000 000000 000000 000000 000000 000000 000000 000000* L0050880 000000 000000 000000 000000 000000 000000 000000 000000* L0050928 000000 000000 000000 000000 000000 000000 000000 000000* -L0050976 00000001 00000000 00000011 00000010 00000001 00000000 00000011 00000010* -L0051040 00000000 00000000 00000000 00000000 00000010 00000010 00000100 00000000* -L0051104 00000001 00000010 00000001 00000010 00000001 00000010 00000111 00000010* +L0050976 00000001 00000000 00000011 00000010 00000010 00000000 00000011 00000000* +L0051040 00000000 00000010 00000000 00000000 00000001 00000000 00000100 00000000* +L0051104 00000000 00000010 00000011 00000010 00000010 00000010 00000111 00000000* L0051168 00000000 00000000 00000000 00000001 00000000 00000000 00000000 10000000* -L0051232 00000011 00000010 00000011 00000010 00000011 00001010 00000001 00000011* +L0051232 00000001 00000010 00000001 00000010 00000010 00000010 00000001 00000011* L0051296 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0051360 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* +L0051360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0051424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0051488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0051552 000000 000000 000000 000000 000000 000000 000000 000000* @@ -990,71 +990,71 @@ L0051648 000000 000000 000000 000000 000000 000000 000000 000000* L0051696 000000 000000 000000 000000 000000 000000 000000 000000* L0051744 000000 000000 000000 000000 000000 000000 000000 000000* L0051792 000000 000000 000000 000000 000000 000000 000000 000000* -L0051840 00000000 00000000 00000011 00000001 00000000 00000000 00000010 00000001* -L0051904 00000000 00000000 00000001 00000001 00000000 00000000 11111110 00000000* -L0051968 00000000 00000001 00000001 00000001 00000010 00000010 00000000 00000000* +L0051840 00000000 00000000 00000001 00000000 00000011 00000000 00000010 00000000* +L0051904 00000000 00000000 00000001 00000000 00000000 00000010 00000010 00000000* +L0051968 00000001 00000000 00000011 00000010 00000000 00000010 00000000 00000001* L0052032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0052096 00000000 00000001 00000011 00000011 00000000 00000010 00000000 00000011* -L0052160 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0052224 00000000 00000000 00000000 00000000 00000000 00000000 00001100 00000000* +L0052096 00000011 00000000 00000001 00000010 00000011 00000010 00000000 00000001* +L0052160 00000000 00000000 00000000 00000000 00001000 00000000 11010000 00000000* +L0052224 00000000 00000000 00000000 00000000 00010000 00000000 00100000 00000000* L0052288 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0052352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0052416 000000 000000 000000 000000 000000 000000 000000 000000* -L0052464 000000 000000 000000 000000 000000 000000 000000 000000* -L0052512 000000 000000 000000 000001 000000 000000 100010 000000* +L0052464 000000 000000 000000 000000 001110 000000 011000 000000* +L0052512 000000 000000 000000 000001 000000 000000 000000 000000* L0052560 000000 000000 000000 000000 000000 000000 000000 000000* -L0052608 000001 000000 000000 000000 000000 000000 000000 000000* -L0052656 000000 000000 000000 000000 000000 000000 000000 000000* -L0052704 00000010 00000000 01000000 00000001 00000001 00000000 11111100 00000010* -L0052768 00000000 00000010 01100000 00000000 00000000 00000000 00000000 00000010* -L0052832 00000000 00000010 00100000 00000001 00000000 00000011 10000001 00000010* -L0052896 00000000 00000000 00000000 00000100 00000000 00000000 10000000 00000000* -L0052960 00000010 00000010 00000000 10000101 00000011 00000011 10000000 00000010* -L0053024 00000000 00000000 00000000 10000000 00000000 00000000 00001100 00000000* -L0053088 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000000* +L0052608 000000 000000 000000 000000 000000 000000 000000 000000* +L0052656 000000 000000 000000 000000 000000 000000 000000 100000* +L0052704 00000010 00000000 00000010 00000000 00000000 00000000 00000000 00100000* +L0052768 00000000 00000010 00000000 00000000 00000000 00000001 00000000 00000000* +L0052832 00000000 00000010 00000010 00000001 00000001 00000011 00000001 00000000* +L0052896 00000000 00000000 00000000 00000100 00010000 00000000 00000000 00000000* +L0052960 00000010 00000010 00000010 10000110 00000000 00000011 00000000 00000010* +L0053024 00000000 00000000 00000000 10000000 00010000 00000000 00100000 00000000* +L0053088 00000000 00000000 00000000 10001000 00000000 00000000 11010000 00000000* L0053152 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000000* -L0053216 00000000 00000000 00000000 10001000 00010000 00000000 00000100 00000000* -L0053280 000000 000000 000000 100000 000000 000000 000001 000000* -L0053328 000000 000000 000000 101010 000000 000000 100010 000000* -L0053376 000000 000000 000000 100010 000000 000000 000001 000000* -L0053424 000000 000000 000000 000010 000000 000000 000001 000000* -L0053472 000000 000000 000000 000010 000000 000000 000001 010000* -L0053520 000000 000000 000000 000010 000000 000000 000001 000000* -L0053568 00000100 00000000 00000000 00000000 00000001 00000010 00000010 00000001* -L0053632 00000000 00000010 00000011 00000001 00000001 00000000 00000010 00000001* -L0053696 00000000 00000010 00000001 00000011 00000000 00000000 00000000 00000001* -L0053760 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000010* -L0053824 00000001 00000000 00000010 00000110 00000010 00000010 10000011 00000001* +L0053216 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000000* +L0053280 000000 000000 000000 100000 000000 000000 000000 000000* +L0053328 000000 000000 000000 101010 000000 000000 000000 010000* +L0053376 000000 000000 000000 100010 001110 000000 011000 000000* +L0053424 000000 000000 000000 000010 000010 000000 000000 000000* +L0053472 000000 000000 000000 000010 000000 000000 000000 100000* +L0053520 000000 000000 000000 000010 000000 000000 000000 000000* +L0053568 00000000 00000000 00000000 00000000 00000001 00000000 00000011 00000010* +L0053632 00000000 00000010 00000001 00000011 00000001 00000001 00000011 00000000* +L0053696 00000000 00000010 00000001 00000011 00000000 00000011 00000000 00000010* +L0053760 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0053824 00000000 00000000 00000000 00000010 00000010 00000011 00000011 00000010* L0053888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0053952 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0054016 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000000* -L0054080 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000000* -L0054144 000000 000000 000000 100000 000000 000000 000001 000000* +L0054016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0054080 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0054144 000000 000000 000000 000000 000000 000000 000000 000000* L0054192 000000 000000 000000 000000 000000 000000 000000 000000* L0054240 000000 000000 000000 000000 000000 000000 000000 000000* -L0054288 000000 000000 000001 000000 000000 000000 000000 000000* -L0054336 000000 000000 000000 000010 000000 000000 000000 000000* -L0054384 000000 000000 000000 000010 000000 000000 000001 000000* -L0054432 00010000 00000000 00000010 00000010 00000010 00000000 00000011 00000000* -L0054496 00000000 00000000 00000000 00000010 00000000 00000000 00000001 00000010* -L0054560 00000010 00000000 00000011 00000001 00000010 00000001 10000010 00000010* -L0054624 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0054688 00000110 00000000 00000010 10000010 00000000 00000011 00000000 00000010* -L0054752 00010000 00000000 00000000 10000000 00000000 00000000 10000000 00000000* -L0054816 00010000 00000000 00000000 10001000 00000000 00000000 00000000 00000000* +L0054288 000000 000000 000000 000000 000000 000000 000000 000000* +L0054336 000000 000000 000000 000000 000000 000000 000000 000000* +L0054384 000000 000000 000000 000000 000000 000000 000000 000000* +L0054432 00000000 00000000 00000010 00000011 00000000 00000000 00000011 00000000* +L0054496 00000000 00000000 00000000 00000011 00000000 00000010 00000001 00000000* +L0054560 00000000 00000001 00000001 00000000 00000000 00000010 00000010 00000010* +L0054624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0054688 00000000 00000001 00000010 00000011 00000010 00000010 00000000 00000010* +L0054752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0054816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0054880 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0054944 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0055008 000001 000000 000000 000000 000000 000000 000000 000000* -L0055056 000000 000000 000000 000010 000000 000000 000000 000000* -L0055104 000000 000000 000000 000010 000000 000000 000000 000000* -L0055152 000010 000000 000000 000010 000000 000000 000001 000000* -L0055200 000000 000000 000000 000000 000000 000000 000001 000000* +L0054944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0055008 000000 000000 000000 000000 000000 000000 000000 000000* +L0055056 000000 000000 000000 000000 000000 000000 000000 000000* +L0055104 000000 000000 000000 000000 000000 000000 000000 000000* +L0055152 000000 000000 000000 000000 000000 000000 000000 000000* +L0055200 000000 000000 000000 000000 000000 000000 000000 000000* L0055248 000000 000000 000000 000000 000000 000000 000000 000000* -L0055296 00000000 00000001 00000011 00000010 00000010 00000001 00000010 00000001* -L0055360 00000000 00000001 00000000 00000010 00000011 00000000 00000010 00000011* -L0055424 00000000 00000001 00000000 00000000 00000000 00000010 00000000 00000011* +L0055296 00000000 00000000 00000011 00000010 00000010 00000000 00000000 00000010* +L0055360 00000000 00000000 00000000 00000010 00000010 00000001 00000000 00000010* +L0055424 00000000 00000000 00000000 00000000 00000000 00000001 00000010 00000010* L0055488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0055552 00000011 00000001 00000010 00000010 00000001 00000010 00000010 00000001* +L0055552 00000011 00000000 00000010 00000010 00000000 00000001 00000011 00000010* L0055616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0055680 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0055744 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -1065,419 +1065,419 @@ L0055968 000000 000000 000000 000000 000000 000000 000000 000000* L0056016 000000 000000 000000 000000 000000 000000 000000 000000* L0056064 000000 000000 000000 000000 000000 000000 000000 000000* L0056112 000000 000000 000000 000000 000000 000000 000000 000000* -L0056160 00000000 00000001 00000010 00000011 00000010 00000000 00000010 00000000* -L0056224 00000000 00000010 00000000 00000001 00000001 00000011 00000010 00000000* -L0056288 00000000 00000000 00000001 00000011 00000000 00000011 00000000 00000011* +L0056160 00000000 00000000 00000000 00000011 00000000 00000000 00000010 00000011* +L0056224 00000000 00000010 00000000 00000001 00000000 00000000 00000000 00000010* +L0056288 00000000 00000000 00000000 00000011 00000000 00000011 00000010 00000010* L0056352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0056416 00000011 00000001 00000010 00000011 00000010 00000011 00000010 00000011* -L0056480 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0056544 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0056416 00000010 00000000 00000000 00000011 00000001 00000011 00000010 00000011* +L0056480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0056544 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0056608 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0056672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0056736 000000 000000 000000 000000 000000 000000 000000 000000* -L0056784 000000 000000 000000 000000 000000 000000 000000 000000* -L0056832 000000 000000 000000 000000 000000 000000 000000 000000* +L0056784 000000 000001 000000 000000 000000 000000 000000 000000* +L0056832 000000 000001 000000 000000 000000 000000 000000 000000* L0056880 000000 000000 000000 000000 000000 000000 000000 000000* L0056928 000000 000000 000000 000000 000000 000000 000000 000000* L0056976 000000 000000 000000 000000 000000 000000 000000 000000* -L0057024 00000000 00000000 00000010 00000010 00000000 00000001 00000000 00000011* -L0057088 00000000 00000010 00000011 00000011 00000001 00000001 00000000 00000011* -L0057152 00000000 00000010 00000010 00000000 00000010 00100011 00000010 00000011* -L0057216 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0057280 00000010 00000000 00000011 00000011 00000001 00000011 00000000 00000011* -L0057344 00000000 00000000 00000000 00000000 00001000 01000000 00000000 00000000* -L0057408 00000000 00000000 00000000 00000000 00110000 00000000 00000000 00000000* -L0057472 00001000 00000000 00000000 00000000 00011000 00000000 00000000 00000000* -L0057536 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0057600 000000 000000 000000 000000 000000 000000 000001 000000* +L0057024 00000000 00000000 00000010 00000010 00000000 00000010 00000000 00000001* +L0057088 10000000 00000000 00000010 00000010 00010100 00000001 00000000 00010001* +L0057152 00000000 00000011 00000011 00000001 00000010 00100001 00000011 00000011* +L0057216 10000000 00000000 00000000 00000000 00000100 00000000 00000000 00010000* +L0057280 00000011 00000011 00000010 00000010 00000000 00000001 00000000 00000011* +L0057344 00000000 00000000 00000000 00000000 10000000 01000000 00000000 00100100* +L0057408 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000100* +L0057472 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* +L0057536 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000100* +L0057600 000000 000000 000000 000000 000000 000000 000000 001000* L0057648 000000 000000 000000 000000 000000 010000 000000 000000* -L0057696 000000 000000 000000 000000 000000 000000 000000 000000* -L0057744 000000 000000 000000 000000 000000 000000 000001 000000* -L0057792 000000 000000 000000 000000 000000 000000 000001 000000* -L0057840 000000 000000 000000 000000 000000 000000 000001 000000* -L0057888 00000010 00000000 00000010 00000010 00000000 00000000 00000001 00000100* -L0057952 00000000 00000000 00000000 00000010 00000000 00000010 00000011 00000000* -L0058016 00000000 00000010 00000011 00000001 00000000 00000010 00000010 00000011* -L0058080 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* -L0058144 00000011 00000010 00000010 00000010 00000010 00000010 00000001 00000010* -L0058208 01001000 00000100 00000000 00000000 00010000 00000000 00000000 00000000* -L0058272 00000000 00000100 00000000 00000000 00001000 01000000 00000000 00000000* -L0058336 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* -L0058400 00000000 00000000 00000000 00000000 00011000 00000000 00000000 00000000* -L0058464 000000 000000 000000 000000 000000 000000 000000 000000* -L0058512 000000 000000 000000 000100 000000 000000 000000 000000* -L0058560 000000 000000 000000 000000 000000 010000 000000 000000* -L0058608 000000 000000 000000 000000 000000 000000 000000 000000* -L0058656 000000 000000 000000 000000 000000 000000 000000 000000* -L0058704 000000 000000 000000 000000 000000 000000 000000 000000* -L0058752 00000000 00000000 00000001 00000000 00000001 00000000 00000001 00000000* -L0058816 00000000 00000001 00000000 00000001 00000000 00000000 00000000 00000001* -L0058880 00000000 00000000 00000001 00000001 00000001 00000000 00000000 00000001* +L0057696 000000 000000 000000 000000 000000 000000 000001 000000* +L0057744 000000 000001 000000 000000 000100 000000 000000 000000* +L0057792 000000 000000 000000 000000 011000 000000 000000 011000* +L0057840 000000 000000 000000 000000 000101 000000 000000 000000* +L0057888 00000000 00000000 00000000 00001010 00000100 00000000 00000101 01000000* +L0057952 00000010 00000010 00000010 00000000 00000000 00000001 00000011 00001010* +L0058016 00000010 00000010 00000001 00000011 00000000 00000011 00000010 00000011* +L0058080 00000000 00000000 00000000 10000000 00100000 00000000 00000000 00000000* +L0058144 00000001 00000010 00000010 00000010 00001010 00000011 00000001 00000000* +L0058208 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0058272 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* +L0058336 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0058400 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0058464 000000 000000 000000 000000 001000 000000 000000 000000* +L0058512 000000 000111 000000 000000 000000 000000 000000 000100* +L0058560 000000 000000 000000 000000 000000 010000 000000 000001* +L0058608 000000 000000 000000 000000 010000 000000 000000 000000* +L0058656 000000 000000 000000 000000 000001 000000 000000 000000* +L0058704 000001 000000 000000 000000 000000 000000 000000 000000* +L0058752 01000000 00000000 00000011 00000000 00000000 00000000 00000001 00000000* +L0058816 00000000 00000001 00000000 00000000 00000001 00000000 00000000 00000000* +L0058880 00000000 00000000 00000001 00000000 00000001 00000000 00000000 00000000* L0058944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0059008 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0059072 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0059136 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0059008 00000000 00000000 00000011 00000000 00000000 00000000 00000000 00000000* +L0059072 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0059136 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* L0059200 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11000000* L0059264 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0059328 000000 000000 000000 000000 000000 000000 000000 000000* L0059376 000000 000000 000000 000000 000000 000000 000000 000000* -L0059424 010000 000000 100000 000000 000000 000000 000000 000000* +L0059424 010000 000000 000000 000000 000000 000000 000000 000000* L0059472 000000 000000 000000 000000 000000 000000 000000 000000* L0059520 000000 000000 000000 000000 000000 000000 000000 000010* L0059568 000000 000000 000000 000000 000000 000000 000000 000000* -L0059616 10000010 00000000 00000001 00000001 00000000 00000000 00000001 00000010* -L0059680 00000010 00000000 00000010 00000010 00000010 00000001 00000011 00010111* -L0059744 00000010 00000001 00000011 00000011 00000010 00000001 00000010 00010110* -L0059808 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00010100* -L0059872 00000010 00000001 00000000 10000100 00000000 00000001 00000001 00010111* -L0059936 00000000 00000000 00000000 10010000 00000000 00000000 00000000 00000100* -L0060000 00000000 00000000 00000100 10011000 00000000 00000000 00000000 00000100* -L0060064 00000000 00000000 00110100 10001000 00000000 10000000 00000000 00000100* -L0060128 00000000 00000000 00110100 10001000 00000000 00000000 00000000 00000100* -L0060192 000000 000000 001101 100000 000000 000000 000000 000000* -L0060240 000000 000000 000100 000010 000000 000000 000000 000000* +L0059616 00000010 00000000 00000001 00000001 00000000 00000000 00000011 00000000* +L0059680 00000010 00000000 00000110 00000010 00000011 00000000 00000011 00010110* +L0059744 00000010 00000000 00100111 00000011 00000000 00000001 00000010 00010100* +L0059808 00000000 00000000 00100100 00000100 00000000 00000000 00000000 00010100* +L0059872 00000010 00000000 00100100 10000100 00000011 00000001 00000011 00010110* +L0059936 10000000 00000000 10010000 10010000 00000000 00000000 00000000 00000100* +L0060000 00000000 00000000 00010000 10011000 00000000 00100000 00000000 00000100* +L0060064 00000000 00000000 00010000 10001000 00000000 00100000 00000000 00000100* +L0060128 00000000 00000000 00010000 10001000 00000000 00100000 00000000 00000100* +L0060192 000000 000000 000100 100000 000000 000000 000000 000000* +L0060240 000000 001000 000100 000010 000000 000000 000000 000000* L0060288 000000 000000 000100 000010 000000 000000 000000 000000* L0060336 000000 000000 000100 000010 000000 000000 000000 000000* -L0060384 000000 000000 000100 000010 000010 000000 000000 000000* -L0060432 000000 000000 000100 000010 000010 000000 000000 000000* -L0060480 00000000 00000000 00000010 00000001 00000010 00000010 00000010 00000000* -L0060544 10000000 00000001 00000001 00000000 00000001 00000010 00000001 00000000* -L0060608 10000000 00000001 00000011 00000001 00000010 00000011 00000011 00000000* -L0060672 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0060736 10000000 00000001 00000000 00000101 00000001 00000011 00000000 00000001* -L0060800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0060864 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0060928 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000000* -L0060992 00000000 00000000 00000000 10001000 00000000 00000000 00000000 01000000* -L0061056 000000 000000 000000 100000 000000 000000 000000 000001* +L0060384 000000 000000 000000 000010 000000 000000 000000 000000* +L0060432 000000 000000 100000 000010 000000 000000 000000 000000* +L0060480 00000000 00000000 00000010 00000000 00000000 00000000 00000010 00000010* +L0060544 00000001 00000000 00000001 00000001 00000101 00000011 00000001 00000001* +L0060608 00000000 00000001 00000010 00000001 00000100 00000011 00000011 00000011* +L0060672 00000000 00000000 00000000 00000000 00000100 00000000 10000000 00000000* +L0060736 00000001 00000001 00000001 00000100 00000101 00000011 10000000 00000000* +L0060800 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0060864 00000000 00000000 00000000 00000000 10001000 00000000 00000000 00000000* +L0060928 00000000 00000000 00000000 10001000 00001000 00000000 00000000 00000000* +L0060992 00000000 00000000 00000000 10001000 10001000 00000000 00000000 00000000* +L0061056 000000 000000 000000 100000 100000 000000 000001 000000* L0061104 000000 000000 000000 000000 000000 000000 000000 000000* L0061152 000000 000000 000000 000000 000000 000000 000000 000000* L0061200 000000 000000 000000 000000 000000 000000 000000 000000* -L0061248 000000 000000 000000 000010 000000 000000 000000 000100* -L0061296 000000 000000 100000 000010 000000 000000 000000 000000* -L0061344 00000000 00000000 00000010 00000011 00000000 00000001 00000000 00000010* -L0061408 00000000 00000000 00000001 00000001 00000000 00000000 00000001 00000000* -L0061472 00000000 00000000 00000010 00000010 00000001 00000010 00000000 00000010* -L0061536 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00010100* -L0061600 00000001 00000000 00000001 10000001 00000001 00000011 00000000 00010100* -L0061664 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* +L0061248 000000 000000 000000 000010 000000 000000 000000 000000* +L0061296 000000 000000 000000 000010 000100 000000 000001 000000* +L0061344 00000000 00000000 00000010 00000011 00000010 00000001 00000000 00000010* +L0061408 00000000 00000000 00000000 00000001 00000000 00000000 00000001 00000000* +L0061472 00000000 00000000 00000010 00000010 00000010 00000000 10000000 00000010* +L0061536 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0061600 00000001 00000000 00000000 10000001 01100000 00000001 00000000 00000000* +L0061664 00000000 00000000 00000000 10000000 00000000 00000000 01000000 00010000* L0061728 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000000* -L0061792 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0061856 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000100* -L0061920 000000 000000 001101 000000 000000 000000 000000 000000* +L0061792 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0061856 00000000 00000000 00000000 00000000 00100000 00000000 00000100 00000000* +L0061920 000000 000000 000000 000000 000000 000000 000000 000000* L0061968 000000 000000 000000 000010 000000 000000 000000 000000* -L0062016 000000 000000 000000 000010 000000 000000 000000 000000* -L0062064 000000 000000 000100 000010 000000 000000 000000 000000* -L0062112 000000 000000 000100 000000 000000 000000 000000 000000* -L0062160 000000 000000 000100 000000 000010 000000 000000 000000* -L0062208 00000000 00000000 00010100 00010001 00000000 00000001 00000001 00000101* -L0062272 00000000 00000000 00000001 00000001 00100000 00000000 00000001 00000000* -L0062336 00000000 00000000 01001001 00000010 00000010 00000001 00000010 00000000* -L0062400 00000000 00000000 00010000 00000100 00000000 00000000 00000000 00000000* -L0062464 00000010 00000000 00000001 10000101 00000000 00000001 00000001 00000001* -L0062528 00000000 00000000 00100000 00010000 00100000 00000000 00000000 00000000* -L0062592 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0062016 000000 000000 000000 000010 000000 000000 000000 000100* +L0062064 000000 000000 000000 000010 000000 000000 000001 000000* +L0062112 000000 000000 000000 000000 000000 000000 000001 000000* +L0062160 000000 000000 000000 000000 000000 000000 000000 000000* +L0062208 01000001 00000000 00110000 00010000 00000000 00000000 00000000 00000000* +L0062272 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00000001* +L0062336 00000001 00000000 00000011 00000011 00000010 00000000 00000010 00000001* +L0062400 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0062464 00000011 00000000 00000001 10000100 00000000 00000000 00000000 00000001* +L0062528 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0062592 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* L0062656 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* -L0062720 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0062720 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0062784 000000 000000 000000 000000 000000 000000 000000 000000* -L0062832 000000 000000 000000 010010 001110 000000 000000 000000* -L0062880 000000 000000 001000 010010 000000 000000 000000 000000* -L0062928 000000 000000 000000 010000 010000 000000 000000 000000* -L0062976 000000 000000 000000 000010 000001 000000 000000 000000* -L0063024 000001 000000 000000 000010 000000 000000 000000 000000* -L0063072 00000000 00000000 00000001 00100001 00000001 00000000 00000001 00000011* -L0063136 00000010 00000000 01000010 00000010 00000110 00000000 00000010 00000000* -L0063200 10000010 00000000 00110111 00000011 00000110 00000001 10000011 00000001* -L0063264 00000000 00000000 01000000 00000000 00000000 00000000 10000000 00000000* -L0063328 10000000 00000000 00100001 00000000 00000101 00000001 10000001 00000010* +L0062832 000000 000000 011010 010010 000000 000000 000000 000000* +L0062880 000000 000000 100000 010010 000000 000000 000000 000000* +L0062928 000000 000000 000000 010000 000000 000000 000000 000000* +L0062976 000000 000000 000100 000010 000000 000000 000000 000000* +L0063024 000000 000000 000000 000010 000000 000000 000000 000000* +L0063072 00100000 00000000 00000101 00100001 00000000 00000000 00000001 00000101* +L0063136 00000011 00000000 00000010 00000010 00000010 00000000 00000011 00000010* +L0063200 00000010 00000000 00000111 00000011 00000010 00000010 10000010 00000011* +L0063264 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* +L0063328 00000001 00000000 00010101 00000000 00000000 00000010 10000001 00000000* L0063392 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0063456 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0063520 00000000 00000000 00010100 00000000 10000000 00000000 00000000 00000000* -L0063584 00000000 00000000 00010000 00010000 00000000 00000000 00000100 00000000* -L0063648 000000 000000 000101 000000 100000 000000 000000 000000* -L0063696 000000 000000 000000 000000 000000 000000 000000 000000* -L0063744 000000 000000 000010 000000 000000 000000 000000 000000* -L0063792 000000 000000 000010 000000 001100 000000 000000 000000* +L0063456 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0063520 00000000 00000000 00011000 00000000 00000000 00000000 00000000 00000000* +L0063584 00000000 00000000 00011000 00010000 00000000 00000000 00000100 00000000* +L0063648 000000 000000 000100 000000 000000 000000 000000 000000* +L0063696 000000 000000 000000 000100 000000 000000 000000 000000* +L0063744 000000 000000 000000 000000 000100 000000 000000 000000* +L0063792 000000 000000 011010 000000 000000 000000 000000 000000* L0063840 000000 000000 000000 000000 000000 000000 000000 000000* -L0063888 000000 000000 000110 000000 011100 000000 000000 000000* -L0063936 00000000 00000000 00000001 00000000 00000000 00000001 00000011 00010000* -L0064000 00000010 00000010 00001100 00000000 00000010 00000000 00000001 00010000* -L0064064 00000010 00000010 00001100 00000000 00000010 00000001 00000010 00010000* -L0064128 00000001 00000000 00001100 00000000 00000000 00000000 00000000 00010000* -L0064192 00000000 00000010 00000101 00000000 00000000 00000001 00000001 00010000* -L0064256 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00100000* -L0064320 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00100000* -L0064384 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00100000* -L0064448 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00100000* -L0064512 000000 000000 000010 000000 000000 000000 000000 001000* -L0064560 000000 000000 000010 000000 000000 000000 000000 001000* -L0064608 000000 000000 000010 000000 000000 000000 000000 000000* -L0064656 000000 000000 000010 000000 000000 000000 000000 001000* -L0064704 000000 000000 000010 000000 000000 000000 000000 001000* -L0064752 000000 000000 000010 000000 000000 000000 000000 001000* -L0064800 00000000 00000000 00001000 00001000 00000000 00000000 00000001 10000001* -L0064864 00000000 00000000 00000000 00000000 00000000 00000001 00000001 00000100* -L0064928 00000000 00000000 00000001 00000001 00000010 00000001 00000000 00000000* -L0064992 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0065056 00000001 00000000 00000000 00000000 00000010 00000001 00000001 00000001* -L0065120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001100* -L0065184 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0065248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0065312 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0065376 000000 000000 000000 000000 000000 000000 000000 000000* -L0065424 000000 000000 010000 000000 000000 000000 000000 100000* -L0065472 000000 000000 000100 000000 000000 000000 000000 100000* -L0065520 000000 000000 000000 000000 000000 000000 000000 000000* -L0065568 000000 000000 000100 000000 000000 000000 000000 000000* -L0065616 000000 000000 000100 000000 000000 000000 000000 000000* -L0065664 00000001 00000000 00000000 00000000 00001000 00000100 00000010 00000001* -L0065728 00000000 00000001 00000000 00000000 00001000 00000100 00000000 00000000* -L0065792 00000001 00000001 00000001 00000000 00001000 00000100 00000011 00000001* -L0065856 00000000 00000000 00000000 00000000 00001000 00000100 10000000 00000000* -L0065920 00000001 00000001 00000000 00000000 00001000 00001100 10000010 00000001* +L0063888 000000 000000 010000 000000 000000 000000 000000 000000* +L0063936 00000010 00000000 00000101 00000011 00000000 00000000 00000010 00000110* +L0064000 00000000 00000010 00001010 00000010 00000010 00000001 00000010 00000000* +L0064064 00000010 00000010 00001000 00000001 00000001 00000001 00000000 00000010* +L0064128 00011100 00000000 00001000 00000000 00000000 00000000 00000000 00000001* +L0064192 00000111 00000010 00001011 00000011 00000011 00000001 00000011 00000010* +L0064256 00010000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0064320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0064384 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0064448 00011100 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0064512 000001 000000 000010 000000 000000 000000 000000 000000* +L0064560 000100 000000 000000 000000 000000 000000 000000 000000* +L0064608 000100 000000 000010 000000 100000 000000 000000 000000* +L0064656 000010 000000 000010 000000 000000 000000 000000 000000* +L0064704 000010 000000 000110 000000 000000 000000 000000 000000* +L0064752 000000 000000 000110 000000 000000 000000 000000 000000* +L0064800 00000000 00000000 01001000 00000001 00000000 00000010 00000000 00000001* +L0064864 00000100 00000000 00000000 00000001 00010000 00000011 00000000 00000001* +L0064928 00000000 00000001 00000000 00000000 00010000 00000011 00000000 00000011* +L0064992 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0065056 00000001 00000001 00000000 00000001 00000000 00000011 00000000 00000011* +L0065120 01000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* +L0065184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0065248 00000000 00000000 00010000 00000000 10000000 00000000 00000000 00000000* +L0065312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0065376 000000 000000 000100 000000 000000 000000 000000 000000* +L0065424 000000 000000 100100 000000 000000 000000 000000 000000* +L0065472 000000 000000 000000 000000 000000 000000 000000 000000* +L0065520 000000 000000 000100 000000 000100 000000 000000 000000* +L0065568 000000 000000 000000 000000 000100 000000 000000 000000* +L0065616 000000 000000 000000 000000 000001 000000 000000 000000* +L0065664 00000000 00000011 00000010 00000001 00000001 00000000 00000010 00000001* +L0065728 00000000 00000001 00000000 00000000 00000000 00000001 00000000 00000001* +L0065792 00000000 00000001 00000011 00000001 00000001 00000001 00000011 00000001* +L0065856 00000000 00000000 00000000 00000000 00000000 00000100 10000000 00000000* +L0065920 00000000 00000011 00000010 00000101 00000000 00000001 10000010 00000001* L0065984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066048 00000000 00000000 00000000 00100000 00000000 00100000 00000000 00000000* -L0066112 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0066176 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0066240 000000 000000 000000 000000 000000 000000 000001 000000* -L0066288 000000 000000 000000 000000 000100 000100 000000 000000* -L0066336 000000 000000 000000 000000 000100 000000 000000 000000* -L0066384 000000 000000 000000 000000 000100 000100 000000 000000* -L0066432 000000 000000 000000 000000 000100 000100 000000 000000* -L0066480 000000 000000 000000 000000 000100 000000 000001 000000* +L0066048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066112 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000000* +L0066176 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000000* +L0066240 000000 000000 000000 100000 000000 000000 000001 000000* +L0066288 000000 000000 000000 000000 000000 000010 000000 000000* +L0066336 000000 000000 000000 000000 000000 000000 000000 000000* +L0066384 000000 000000 000000 000000 000000 000000 000000 000000* +L0066432 000000 000000 000000 000010 000000 000000 000000 000000* +L0066480 000000 000000 000000 000010 000000 000000 000001 000000* L0066528 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0066592 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0066656 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* -L0066720 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066720 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0066784 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* +L0066848 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* +L0066912 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000000* L0066976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0067040 00000000 00000000 00000000 00000000 00000000 00010000 00000100 00000000* +L0067040 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* L0067104 000000 000000 000000 000000 000000 000000 000000 000000* -L0067152 000000 000000 000000 000000 000000 000000 000000 000000* -L0067200 000000 000000 000000 000000 000000 000001 000000 000000* -L0067248 000000 000000 000000 000000 000000 000000 000001 000000* -L0067296 000000 000000 000000 000000 000000 001000 000001 000000* +L0067152 000000 000000 000000 000010 001000 000000 000000 000000* +L0067200 000000 000000 000000 000010 000000 000000 000000 000000* +L0067248 000000 000000 000000 000010 000000 000000 000001 000000* +L0067296 000000 000000 000000 000000 000000 000000 000001 000000* L0067344 000000 000000 000000 000000 000000 000000 000000 000000* -L0067392 00000010 00000010 01000010 00000010 00000010 00000010 11111110 00000010* -L0067456 00000010 00000000 01101010 00000010 00000010 00000010 00000010 00000010* -L0067520 00000000 00000000 00100000 00000000 00000000 00000000 10000000 00000000* -L0067584 00000000 00000000 00000000 00000100 00000000 00000000 10000000 00000000* -L0067648 00000000 00000000 00000000 10000100 00000000 00000000 10000000 00000000* -L0067712 00000000 00000000 00000000 10000000 00000000 00000000 00001100 00000000* -L0067776 00010010 00000010 00100110 10001010 00000010 00000010 00000010 00000010* -L0067840 00000000 00000000 00010100 10001000 00000000 00000000 00000000 00000000* -L0067904 00000000 00000000 00010100 10001000 00000000 00000000 00000100 00000000* -L0067968 000000 000000 000101 100000 000000 000000 000001 000000* -L0068016 000000 000000 000100 000010 000000 000000 100010 000000* -L0068064 000000 000000 000100 000010 000000 000000 000001 000010* -L0068112 000000 000000 000100 000010 000000 000000 000001 000000* -L0068160 000000 000000 000100 000010 000000 000000 000001 000000* -L0068208 000000 000000 000100 000010 000000 000000 000001 000000* -L0068256 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0068320 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0068384 00000000 00000000 10000000 00000000 00000000 00000000 01111100 00000000* -L0068448 00000000 00000000 10100100 00000000 00000000 00000000 00000000 00000000* -L0068512 00000000 00000000 10100100 00000000 00000000 00000000 00000000 00000000* -L0068576 00010000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* -L0068640 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* -L0068704 00000000 00000000 00100000 00000000 00000000 00000000 10001100 00000000* -L0068768 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* -L0068832 000000 000000 100000 000000 000000 000000 000000 000000* -L0068880 000000 000000 000000 000000 000000 000000 000000 000010* -L0068928 000000 000000 100011 010000 000000 000000 000000 000000* -L0068976 000000 000000 100011 010000 000000 000000 100010 000000* -L0069024 000000 000000 101011 000000 000000 000000 000000 000000* -L0069072 000000 000000 101010 000000 000000 000000 000000 000000* +L0067392 00000010 00000010 00000010 00000010 00000010 00000010 00000010 00000010* +L0067456 00000010 00000000 00100110 00000010 00000010 00000010 00000010 00000010* +L0067520 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0067584 00000000 00000000 00010100 00000100 00000000 00000000 00000000 00000000* +L0067648 00000000 00000000 00011100 10000100 00000000 00000000 00000000 00000000* +L0067712 00000000 00000000 10010000 10000000 00000000 10000000 00000000 00000000* +L0067776 00000010 00000010 00010010 10001010 00000010 01000010 00000010 00000010* +L0067840 00000000 00000000 00010000 10001000 00000000 11000000 00000000 00000000* +L0067904 00000000 00000000 00010000 10001000 00000000 00000000 00000000 00000000* +L0067968 000000 000000 000100 100000 000000 000000 000000 000000* +L0068016 000000 000000 000100 000010 000000 000000 000000 000000* +L0068064 000000 000000 000100 000010 000000 000000 000001 000000* +L0068112 000000 000000 000100 000010 000000 000000 000000 000000* +L0068160 000000 000000 000000 000010 000000 000000 000000 000000* +L0068208 000000 000000 100000 000010 000000 000000 000000 000000* +L0068256 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0068320 00000000 00000000 00000000 00000000 00000000 00000000 11111100 00000000* +L0068384 00000000 00000000 00100000 00000000 00000000 00000000 01111100 00000000* +L0068448 00000000 00000000 00000000 00000000 00000000 00110000 00000000 00000000* +L0068512 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0068576 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0068640 00000000 00000000 10000100 00010000 00000000 00000000 00001100 00000000* +L0068704 00000000 00000000 10001100 00000000 00000000 00000000 10001100 00000000* +L0068768 00000000 00000000 10101100 00000000 00000000 00000000 00000000 00000000* +L0068832 000000 000000 101010 000000 000000 000000 000000 000000* +L0068880 000000 000000 000001 000000 000000 010000 000010 000000* +L0068928 000000 000000 010001 010000 000010 000000 100000 000000* +L0068976 000000 000000 010001 010000 000000 000000 100000 000000* +L0069024 000000 000000 000011 000000 000000 010000 000000 000000* +L0069072 000000 000000 000011 000000 000000 000000 000000 000000* L0069120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0069184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0069248 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* +L0069184 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0069248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0069312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0069376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0069440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0069504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0069568 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0069632 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0069568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0069632 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* L0069696 000000 000000 000000 000000 000000 000000 000000 000000* -L0069744 000000 000000 000000 000000 000000 000000 000000 000000* +L0069744 000000 000000 000000 000001 000000 000000 000000 000000* L0069792 000000 000000 000000 000000 000000 000000 000000 000000* L0069840 000000 000000 000000 000000 000000 000000 000000 000000* -L0069888 000000 000000 000001 000000 000000 000000 000000 000000* +L0069888 000000 000000 000000 000000 000000 000000 000000 000000* L0069936 000000 000000 000000 000000 000000 000000 000000 000000* -L0069984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0069984 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* +L0070048 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* +L0070112 00000000 00000000 00000000 10000100 00000000 00000000 00000000 00000000* +L0070176 00000000 00000000 00000000 10000100 00000000 00000000 00000000 00000000* L0070240 00000000 00000000 00000000 10000100 00000000 00000000 00000000 00000000* -L0070304 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* -L0070368 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070432 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0070496 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* -L0070560 000000 000000 000000 000000 000000 000000 000000 000000* +L0070304 00000000 00000000 00000000 10000100 00000000 00000000 00000000 00000000* +L0070368 00000000 00000000 00000000 10001100 00000000 00000000 00000000 00000000* +L0070432 00000000 00000000 00000000 10001100 00000000 00000000 00000000 00000000* +L0070496 00000000 00000000 00000000 10001100 00000000 00000000 00000000 00000000* +L0070560 000000 000000 000000 100001 000000 000000 000000 000000* L0070608 000000 000000 000000 000010 000000 000000 000000 000000* -L0070656 000000 000000 000000 000000 000000 000000 001000 000000* -L0070704 000000 000000 000000 000010 000000 000000 000000 000000* -L0070752 000000 000000 000000 000010 000000 000000 000000 000000* -L0070800 000000 000000 000000 000000 000000 000000 000000 000000* -L0070848 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0070656 000000 000000 000000 000011 000000 000000 001000 000000* +L0070704 000000 000001 000000 000011 000000 000000 000000 000000* +L0070752 000000 000000 000000 000011 000000 000000 000000 000000* +L0070800 000000 000000 000000 000011 000000 000000 000000 000000* +L0070848 00000000 00000000 00000000 00000000 00000000 00000000 11111100 00000000* L0070912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071168 00000000 00000000 00100000 00000000 00100000 00000000 00000000 00000000* +L0070976 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* +L0071040 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* +L0071104 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* +L0071168 00000000 00000000 00000000 00000000 00000000 00000000 00001100 00000000* L0071232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0071296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071424 000000 000000 000000 000000 000000 000000 000000 000000* -L0071472 010000 000000 000000 000000 000000 000000 000000 000000* -L0071520 000000 000000 000000 000000 000000 000000 000000 000000* -L0071568 000000 000000 000000 000000 000000 000000 000000 000000* -L0071616 000000 000000 000000 000000 000000 000000 000000 000000* -L0071664 000000 000000 000000 000000 000000 000000 000000 000000* +L0071360 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0071424 000000 000000 000000 000000 000000 000000 000001 000000* +L0071472 000000 000000 010000 000000 000000 000000 100010 000000* +L0071520 000100 000000 000000 000000 000000 000000 000001 000000* +L0071568 000000 000000 000000 000000 000000 000000 000001 000000* +L0071616 000000 000000 000000 000000 000000 000000 000001 000000* +L0071664 000000 000000 000000 000000 000000 001000 000001 000000* L0071712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0071776 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0071840 00000000 00000000 00000000 00000000 00000000 00000000 01111100 00000000* L0071904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0071968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0072032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0072096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0072160 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0072160 00000000 00000000 00000000 00000000 00000000 00000000 10001100 00000000* L0072224 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0072288 000000 000000 000000 000000 000000 000000 000000 000000* -L0072336 000001 001000 000000 000000 000000 000000 000000 001000* -L0072384 000001 000000 000000 000000 000000 000000 000000 001000* -L0072432 000001 000000 000000 000000 000000 000000 000000 000000* -L0072480 000001 000000 000000 000000 000000 000000 000000 000000* -L0072528 000001 000000 000000 000000 000000 000000 000000 000000* -L0072576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0072640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0072704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0072768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0072832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0072896 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0072960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073088 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073152 000000 000000 000000 000000 000000 000000 000000 000000* -L0073200 000000 000000 000000 000000 000000 000000 000000 000000* -L0073248 010000 000000 000000 100000 000000 000000 000000 001000* +L0072336 000100 000000 000000 000000 000000 000000 000000 000000* +L0072384 000000 000000 000000 000000 000000 000000 000000 000000* +L0072432 000000 000000 000000 000000 000000 000000 100010 000000* +L0072480 000000 000000 000000 000000 000000 000000 000000 000000* +L0072528 000000 000000 000000 000000 000000 000000 000000 000000* +L0072576 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00010000* +L0072640 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00010100* +L0072704 00000000 00000000 00000000 00000000 00100100 00000000 00000000 00010100* +L0072768 00000000 00000000 00000000 00000000 00010100 00001000 00000000 00010100* +L0072832 00000000 00000000 00000000 00000000 00000100 00000100 00000000 00010100* +L0072896 00000000 00000000 00000000 00000000 10000100 00000000 00000000 00100100* +L0072960 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00100100* +L0073024 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00100100* +L0073088 00000000 00000000 00000000 00000000 10000000 00100000 00000000 00100100* +L0073152 000000 000000 000000 000000 100010 000000 000000 001000* +L0073200 000000 000000 000000 000000 000001 000000 000000 000000* +L0073248 000000 000000 000000 100000 000001 000000 000000 000100* L0073296 000000 000000 000000 000000 000000 000000 000000 000000* -L0073344 000000 000000 000000 000000 000000 000000 000000 000000* -L0073392 000000 000000 000000 000000 000000 000000 000000 000000* -L0073440 00000000 00001000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0073344 000000 000000 000000 000000 000000 000100 000000 001000* +L0073392 000000 000000 000000 000000 000001 000000 000000 001000* +L0073440 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* +L0073504 00000000 00000000 00000000 00000000 01100000 10000000 00000000 00000000* L0073568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0073632 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073696 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0073760 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073824 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0073888 00000000 00000000 00000000 00000000 00100000 00100000 00000000 00000000* -L0073952 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0074016 000000 000000 000000 000000 000000 000000 000000 000000* +L0073696 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0073760 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0073824 00000000 00000000 00000000 00000000 00100000 00000100 00000000 00000000* +L0073888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0073952 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* +L0074016 000000 000000 000000 000000 000001 000000 000000 000010* L0074064 000000 000000 000000 000000 000000 000000 000000 000000* -L0074112 000000 000000 000000 000000 000000 000000 000000 100000* -L0074160 000000 000000 000000 000000 000000 000000 000000 000000* +L0074112 000000 000000 000000 000000 000000 000000 000000 000000* +L0074160 000000 000000 000000 000000 000000 100000 000000 100000* L0074208 000000 000000 000000 000000 000000 000000 000000 000000* L0074256 000000 000000 000000 000000 000000 000000 000000 000000* L0074304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* L0074368 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0074432 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0074496 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0074560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0074496 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* +L0074560 00000000 00000000 00000000 00000100 00000000 00000000 10000000 00000000* L0074624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0074688 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0074752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0074816 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00001000* -L0074880 000000 000000 001000 000000 000000 000000 000000 000000* -L0074928 000000 000000 001000 000000 000000 000000 000000 000000* -L0074976 000000 000000 000000 000000 000010 000000 000000 000000* -L0075024 000000 000000 001000 000000 000010 000000 000000 000000* -L0075072 000000 000000 001000 000000 000010 000000 000000 000000* -L0075120 000000 000000 001000 000000 000010 000000 000000 000000* -L0075168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0075232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0075296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0075360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0075424 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* -L0075488 00000000 00000000 00000000 00010000 00000000 00010000 00000000 00000000* -L0075552 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00001000* -L0075616 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00001000* -L0075680 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* -L0075744 000000 000000 000000 000000 000000 000100 000000 000000* -L0075792 000000 000000 000000 000000 000000 000000 000000 000000* -L0075840 000000 000000 000000 000000 000000 000000 000000 000000* -L0075888 000000 000000 000000 000000 000000 000000 000000 000000* -L0075936 000000 000000 000000 000000 000000 000000 000000 000000* +L0074688 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0074752 00000000 00000000 00000100 10001000 00000000 00000000 00000000 00000000* +L0074816 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00001000* +L0074880 000000 000000 000000 100000 000000 000000 000001 000000* +L0074928 000000 000000 000000 000000 000000 000000 000000 000000* +L0074976 000000 000001 000000 000000 000000 000000 000000 000000* +L0075024 000000 000000 000000 000000 000000 000000 000000 000000* +L0075072 000000 000000 000000 000010 000000 000000 000000 000000* +L0075120 000000 000000 000000 000010 000000 000000 000001 000000* +L0075168 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0075232 00100000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0075296 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* +L0075360 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0075424 00000000 00000000 00000000 10000000 00000000 00001000 00000000 00000000* +L0075488 00000000 00000000 00000000 10000000 00000000 00000000 10000000 00000000* +L0075552 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00001000* +L0075616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0075680 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0075744 000000 000000 000000 000000 000000 000000 000000 000000* +L0075792 000000 000000 000000 000010 000000 000000 000000 000000* +L0075840 000000 000000 000000 000010 000000 000000 000000 000000* +L0075888 000000 000001 000000 000010 000000 000000 000001 000000* +L0075936 000000 000000 000000 000000 000000 000000 000001 000000* L0075984 000000 000000 000000 000000 000000 000000 000000 000000* L0076032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0076096 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0076160 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076224 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076224 10000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* L0076288 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076352 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* L0076416 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0076480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076544 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* +L0076544 00000000 00000000 10000000 00000000 00000000 00000000 00000000 01000000* L0076608 000000 000000 000000 000000 000000 000000 000000 000001* L0076656 000001 000000 000000 000000 000000 000000 000000 000000* L0076704 010001 000000 000000 000000 000000 000000 000001 000000* L0076752 000001 000000 000000 000000 000000 000000 000000 000000* -L0076800 000001 000000 100000 000000 000000 000000 000000 000100* +L0076800 000001 000000 000000 000000 000000 000000 000000 000100* L0076848 000001 000000 000000 000000 000000 000000 000000 000000* L0076896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076960 00000000 00000000 00000000 00000000 00000000 00000000 10000100 00010100* -L0077024 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00010100* -L0077088 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0076960 00000000 00000000 00000100 00000000 00000000 00000000 10000100 00010100* +L0077024 00000000 00000000 00000100 00000000 00000000 00000000 00000100 00010100* +L0077088 00000000 00000000 00100000 00000100 00000000 00000000 00000000 00000000* L0077152 00000000 00000000 00000000 10000100 00000000 00000000 00000000 00000000* -L0077216 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000100* -L0077280 00000000 00000000 00000100 10001000 00000000 00000000 00000100 00000100* -L0077344 00000000 00000000 00010100 10001000 00000000 00000000 10000100 00000000* -L0077408 00000000 00000000 00110000 10001000 00000000 00000000 00000000 00000000* +L0077216 00000000 00000000 00010000 10000000 00000000 00000000 00000000 00000100* +L0077280 00000000 00000000 00010000 10001000 00000000 00000000 00000100 00000100* +L0077344 00000000 00000000 00010000 10001000 00000000 10000000 10000100 00000000* +L0077408 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000000* L0077472 000000 000000 000000 100000 000000 000000 000000 000000* L0077520 000000 000000 000100 000010 000000 000000 100000 000000* -L0077568 000000 000000 000100 000010 000000 000000 000000 000000* +L0077568 000000 000000 000000 000010 000000 000000 000000 000000* L0077616 000000 000000 000000 000010 000000 000000 000000 000000* L0077664 000000 000000 000000 000010 000000 000000 000000 000000* -L0077712 000000 000000 000000 000010 000000 000000 000000 000000* -L0077760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0077824 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* -L0077888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* -L0077952 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078080 00000000 00100000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078144 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078208 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078272 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078336 000000 000000 000000 000000 000000 000000 000000 110000* -L0078384 000000 000000 000000 000000 000000 000000 000000 000000* -L0078432 000000 000000 000000 000000 000000 000000 000000 000000* -L0078480 000000 000000 000000 000000 000000 000000 000000 000001* -L0078528 000000 000000 000000 000000 000000 000000 000000 000000* -L0078576 000000 000000 000000 000000 000000 000000 000000 000100* +L0077712 000000 000000 100000 000010 000000 000000 000000 000000* +L0077760 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0077824 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0077888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077952 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0078016 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0078080 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078144 00000000 00000000 10000100 00000000 00000000 00000000 00000000 00000000* +L0078208 00000000 00000000 10000100 00000000 00000000 00000000 00000000 00000000* +L0078272 00000000 00000000 10000100 00000000 00000000 00000000 00000000 00000000* +L0078336 000000 000000 100001 000000 000000 000000 000000 000000* +L0078384 000000 010000 000001 000000 100000 000000 000000 000000* +L0078432 000000 000000 000001 000000 100000 000000 000000 000000* +L0078480 000000 000000 000001 000000 000000 000000 000000 000000* +L0078528 000000 000000 000001 000000 000000 000000 000000 000000* +L0078576 000000 000000 000001 000000 000000 000000 000000 000000* L0078624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078688 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010100* -L0078752 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00010100* -L0078816 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00010100* -L0078880 00000000 00000000 00000000 10000100 00000000 00000000 00000000 00010100* -L0078944 00000000 00000000 00000000 10010000 00000000 00000000 00000000 00100100* -L0079008 00000000 00000000 00000100 10011000 00000000 00000000 00000000 00100100* -L0079072 00000000 00000000 00110100 10001000 00000000 00000000 00000000 00000100* -L0079136 00000000 00000000 00110100 10001000 00000000 00000000 00000000 00000100* -L0079200 000000 000000 001101 100000 000000 000000 000000 000000* -L0079248 000000 000000 000100 000010 000000 000000 000000 000000* -L0079296 000000 000000 000100 010010 000000 000000 010000 000000* -L0079344 000000 000000 000100 000010 000000 000000 000000 000000* -L0079392 000000 000000 000100 000010 000010 000000 000000 000000* -L0079440 000000 000000 000100 000010 000010 000000 000000 000000* +L0078688 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078880 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079008 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079072 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079136 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079200 000000 000000 000000 000000 000000 000000 000000 000000* +L0079248 000000 000000 000000 000000 000000 000000 000000 000000* +L0079296 000000 000000 000000 000000 000000 000000 010000 000000* +L0079344 000000 000000 000000 000000 000000 000000 000000 000000* +L0079392 000000 000000 000000 000000 000000 000000 000000 000000* +L0079440 000000 000000 000000 000000 000000 000000 000000 000000* L0079488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0079552 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079616 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* L0079680 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0079744 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079872 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* -L0079936 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079808 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0079872 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079936 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* L0080000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0080064 000000 000000 000000 000000 000000 000000 000000 000000* L0080112 000000 000000 000000 000000 000000 000000 000000 000000* @@ -1488,198 +1488,198 @@ L0080304 000000 000000 000000 000000 000000 000000 000000 000000* L0080352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0080416 00000000 00000000 00000000 00000000 00000000 00000000 11111100 00000000* L0080480 00000000 00000000 00000000 00000000 00000000 00000000 01111100 00000000* -L0080544 00000000 00000000 10000000 00000100 00000000 00000000 00000000 00000000* +L0080544 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* L0080608 00000000 00000000 00000000 10000100 00000000 00000000 00000000 00000000* L0080672 00000000 00000000 00000000 10000000 00000000 00000000 00001000 00000000* L0080736 00000000 00000000 00000000 10001000 00000000 00000000 00000100 00000000* L0080800 00000000 00000000 00000000 10001000 00000000 00000000 10000100 00000000* L0080864 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000000* L0080928 000000 000000 000000 100000 000000 000000 000000 000000* -L0080976 000000 000100 000000 000010 000000 000000 000000 000000* +L0080976 000000 000000 000000 000010 000000 000000 000000 000000* L0081024 000000 000000 000000 000010 000000 000000 100000 000000* -L0081072 000000 000000 000000 000010 000000 000000 100000 010000* -L0081120 000000 000000 000000 000010 000000 000000 000000 000000* +L0081072 000000 000000 000000 000010 000000 000000 100000 000000* +L0081120 000000 000000 000001 000010 000000 000000 000000 000000* L0081168 000000 000000 000000 000010 000000 000000 000000 000000* -L0081216 00000000 00000000 00000000 10000100 10011000 00000000 00000000 00000000* -L0081280 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* -L0081344 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* -L0081408 00000000 00000000 00101000 10000000 00011000 00001000 00000000 00000000* -L0081472 00000000 00000000 00100000 00000000 00000000 00000100 00000000 00000000* -L0081536 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0081600 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0081664 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0081728 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0081792 000000 000000 000000 000001 000000 000000 000000 000000* -L0081840 000000 000000 000000 000100 000000 000000 000000 000000* -L0081888 000000 000000 000000 000001 000000 000000 000000 000000* -L0081936 000000 000000 000000 000001 000001 000000 000000 000000* -L0081984 000000 000000 000000 000001 000000 000100 000000 000000* -L0082032 000000 000000 000000 000001 000000 000000 000000 000000* -L0082080 00000000 00000000 10000000 00000000 00000100 10000000 00000000 00000100* -L0082144 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* -L0082208 00000000 00000000 00000000 00000100 01000100 00000000 10000000 00000000* -L0082272 00000000 00000000 00000100 00000000 00000100 00000000 10000000 00000000* -L0082336 00000000 00000000 00000000 00000000 01000100 00010000 10000000 00000000* -L0082400 00000000 00000000 00000000 00000000 00000100 00000000 00100000 00000000* -L0082464 00000000 00000100 00000100 00000000 00000100 00000000 00000000 00000000* -L0082528 00000000 00000000 00110100 00000000 00000100 00000000 00000000 00000000* -L0082592 00000000 00000000 00110100 00000000 00000100 00000000 00000100 00000000* -L0082656 000000 000000 001101 000000 000001 001000 000001 000000* -L0082704 000000 010000 000100 000001 010000 000000 000000 000000* -L0082752 000000 000000 000110 000000 010000 100000 000000 000000* -L0082800 000000 000000 000100 000000 010000 000000 000001 000000* -L0082848 000000 000000 001100 000000 010000 000000 000001 000000* -L0082896 000000 000000 000100 000000 010000 000001 000001 000000* +L0081216 00000000 00000100 00000000 00000000 10000000 00000000 00000000 00000000* +L0081280 00000000 00000100 00000000 00000000 00000000 00000000 00000000 01000000* +L0081344 00000000 00000000 00000000 00000000 00100000 01000000 00000000 00001000* +L0081408 00000000 00000000 00000000 00000000 00010000 00000000 00000000 00000000* +L0081472 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0081536 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0081600 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0081664 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0081728 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0081792 000000 000000 000000 000000 000011 000000 000000 110000* +L0081840 000000 000000 000000 000000 000001 000000 000000 000000* +L0081888 000000 000000 000000 000000 000001 000000 000000 000000* +L0081936 000000 000000 000000 000000 000001 000000 000000 000001* +L0081984 000000 000000 000000 000000 000000 000000 000000 000000* +L0082032 000000 000000 000000 000000 000000 000000 000000 000100* +L0082080 00000000 00000000 00000000 00000000 01000100 00000000 00000000 00000000* +L0082144 10000000 00000000 00000000 00000000 00011100 00000000 00000000 00010100* +L0082208 10000000 00000000 00000000 00000000 00011100 00000000 10000000 00010100* +L0082272 10000000 00000000 00010000 00000100 01001100 00000000 10000000 00010100* +L0082336 10000000 00000000 00010000 10000100 01001100 00000000 10000000 00010100* +L0082400 00000000 00000000 00000000 10010000 00100000 00000000 00100000 00100100* +L0082464 00000000 00000000 00000000 10011000 00001100 00000000 00000000 00100100* +L0082528 00000000 00000000 00000000 10001000 00101100 00000000 00000000 00000100* +L0082592 00000000 00000000 00000000 10001000 00101100 00000000 00000100 00000100* +L0082656 000000 000000 000000 100000 001000 000000 000001 000000* +L0082704 000000 000000 000000 000010 000000 000000 000000 000000* +L0082752 000001 000000 000000 010010 010000 000000 000000 000000* +L0082800 000001 000000 000000 000010 011100 000000 000001 000000* +L0082848 000001 000000 000000 000010 011100 000000 000001 000000* +L0082896 000001 000000 000000 000010 011100 000000 000001 000000* L0082944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0083008 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0083072 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0083136 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0083200 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0083264 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0083328 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0083328 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0083392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0083456 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0083520 000000 000000 000000 000000 000000 000000 000000 000000* -L0083568 000000 000000 000000 000000 000000 000000 000000 000000* +L0083568 010000 000000 000000 000000 000000 000000 000000 000000* L0083616 000000 000000 000000 000000 000000 000000 000000 000000* -L0083664 000000 000000 000000 000000 000010 000000 000000 000000* +L0083664 000000 000000 000000 000000 000000 000000 000000 000000* L0083712 000000 000000 000000 000000 000000 000000 000000 000000* L0083760 000000 000000 000000 000000 000000 000000 000000 000000* -L0083808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0083872 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0083936 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0084000 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* -L0084064 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084128 00000000 00000000 00010000 00000000 00000000 00001000 00000000 00000000* +L0083808 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0083872 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0083936 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0084000 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0084064 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0084128 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* L0084192 00000000 00000000 00000000 00000100 00000000 00000000 10000000 00000000* -L0084256 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0084256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0084320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0084384 000000 000000 000000 000000 000000 000000 000000 000000* -L0084432 000000 000000 100000 000100 000000 000000 000000 000000* +L0084432 000000 000000 000000 000000 000000 000000 000000 000000* L0084480 000000 000000 000000 000000 000000 000000 000000 000000* L0084528 000000 000000 000000 000000 000000 000000 000000 000000* L0084576 000000 000000 000000 000000 000000 000000 000000 000000* -L0084624 000000 000000 000001 000000 000000 000000 000000 000000* -L0084672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* +L0084624 000000 000000 000000 000000 000000 000000 000000 000000* +L0084672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* L0084736 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084864 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084928 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0084800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0084864 00000000 00000000 00101000 00000000 00000000 00000000 00000000 00000000* +L0084928 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* L0084992 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0085056 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0085120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0085184 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0085248 000000 000000 001010 000000 000000 000000 000000 001000* -L0085296 000000 000000 000000 000000 000000 000010 000000 000000* -L0085344 000000 000000 000000 000000 000000 000000 000001 000000* -L0085392 000000 000000 000000 000000 000000 000000 000000 000000* +L0085120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0085184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0085248 000000 000000 000000 000000 000000 000000 000000 000000* +L0085296 000000 000000 000000 000000 000000 000000 000000 000001* +L0085344 000000 000000 000000 000000 000000 000000 000000 000000* +L0085392 000000 000000 000000 000000 000000 000000 000000 001000* L0085440 000000 000000 000000 000000 000000 000000 000000 000000* L0085488 000000 000000 000000 000000 000000 000000 000000 000000* -L0085536 00000000 00000000 00000000 00000000 00000000 00000000 00010000 00000000* -L0085600 00000000 00000000 00001100 00000000 00000000 00000000 11100100 00000000* -L0085664 00000000 00000000 00001100 00000000 00000000 00000000 01100100 00000000* -L0085728 00000000 00000000 00001100 00000000 00000000 00000000 00000000 00000000* -L0085792 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0085856 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0085920 00000000 00000000 00000100 00000000 00000000 00000000 00000100 00000000* -L0085984 00000000 00000000 00011100 00000100 00000000 00000000 10000100 00000000* -L0086048 00000000 00000000 00011100 00000000 00000000 00000000 00000000 00000000* -L0086112 000000 000000 000101 000000 000000 000000 000000 110000* -L0086160 000000 000000 000100 000100 000000 000000 000000 000000* -L0086208 000000 000000 000110 000000 000000 000000 100000 000000* -L0086256 000000 000000 000110 000000 000000 000000 100000 000000* -L0086304 000000 000000 000110 000000 000000 000000 000000 010000* -L0086352 000000 000000 000110 000000 000000 000000 000000 000100* -L0086400 00000000 00000000 00000000 00000000 10011000 00000000 00000000 00000000* +L0085536 00000000 00000000 00000100 00000000 00000000 00000000 00000000 01010000* +L0085600 00000000 00000000 00001100 00000000 00000000 00000000 00000000 11011100* +L0085664 00000000 00000000 00001100 00000000 00000000 00000000 00000000 01011100* +L0085728 00000000 00000000 00000100 00000000 00000000 00000000 00000000 11011100* +L0085792 00000000 00000000 00001100 10000100 00000000 00000000 00000000 11011100* +L0085856 00000000 00000000 00010000 10000000 00000000 00000000 00000000 11100100* +L0085920 00000000 00000000 00010000 00000000 00000000 00000000 00000000 11101100* +L0085984 00000000 00000000 00011000 00001000 00000000 00000000 00000000 11100100* +L0086048 00000000 00000000 00011000 10000000 00000000 00000000 00000000 11101100* +L0086112 000000 000000 000110 000000 000000 000000 000000 111011* +L0086160 000100 000000 000100 000010 000000 000000 000000 000000* +L0086208 000100 000000 000110 000000 000000 000000 000000 000001* +L0086256 000000 000000 000110 000010 000000 000000 000000 100111* +L0086304 000000 000000 000110 000010 000000 000000 000000 001111* +L0086352 000000 000000 000110 000000 000000 000000 000000 001111* +L0086400 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0086464 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0086528 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086528 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* L0086592 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0086656 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0086720 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0086784 00000000 00000000 00000000 00000000 10000000 00000000 00000000 11000000* -L0086848 00000000 00000000 10000000 00000000 10000000 01000000 00000000 00000000* -L0086912 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0086976 000000 000000 000000 000000 100000 000000 000000 000000* +L0086720 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11000000* +L0086848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086976 000000 000000 000000 000000 000000 000000 000000 000000* L0087024 000000 000000 000000 000000 000000 000000 000000 000000* -L0087072 000000 000000 000000 000000 001100 000000 000001 000000* -L0087120 000000 000000 000000 000000 001100 010000 000000 000010* -L0087168 000000 000000 000000 000000 001100 000000 000000 000000* -L0087216 000000 000000 000000 000000 001101 000000 000000 000000* -L0087264 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* -L0087328 00000000 00000000 00000000 00000000 00000000 00000000 11111100 00010100* -L0087392 00000000 00000000 00000000 00000000 00000000 00100000 01111100 00010100* -L0087456 00000000 00000000 00000000 00000100 01000000 00000000 00000000 00010100* +L0087072 000000 000000 000000 000000 000000 000000 000001 000000* +L0087120 000000 000000 000000 000000 000000 000000 000000 000010* +L0087168 000001 000000 000000 000000 000000 000000 000000 000000* +L0087216 000000 000000 000000 000000 000000 000000 000000 000000* +L0087264 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* +L0087328 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00010100* +L0087392 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00010100* +L0087456 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00010100* L0087520 00000000 00000000 00000000 10000100 00000000 00000000 00000000 00010100* -L0087584 00000000 00000000 00000000 10010000 00000000 01000000 00000000 00000100* -L0087648 00000000 00000000 00000000 10011000 00000000 00000000 00001100 00000100* -L0087712 00000000 00000000 00000000 10001000 00000000 00000000 10001100 00000100* +L0087584 00000000 00000000 00000000 10010000 00000000 00000000 00000000 00000100* +L0087648 00000000 00000000 00000000 10011000 00000000 00000000 00000100 00000100* +L0087712 00000000 00000000 00000000 10001000 00000000 00000000 00000100 00000100* L0087776 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000100* L0087840 000000 000000 000000 100000 000000 000000 000000 000000* -L0087888 000000 000000 000000 000010 000000 010000 000000 000000* -L0087936 000000 000000 000000 000010 000001 000000 100010 000000* -L0087984 000000 000000 000000 000010 000000 000000 100010 000000* +L0087888 000000 000000 000000 000010 000000 000000 000000 000000* +L0087936 000000 000000 000000 000010 000000 000000 000000 000000* +L0087984 000000 000000 000000 000010 000000 000000 000000 000000* L0088032 000000 000000 000000 000010 000000 000000 000000 000000* L0088080 000000 000000 000000 000010 000000 000000 000000 000000* -L0088128 00100000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* -L0088192 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* -L0088256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0088320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0088384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0088448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0088512 00000000 00000000 00000000 00000000 00000000 10010100 00000000 00000000* -L0088576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0088640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0088704 000000 000000 000000 000000 000000 000000 000000 000000* -L0088752 000000 000000 000000 000000 000000 000000 000000 000000* -L0088800 000000 000000 000000 000000 000000 101100 000001 000000* -L0088848 000000 000000 000000 000000 000000 100000 000000 000000* -L0088896 000000 000000 000000 000000 000000 000000 000000 000000* -L0088944 000000 000000 000000 000000 000000 000001 000000 000000* -L0088992 00000000 00000000 00000000 00000000 00000000 00000100 01000000 00000000* -L0089056 00000000 00000000 00000000 00000000 00000000 00001000 10000100 00000000* -L0089120 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0089184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0089248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0089312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0089376 00000000 00000000 01000000 00000000 00000000 00000000 00000100 00000000* -L0089440 00000000 00000000 00000000 00000000 00000000 00000000 10000100 00000000* -L0089504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0089568 000000 000000 000000 000000 000000 000000 000000 000000* -L0089616 000000 000000 000001 000000 000000 000000 000000 000000* -L0089664 000000 000000 000000 000000 000000 000000 100000 000000* -L0089712 000000 000000 000000 000000 000000 000000 100000 000000* +L0088128 00000100 00000000 00000100 00000000 00000000 00000000 00000000 00100000* +L0088192 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0088256 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0088320 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0088384 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* +L0088448 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* +L0088512 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00001000* +L0088576 00000000 00000000 00001000 00000000 00000000 00100000 00000000 00000000* +L0088640 00000000 00000000 00001000 00000000 00000000 00000100 00000000 00000000* +L0088704 000000 000000 000010 000000 000000 000000 000000 000000* +L0088752 000000 000000 100000 100000 000000 100000 000000 000000* +L0088800 000000 000000 000010 000000 000000 000000 000000 000000* +L0088848 000000 000000 000010 000000 000000 000100 000000 000000* +L0088896 000000 000000 000010 000000 000000 000000 000000 000000* +L0088944 000000 000000 000010 000000 000000 000000 000000 000000* +L0088992 00000000 00000000 00000000 00000000 00000000 10000100 00000000 00000000* +L0089056 00000000 00000000 00000000 00000000 00000000 10001000 11111100 00000000* +L0089120 00000000 00000000 00000000 00000000 01000000 00001000 01111100 00000000* +L0089184 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* +L0089248 00000100 00000000 00000000 00000000 00100000 00010100 00000000 00000000* +L0089312 00010000 00000000 00011000 00000000 00000000 00100000 00000000 00000000* +L0089376 00000000 00000000 01011000 00000000 00000000 00000100 00001100 00000000* +L0089440 00000000 00000000 00000000 00000000 00000000 00000000 10001100 00000000* +L0089504 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00001000* +L0089568 000001 000000 000000 000000 000000 001000 000000 000000* +L0089616 000100 000000 000000 000000 000000 000000 000001 000000* +L0089664 000100 000000 000100 000000 000000 000000 100010 000000* +L0089712 000010 000000 000000 000000 000000 000000 100010 000000* L0089760 000000 000000 000000 000000 000000 000000 000000 000000* -L0089808 000000 000000 000000 000000 000000 000000 000000 000000* -L0089856 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* -L0089920 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010100* -L0089984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010100* -L0090048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010100* -L0090112 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00010100* -L0090176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* -L0090240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* -L0090304 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00100100* -L0090368 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00100100* -L0090432 000000 000000 000000 100000 000000 000000 000000 001000* +L0089808 000000 000000 000000 000000 000000 000001 000000 000000* +L0089856 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0089920 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0089984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0090048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0090112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0090176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0090240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0090304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0090368 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0090432 000000 000000 000000 000000 000000 000000 000000 000000* L0090480 010000 000000 000000 000000 000000 000000 000000 000000* -L0090528 000000 000000 000001 000000 000000 000000 000001 000100* -L0090576 000000 000000 000000 000000 000000 000000 000000 000000* -L0090624 000000 000000 000000 000010 000000 000000 000000 001000* -L0090672 000000 000000 000000 000010 000000 000000 000000 001000* -L0090720 00000000 00000000 00000000 00000000 00000000 00000000 00100000 00000000* -L0090784 10000000 00000000 00000000 00000000 00000000 00000000 11000100 00000000* -L0090848 10000000 00000000 00000000 00000000 00000000 00010000 01000100 00000000* -L0090912 10000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0090976 10000000 00000000 00000000 10000000 00000000 00000000 00000000 10000000* -L0091040 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* -L0091104 00000000 00000000 00000000 10001000 00000000 00000000 00000100 00000000* -L0091168 00000000 00000000 00000000 00000000 00000000 00000000 10000100 00000000* -L0091232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091296 000000 000000 000000 000000 000000 000000 000000 000010* -L0091344 000000 000000 000000 000010 000000 000000 000000 000000* -L0091392 000000 000000 000000 000010 000000 000000 100000 000000* -L0091440 000000 000000 000000 000010 000000 000000 100000 100000* -L0091488 000000 000000 000000 000000 000000 000000 000000 000000* -L0091536 000000 000000 000000 000000 000000 000000 000000 000000* +L0090528 000000 000000 000000 000000 000000 000000 000000 000000* +L0090576 000000 000000 000000 000000 000000 000000 000000 001000* +L0090624 000000 000000 000000 000000 000000 000000 000000 000000* +L0090672 000000 000000 000000 000000 000000 000000 000000 000000* +L0090720 00000000 00010000 00000000 00001000 00000000 00000000 00000000 00000000* +L0090784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0090848 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000100* +L0090912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0090976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0091040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0091104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0091168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0091232 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000100* +L0091296 000000 000000 000000 000000 000000 000000 000000 000000* +L0091344 000001 000000 000000 000000 000000 000000 000000 000000* +L0091392 000001 000000 000000 000000 000000 000000 000000 000000* +L0091440 000001 000000 000000 000000 000000 000000 000000 000000* +L0091488 000001 000000 000000 000000 000000 000000 000000 000000* +L0091536 000001 000000 000000 000000 000000 000000 000000 000000* L0091584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0091648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0091712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -1690,25 +1690,25 @@ L0091968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 L0092032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0092096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0092160 000000 000000 000000 000000 000000 000000 000000 000000* -L0092208 000000 000000 000000 000000 000000 000000 000000 000000* +L0092208 000000 000000 000000 000100 000000 000000 000000 000000* L0092256 000000 000000 000000 000000 000000 000000 000000 000000* L0092304 000000 000000 000000 000000 000000 000000 000000 000000* L0092352 000000 000000 000000 000000 000000 000000 000000 000000* L0092400 000000 000000 000000 000000 000000 000000 000000 000000* -L0092448 00000000 00100000 00000000 00000000 00000000 00000000 00000000 00000100* +L0092448 00000000 00100000 00000000 00000000 00000000 00000000 00000000 00000000* L0092512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0092576 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* L0092640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0092704 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* -L0092768 00010000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092832 00010000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092768 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* +L0092832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092896 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0092960 00000000 00000000 00000100 00000000 00000000 00000100 00000000 00000000* L0093024 000000 000000 000000 000000 000000 000000 000001 000000* -L0093072 000000 000000 000000 000000 000000 000000 010000 000000* -L0093120 000000 000000 000000 000000 000000 000000 000000 000000* +L0093072 000000 000000 000000 000000 000000 000000 010000 001000* +L0093120 000000 000000 000000 000000 000000 000000 000000 001000* L0093168 000000 000000 000000 000000 000000 000000 000000 000000* -L0093216 000000 000000 000001 000000 000000 000000 000001 000000* +L0093216 000000 000000 000000 000000 000000 000000 000001 000000* L0093264 000000 000000 000000 000000 000000 000000 000000 000000* -CF3BF* -2E93 +CE5A9* +2E91 diff --git a/cpld/XC95144XL/WarpSE.mfd b/cpld/XC95144XL/WarpSE.mfd index ff3370a..074ee70 100644 --- a/cpld/XC95144XL/WarpSE.mfd +++ b/cpld/XC95144XL/WarpSE.mfd @@ -4,7 +4,7 @@ MACROCELL | 5 | 1 | nVMA_IOBout ATTRIBUTES | 4622082 | 0 OUTPUTMC | 2 | 5 | 1 | 5 | 9 INPUTS | 8 | nVMA_IOB | iobm/ES<0> | iobm/ES<2> | iobm/ES<1> | iobm/ES<3> | IOACT | iobm/VPAr | nAoutOE -INPUTMC | 8 | 5 | 1 | 5 | 4 | 0 | 13 | 5 | 6 | 5 | 5 | 5 | 17 | 1 | 7 | 3 | 1 +INPUTMC | 8 | 5 | 1 | 5 | 4 | 1 | 17 | 5 | 6 | 5 | 5 | 5 | 17 | 1 | 5 | 3 | 1 EQ | 6 | nVMA_IOB.T = !nVMA_IOB & !iobm/ES<0> & !iobm/ES<2> & !iobm/ES<1> & !iobm/ES<3> @@ -16,9 +16,9 @@ GLOBALS | 1 | 2 | C8M MACROCELL | 5 | 11 | nAS_IOBout ATTRIBUTES | 8816386 | 0 -OUTPUTMC | 2 | 1 | 17 | 5 | 9 +OUTPUTMC | 2 | 1 | 16 | 5 | 9 INPUTS | 9 | iobm/IOS_FSM_FFd3 | iobm/C8Mr | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd7 | iobm/IORDREQr | iobm/IOWRREQr | nAoutOE -INPUTMC | 9 | 5 | 3 | 1 | 14 | 1 | 10 | 1 | 9 | 5 | 0 | 5 | 2 | 1 | 12 | 1 | 8 | 3 | 1 +INPUTMC | 9 | 5 | 3 | 1 | 12 | 1 | 8 | 1 | 7 | 5 | 0 | 5 | 2 | 1 | 10 | 1 | 6 | 3 | 1 EQ | 9 | nAS_IOB.D = !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 @@ -35,7 +35,7 @@ MACROCELL | 5 | 8 | nLDS_IOBout ATTRIBUTES | 8816386 | 0 OUTPUTMC | 1 | 5 | 8 INPUTS | 8 | iobm/IOS_FSM_FFd5 | IOL0 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | nLDS_IOB | iobm/IOS_FSM_FFd6 | iobm/DoutOE.EXP | nAoutOE -INPUTMC | 8 | 1 | 9 | 7 | 0 | 1 | 10 | 5 | 3 | 5 | 8 | 5 | 0 | 5 | 7 | 3 | 1 +INPUTMC | 8 | 1 | 7 | 7 | 0 | 1 | 8 | 5 | 3 | 5 | 8 | 5 | 0 | 5 | 7 | 3 | 1 IMPORTS | 1 | 5 | 7 EQ | 9 | !nLDS_IOB.D = iobm/IOS_FSM_FFd3 & IOL0 @@ -53,7 +53,7 @@ MACROCELL | 5 | 10 | nUDS_IOBout ATTRIBUTES | 8816386 | 0 OUTPUTMC | 1 | 5 | 10 INPUTS | 8 | iobm/IOS_FSM_FFd3 | IOU0 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd4 | nUDS_IOB | iobm/IOS_FSM_FFd6 | IODONE.EXP | nAoutOE -INPUTMC | 8 | 5 | 3 | 7 | 13 | 1 | 9 | 1 | 10 | 5 | 10 | 5 | 0 | 5 | 9 | 3 | 1 +INPUTMC | 8 | 5 | 3 | 7 | 13 | 1 | 7 | 1 | 8 | 5 | 10 | 5 | 0 | 5 | 9 | 3 | 1 IMPORTS | 1 | 5 | 9 EQ | 9 | !nUDS_IOB.D = iobm/IOS_FSM_FFd3 & IOU0 @@ -69,9 +69,9 @@ GLOBALS | 1 | 2 | C16M MACROCELL | 3 | 17 | cnt/LTimer<0> ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 18 | 3 | 17 | 3 | 15 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 2 | 1 | 3 | 11 | 6 | 17 | 3 | 0 | 3 | 16 | 6 | 1 -INPUTS | 8 | cnt/LTimer<0> | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/LTimer<1> | cnt/LTimer<2> | EXP12_.EXP | EXP15_.EXP -INPUTMC | 8 | 3 | 17 | 6 | 17 | 7 | 15 | 0 | 10 | 3 | 15 | 6 | 14 | 3 | 0 | 3 | 16 +OUTPUTMC | 18 | 3 | 17 | 3 | 15 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 2 | 0 | 3 | 12 | 6 | 17 | 3 | 0 | 3 | 16 | 6 | 1 +INPUTS | 8 | cnt/LTimer<0> | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/LTimer<1> | cnt/LTimer<2> | EXP13_.EXP | EXP16_.EXP +INPUTMC | 8 | 3 | 17 | 6 | 17 | 7 | 15 | 0 | 11 | 3 | 15 | 6 | 14 | 3 | 0 | 3 | 16 IMPORTS | 2 | 3 | 0 | 3 | 16 EQ | 73 | cnt/LTimer<0>.D = cnt/LTimer<0> & @@ -151,9 +151,9 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 3 | 15 | cnt/LTimer<1> ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 17 | 3 | 17 | 3 | 15 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 2 | 1 | 3 | 11 | 6 | 17 | 6 | 1 | 3 | 16 -INPUTS | 24 | cnt/LTimer<1> | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | cnt/LTimer<0> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<14> | A_FSB<11> | A_FSB<10> | nWE_FSB | nAS_FSB | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | A_FSB<9> | A_FSB<8> | EXP14_.EXP -INPUTMC | 6 | 3 | 15 | 6 | 17 | 3 | 17 | 7 | 15 | 0 | 10 | 3 | 14 +OUTPUTMC | 17 | 3 | 17 | 3 | 15 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 2 | 0 | 3 | 12 | 6 | 17 | 6 | 1 | 3 | 16 +INPUTS | 24 | cnt/LTimer<1> | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | cnt/LTimer<0> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<14> | A_FSB<11> | A_FSB<10> | nWE_FSB | nAS_FSB | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | A_FSB<9> | A_FSB<8> | EXP15_.EXP +INPUTMC | 6 | 3 | 15 | 6 | 17 | 3 | 17 | 7 | 15 | 0 | 11 | 3 | 14 INPUTP | 18 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 21 | 18 | 17 | 19 | 15 | 13 | 47 | 54 | 12 | 11 EXPORTS | 1 | 3 | 16 IMPORTS | 1 | 3 | 14 @@ -218,11 +218,11 @@ EQ | 58 | A_FSB<8> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 9 | cnt/Timer<0> +MACROCELL | 0 | 10 | cnt/Timer<0> ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 6 | 0 | 9 | 0 | 14 | 0 | 16 | 0 | 15 | 0 | 12 | 0 | 8 +OUTPUTMC | 6 | 0 | 10 | 0 | 14 | 0 | 16 | 0 | 15 | 0 | 13 | 0 | 9 INPUTS | 4 | cnt/Timer<0> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 4 | 0 | 9 | 0 | 8 | 0 | 4 | 0 | 3 +INPUTMC | 4 | 0 | 10 | 0 | 9 | 1 | 14 | 0 | 4 EQ | 4 | !cnt/Timer<0>.T = !cnt/Timer<0> & cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; @@ -232,9 +232,9 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 0 | 14 | cnt/Timer<1> ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 6 | 0 | 14 | 0 | 16 | 0 | 11 | 0 | 15 | 0 | 12 | 0 | 8 +OUTPUTMC | 6 | 0 | 14 | 0 | 16 | 0 | 12 | 0 | 15 | 0 | 13 | 0 | 9 INPUTS | 5 | cnt/Timer<0> | cnt/Timer<1> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 5 | 0 | 9 | 0 | 14 | 0 | 8 | 0 | 4 | 0 | 3 +INPUTMC | 5 | 0 | 10 | 0 | 14 | 0 | 9 | 1 | 14 | 0 | 4 EQ | 5 | !cnt/Timer<1>.D = cnt/Timer<0> & cnt/Timer<1> # !cnt/Timer<0> & !cnt/Timer<1> @@ -245,9 +245,9 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 0 | 16 | cnt/Timer<2> ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 5 | 0 | 16 | 0 | 11 | 0 | 15 | 0 | 12 | 0 | 8 +OUTPUTMC | 5 | 0 | 16 | 0 | 12 | 0 | 15 | 0 | 13 | 0 | 9 INPUTS | 6 | cnt/Timer<0> | cnt/Timer<2> | cnt/Timer<1> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 6 | 0 | 9 | 0 | 16 | 0 | 14 | 0 | 8 | 0 | 4 | 0 | 3 +INPUTMC | 6 | 0 | 10 | 0 | 16 | 0 | 14 | 0 | 9 | 1 | 14 | 0 | 4 EQ | 6 | !cnt/Timer<2>.D = !cnt/Timer<0> & !cnt/Timer<2> # !cnt/Timer<1> & !cnt/Timer<2> @@ -257,13 +257,13 @@ EQ | 6 | cnt/Timer<2>.CE = !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 15 | iobs/Sent +MACROCELL | 2 | 14 | iobs/Sent ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 16 | 2 | 15 | 7 | 16 | 4 | 14 | 7 | 11 | 7 | 2 | 2 | 11 | 7 | 0 | 7 | 13 | 2 | 13 | 7 | 8 | 2 | 10 | 2 | 14 | 2 | 16 | 7 | 7 | 7 | 9 | 7 | 15 -INPUTS | 12 | iobs/Sent | nAS_FSB | fsb/ASrf | A_FSB<23> | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<22> | A_FSB<20> | A_FSB<21> | IORDREQ.EXP | nROMWE_OBUF.EXP -INPUTMC | 7 | 2 | 15 | 3 | 9 | 2 | 5 | 7 | 2 | 5 | 13 | 2 | 14 | 2 | 16 +OUTPUTMC | 16 | 2 | 14 | 7 | 16 | 7 | 8 | 7 | 11 | 7 | 2 | 2 | 11 | 7 | 0 | 7 | 13 | 2 | 12 | 2 | 2 | 2 | 10 | 2 | 13 | 2 | 15 | 7 | 7 | 7 | 9 | 7 | 15 +INPUTS | 12 | iobs/Sent | nAS_FSB | fsb/ASrf | A_FSB<23> | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<22> | A_FSB<20> | A_FSB<21> | IORDREQ.EXP | EXP11_.EXP +INPUTMC | 7 | 2 | 14 | 3 | 9 | 2 | 4 | 7 | 2 | 5 | 13 | 2 | 13 | 2 | 15 INPUTP | 5 | 54 | 36 | 30 | 28 | 29 -IMPORTS | 2 | 2 | 14 | 2 | 16 +IMPORTS | 2 | 2 | 13 | 2 | 15 EQ | 32 | iobs/Sent.T = iobs/Sent & nAS_FSB & !fsb/ASrf # A_FSB<23> & !iobs/Sent & !nAS_FSB & @@ -274,16 +274,16 @@ EQ | 32 | !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 # A_FSB<22> & A_FSB<20> & !iobs/Sent & !nAS_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 -;Imported pterms FB3_15 +;Imported pterms FB3_14 # A_FSB<22> & A_FSB<21> & !iobs/Sent & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 # A_FSB<22> & A_FSB<20> & !iobs/Sent & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 # A_FSB<22> & !iobs/Sent & !cs/nOverlay & !nAS_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 +;Imported pterms FB3_16 # A_FSB<22> & !iobs/Sent & !cs/nOverlay & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 -;Imported pterms FB3_17 # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & !iobs/Sent & !nWE_FSB & !nAS_FSB & nADoutLE1 @@ -299,9 +299,9 @@ EQ | 32 | iobs/Sent.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 1 | 17 | IOBERR +MACROCELL | 1 | 16 | IOBERR ATTRIBUTES | 8553232 | 0 -OUTPUTMC | 4 | 7 | 10 | 5 | 3 | 5 | 16 | 1 | 16 +OUTPUTMC | 4 | 7 | 10 | 5 | 3 | 5 | 16 | 1 | 15 INPUTS | 2 | nBERR_IOB | nAS_IOB INPUTMC | 1 | 5 | 11 INPUTP | 1 | 123 @@ -313,9 +313,9 @@ GLOBALS | 1 | 2 | C8M MACROCELL | 5 | 9 | IODONE ATTRIBUTES | 8553232 | 0 -OUTPUTMC | 5 | 5 | 3 | 5 | 16 | 1 | 16 | 1 | 5 | 5 | 10 +OUTPUTMC | 5 | 5 | 3 | 5 | 16 | 1 | 15 | 1 | 3 | 5 | 10 INPUTS | 12 | nRES.PIN | nDTACK_IOB | nVMA_IOB | iobm/ES<0> | iobm/ES<2> | iobm/ES<1> | iobm/ES<3> | nAS_IOB | iobm/IOS_FSM_FFd7 | iobm/C8Mr | IOU0 | iobm/IORDREQr -INPUTMC | 10 | 5 | 1 | 5 | 4 | 0 | 13 | 5 | 6 | 5 | 5 | 5 | 11 | 5 | 2 | 1 | 14 | 7 | 13 | 1 | 12 +INPUTMC | 10 | 5 | 1 | 5 | 4 | 1 | 17 | 5 | 6 | 5 | 5 | 5 | 11 | 5 | 2 | 1 | 12 | 7 | 13 | 1 | 10 INPUTP | 2 | 145 | 127 EXPORTS | 1 | 5 | 10 EQ | 8 | @@ -329,11 +329,11 @@ EQ | 8 | iobm/IORDREQr GLOBALS | 1 | 2 | C8M -MACROCELL | 0 | 11 | RefUrg +MACROCELL | 0 | 12 | RefUrg ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 12 | 0 | 5 | 4 | 0 | 4 | 9 | 0 | 17 | 4 | 12 | 4 | 17 | 4 | 4 | 4 | 3 | 4 | 5 | 4 | 8 | 4 | 11 | 4 | 16 +OUTPUTMC | 13 | 0 | 5 | 4 | 0 | 4 | 6 | 0 | 17 | 4 | 16 | 4 | 12 | 4 | 4 | 4 | 3 | 4 | 5 | 4 | 7 | 4 | 8 | 4 | 15 | 4 | 17 INPUTS | 5 | cnt/Timer<1> | cnt/Timer<2> | cnt/Timer<3> | cnt/Er<0> | cnt/Er<1> -INPUTMC | 5 | 0 | 14 | 0 | 16 | 0 | 15 | 0 | 4 | 0 | 3 +INPUTMC | 5 | 0 | 14 | 0 | 16 | 0 | 15 | 1 | 14 | 0 | 4 EQ | 3 | RefUrg.D = !cnt/Timer<1> & !cnt/Timer<2> & cnt/Timer<3>; RefUrg.CLK = FCLK; // GCK @@ -342,9 +342,9 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 6 | 16 | cnt/LTimer<10> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 5 | 3 | 0 | 6 | 16 | 6 | 15 | 3 | 11 | 6 | 17 +OUTPUTMC | 5 | 3 | 0 | 6 | 16 | 6 | 15 | 3 | 12 | 6 | 17 INPUTS | 35 | cnt/LTimer<10> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/LTimer<9> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<14> | A_FSB<11> | A_FSB<10> | nWE_FSB | A_FSB<8> | fsb/ASrf | nAS_FSB | A_FSB<9> -INPUTMC | 17 | 6 | 16 | 7 | 15 | 0 | 10 | 3 | 17 | 3 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 0 | 8 | 0 | 4 | 0 | 3 | 3 | 9 +INPUTMC | 17 | 6 | 16 | 7 | 15 | 0 | 11 | 3 | 17 | 3 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 0 | 9 | 1 | 14 | 0 | 4 | 3 | 9 INPUTP | 18 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 21 | 18 | 17 | 19 | 15 | 13 | 47 | 11 | 54 | 12 EXPORTS | 1 | 6 | 17 EQ | 21 | @@ -373,9 +373,9 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 6 | 14 | cnt/LTimer<2> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 14 | 3 | 17 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 3 | 11 | 6 | 17 | 6 | 1 +OUTPUTMC | 14 | 3 | 17 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 3 | 12 | 6 | 17 | 6 | 1 INPUTS | 8 | cnt/LTimer<2> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 8 | 6 | 14 | 7 | 15 | 0 | 10 | 3 | 17 | 3 | 15 | 0 | 8 | 0 | 4 | 0 | 3 +INPUTMC | 8 | 6 | 14 | 7 | 15 | 0 | 11 | 3 | 17 | 3 | 15 | 0 | 9 | 1 | 14 | 0 | 4 EQ | 7 | cnt/LTimer<2>.T = cnt/LTimer<2> & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 @@ -388,9 +388,9 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 6 | 13 | cnt/LTimer<3> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 13 | 3 | 16 | 6 | 16 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 3 | 11 | 6 | 17 | 6 | 1 +OUTPUTMC | 13 | 3 | 16 | 6 | 16 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 3 | 12 | 6 | 17 | 6 | 1 INPUTS | 9 | cnt/LTimer<3> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 9 | 6 | 13 | 7 | 15 | 0 | 10 | 3 | 17 | 3 | 15 | 6 | 14 | 0 | 8 | 0 | 4 | 0 | 3 +INPUTMC | 9 | 6 | 13 | 7 | 15 | 0 | 11 | 3 | 17 | 3 | 15 | 6 | 14 | 0 | 9 | 1 | 14 | 0 | 4 EQ | 7 | cnt/LTimer<3>.T = cnt/LTimer<3> & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 @@ -403,9 +403,9 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 6 | 12 | cnt/LTimer<4> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 12 | 3 | 16 | 6 | 16 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 3 | 11 | 6 | 17 | 6 | 1 +OUTPUTMC | 12 | 3 | 16 | 6 | 16 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 3 | 12 | 6 | 17 | 6 | 1 INPUTS | 10 | cnt/LTimer<4> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 10 | 6 | 12 | 7 | 15 | 0 | 10 | 3 | 17 | 3 | 15 | 6 | 14 | 6 | 13 | 0 | 8 | 0 | 4 | 0 | 3 +INPUTMC | 10 | 6 | 12 | 7 | 15 | 0 | 11 | 3 | 17 | 3 | 15 | 6 | 14 | 6 | 13 | 0 | 9 | 1 | 14 | 0 | 4 EQ | 9 | cnt/LTimer<4>.T = cnt/LTimer<4> & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 @@ -420,9 +420,9 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 6 | 9 | cnt/LTimer<5> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 11 | 3 | 16 | 6 | 16 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 3 | 11 | 6 | 17 | 6 | 1 +OUTPUTMC | 11 | 3 | 16 | 6 | 16 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 3 | 12 | 6 | 17 | 6 | 1 INPUTS | 11 | cnt/LTimer<5> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 11 | 6 | 9 | 7 | 15 | 0 | 10 | 3 | 17 | 3 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 0 | 8 | 0 | 4 | 0 | 3 +INPUTMC | 11 | 6 | 9 | 7 | 15 | 0 | 11 | 3 | 17 | 3 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 0 | 9 | 1 | 14 | 0 | 4 EQ | 9 | cnt/LTimer<5>.T = cnt/LTimer<5> & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 @@ -437,9 +437,9 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 6 | 6 | cnt/LTimer<6> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 10 | 3 | 16 | 6 | 16 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 3 | 11 | 6 | 17 | 6 | 1 +OUTPUTMC | 10 | 3 | 16 | 6 | 16 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 3 | 12 | 6 | 17 | 6 | 1 INPUTS | 12 | cnt/LTimer<6> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 12 | 6 | 6 | 7 | 15 | 0 | 10 | 3 | 17 | 3 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 0 | 8 | 0 | 4 | 0 | 3 +INPUTMC | 12 | 6 | 6 | 7 | 15 | 0 | 11 | 3 | 17 | 3 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 0 | 9 | 1 | 14 | 0 | 4 EQ | 9 | cnt/LTimer<6>.T = cnt/LTimer<6> & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 @@ -454,9 +454,9 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 6 | 3 | cnt/LTimer<7> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 9 | 3 | 16 | 6 | 16 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 3 | 11 | 6 | 17 | 6 | 1 +OUTPUTMC | 9 | 3 | 16 | 6 | 16 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 3 | 12 | 6 | 17 | 6 | 1 INPUTS | 13 | cnt/LTimer<7> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 13 | 6 | 3 | 7 | 15 | 0 | 10 | 3 | 17 | 3 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 0 | 8 | 0 | 4 | 0 | 3 +INPUTMC | 13 | 6 | 3 | 7 | 15 | 0 | 11 | 3 | 17 | 3 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 0 | 9 | 1 | 14 | 0 | 4 EQ | 9 | cnt/LTimer<7>.T = cnt/LTimer<7> & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 @@ -471,9 +471,9 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 6 | 2 | cnt/LTimer<8> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 8 | 3 | 0 | 6 | 16 | 6 | 2 | 6 | 0 | 6 | 15 | 3 | 11 | 6 | 17 | 6 | 1 +OUTPUTMC | 8 | 3 | 0 | 6 | 16 | 6 | 2 | 6 | 0 | 6 | 15 | 3 | 12 | 6 | 17 | 6 | 1 INPUTS | 14 | cnt/LTimer<8> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 14 | 6 | 2 | 7 | 15 | 0 | 10 | 3 | 17 | 3 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 0 | 8 | 0 | 4 | 0 | 3 +INPUTMC | 14 | 6 | 2 | 7 | 15 | 0 | 11 | 3 | 17 | 3 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 0 | 9 | 1 | 14 | 0 | 4 EQ | 11 | cnt/LTimer<8>.T = cnt/LTimer<8> & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 @@ -490,9 +490,9 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 6 | 0 | cnt/LTimer<9> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 6 | 3 | 0 | 6 | 16 | 6 | 0 | 6 | 15 | 3 | 11 | 6 | 17 +OUTPUTMC | 6 | 3 | 0 | 6 | 16 | 6 | 0 | 6 | 15 | 3 | 12 | 6 | 17 INPUTS | 34 | cnt/LTimer<9> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<14> | A_FSB<11> | A_FSB<10> | nWE_FSB | A_FSB<9> | fsb/ASrf | A_FSB<8> | RA_1_OBUF.EXP -INPUTMC | 17 | 6 | 0 | 7 | 15 | 0 | 10 | 3 | 17 | 3 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 0 | 8 | 0 | 4 | 0 | 3 | 3 | 9 | 6 | 1 +INPUTMC | 17 | 6 | 0 | 7 | 15 | 0 | 11 | 3 | 17 | 3 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 0 | 9 | 1 | 14 | 0 | 4 | 3 | 9 | 6 | 1 INPUTP | 17 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 21 | 18 | 17 | 19 | 15 | 13 | 47 | 12 | 11 EXPORTS | 1 | 6 | 17 IMPORTS | 1 | 6 | 1 @@ -528,9 +528,9 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 0 | 15 | cnt/Timer<3> ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 4 | 0 | 11 | 0 | 15 | 0 | 12 | 0 | 8 +OUTPUTMC | 4 | 0 | 12 | 0 | 15 | 0 | 13 | 0 | 9 INPUTS | 7 | cnt/Timer<0> | cnt/Timer<1> | cnt/Timer<2> | cnt/Er<0> | cnt/Er<1> | cnt/Timer<3> | cnt/TimerTC -INPUTMC | 7 | 0 | 9 | 0 | 14 | 0 | 16 | 0 | 4 | 0 | 3 | 0 | 15 | 0 | 8 +INPUTMC | 7 | 0 | 10 | 0 | 14 | 0 | 16 | 1 | 14 | 0 | 4 | 0 | 15 | 0 | 9 EQ | 10 | cnt/Timer<3>.T = cnt/Timer<0> & cnt/Timer<1> & cnt/Timer<2> & !cnt/TimerTC @@ -544,11 +544,11 @@ EQ | 10 | cnt/Timer<3>.CE = !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 12 | RefReq +MACROCELL | 0 | 13 | RefReq ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 7 | 0 | 5 | 4 | 3 | 4 | 8 | 0 | 0 | 4 | 11 | 4 | 15 | 4 | 1 +OUTPUTMC | 8 | 0 | 5 | 4 | 3 | 4 | 1 | 0 | 0 | 4 | 15 | 4 | 11 | 4 | 7 | 4 | 13 INPUTS | 6 | cnt/Timer<0> | cnt/Timer<1> | cnt/Timer<2> | cnt/Timer<3> | cnt/Er<0> | cnt/Er<1> -INPUTMC | 6 | 0 | 9 | 0 | 14 | 0 | 16 | 0 | 15 | 0 | 4 | 0 | 3 +INPUTMC | 6 | 0 | 10 | 0 | 14 | 0 | 16 | 0 | 15 | 1 | 14 | 0 | 4 EQ | 4 | !RefReq.D = !cnt/Timer<0> & cnt/Timer<1> & !cnt/Timer<2> & cnt/Timer<3>; @@ -558,9 +558,9 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 6 | 15 | cnt/LTimer<11> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 4 | 3 | 0 | 6 | 15 | 3 | 11 | 6 | 17 +OUTPUTMC | 4 | 3 | 0 | 6 | 15 | 3 | 12 | 6 | 17 INPUTS | 17 | cnt/LTimer<11> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<10> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/LTimer<9> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 17 | 6 | 15 | 7 | 15 | 0 | 10 | 3 | 17 | 3 | 15 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 0 | 8 | 0 | 4 | 0 | 3 +INPUTMC | 17 | 6 | 15 | 7 | 15 | 0 | 11 | 3 | 17 | 3 | 15 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 0 | 9 | 1 | 14 | 0 | 4 EQ | 11 | cnt/LTimer<11>.T = cnt/LTimer<11> & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 @@ -575,11 +575,11 @@ EQ | 11 | cnt/LTimer<11>.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 8 | cnt/TimerTC +MACROCELL | 0 | 9 | cnt/TimerTC ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 18 | 0 | 9 | 0 | 14 | 0 | 16 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 0 | 15 | 6 | 15 | 7 | 15 | 0 | 10 | 6 | 17 | 6 | 1 +OUTPUTMC | 18 | 0 | 10 | 0 | 14 | 0 | 16 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 0 | 15 | 6 | 15 | 7 | 15 | 0 | 11 | 6 | 17 | 6 | 1 INPUTS | 6 | cnt/Timer<0> | cnt/Timer<1> | cnt/Timer<2> | cnt/Timer<3> | cnt/Er<0> | cnt/Er<1> -INPUTMC | 6 | 0 | 9 | 0 | 14 | 0 | 16 | 0 | 15 | 0 | 4 | 0 | 3 +INPUTMC | 6 | 0 | 10 | 0 | 14 | 0 | 16 | 0 | 15 | 1 | 14 | 0 | 4 EQ | 4 | cnt/TimerTC.D = cnt/Timer<0> & !cnt/Timer<1> & !cnt/Timer<2> & cnt/Timer<3>; @@ -587,38 +587,29 @@ EQ | 4 | cnt/TimerTC.CE = !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 7 | cs/nOverlay +MACROCELL | 2 | 5 | cs/nOverlay ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 18 | 2 | 14 | 2 | 7 | 4 | 15 | 2 | 6 | 7 | 1 | 4 | 0 | 2 | 3 | 4 | 17 | 7 | 11 | 2 | 12 | 7 | 7 | 4 | 16 | 4 | 1 | 4 | 2 | 7 | 4 | 7 | 5 | 7 | 9 | 2 | 8 -INPUTS | 19 | nRES.PIN | cs/nOverlay | nAS_FSB | fsb/ASrf | A_FSB<22> | IONPReady | RAMReady | A_FSB<23> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<13> | nWE_FSB | nADoutLE1 | A_FSB<14> | ram/RASEL.EXP -INPUTMC | 6 | 2 | 7 | 3 | 9 | 4 | 15 | 4 | 12 | 5 | 13 | 2 | 6 -INPUTP | 13 | 145 | 54 | 30 | 36 | 29 | 28 | 26 | 24 | 23 | 22 | 18 | 47 | 19 -EXPORTS | 1 | 2 | 8 -IMPORTS | 1 | 2 | 6 -EQ | 16 | +OUTPUTMC | 18 | 2 | 13 | 2 | 5 | 4 | 2 | 4 | 9 | 7 | 1 | 4 | 0 | 2 | 9 | 4 | 17 | 7 | 11 | 2 | 11 | 7 | 7 | 4 | 11 | 2 | 15 | 4 | 1 | 7 | 4 | 7 | 5 | 7 | 9 | 2 | 6 +INPUTS | 11 | nRES.PIN | cs/nOverlay | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | cnt/WS<0> | cnt/WS<1> | cnt/WS<2> +INPUTMC | 5 | 2 | 5 | 3 | 9 | 3 | 11 | 2 | 9 | 2 | 7 +INPUTP | 6 | 145 | 54 | 36 | 30 | 29 | 28 +EXPORTS | 1 | 2 | 6 +EQ | 8 | cs/nOverlay.T = !nRES.PIN & cs/nOverlay & nAS_FSB & !fsb/ASrf -;Imported pterms FB3_7 # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & !cs/nOverlay & !nAS_FSB # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & !cs/nOverlay & fsb/ASrf; cs/nOverlay.CLK = FCLK; // GCK - cs/nOverlay.EXP = !A_FSB<22> & !IONPReady & !RAMReady - # A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> - # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<13> & !nWE_FSB & !IONPReady & - !nADoutLE1 - # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<14> & !nWE_FSB & !IONPReady & - !nADoutLE1 + cs/nOverlay.EXP = !nAS_FSB & cnt/WS<0> & cnt/WS<1> & cnt/WS<2> + # cnt/WS<0> & cnt/WS<1> & cnt/WS<2> & fsb/ASrf GLOBALS | 1 | 2 | FCLK MACROCELL | 7 | 16 | iobs/IORW1 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 5 | 7 | 16 | 2 | 13 | 7 | 8 | 7 | 15 | 7 | 17 +OUTPUTMC | 5 | 7 | 16 | 2 | 12 | 7 | 8 | 7 | 15 | 7 | 17 INPUTS | 18 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<14> | iobs/Sent | nWE_FSB | iobs/IORW1 | nAS_FSB | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<13> | iobs/TS_FSM_FFd1 | cnt/IS_FSM_FFd1.EXP -INPUTMC | 6 | 2 | 15 | 7 | 16 | 7 | 2 | 5 | 13 | 2 | 5 | 7 | 15 +INPUTMC | 6 | 2 | 14 | 7 | 16 | 7 | 2 | 5 | 13 | 2 | 4 | 7 | 15 INPUTP | 12 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 19 | 47 | 54 | 18 EXPORTS | 1 | 7 | 17 IMPORTS | 1 | 7 | 15 @@ -663,49 +654,47 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 0 | 5 | ram/RefDone ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 13 | 0 | 5 | 4 | 0 | 4 | 9 | 0 | 17 | 4 | 12 | 4 | 17 | 4 | 3 | 0 | 0 | 4 | 1 | 4 | 8 | 4 | 11 | 4 | 15 | 4 | 16 -INPUTS | 7 | ram/RefDone | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd7 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | RefUrg | RefReq -INPUTMC | 7 | 0 | 5 | 0 | 2 | 0 | 17 | 0 | 1 | 0 | 0 | 0 | 11 | 0 | 12 +OUTPUTMC | 14 | 0 | 5 | 4 | 0 | 4 | 3 | 0 | 17 | 4 | 16 | 4 | 12 | 4 | 4 | 0 | 0 | 4 | 1 | 4 | 7 | 4 | 11 | 4 | 13 | 4 | 15 | 4 | 17 +INPUTS | 6 | ram/RefDone | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd6 | ram/RS_FSM_FFd2 | RefUrg | RefReq +INPUTMC | 6 | 0 | 5 | 0 | 2 | 0 | 17 | 0 | 1 | 0 | 12 | 0 | 13 EQ | 4 | !ram/RefDone.D = !RefUrg & !RefReq - # !ram/RefDone & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd7 & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3; + # !ram/RefDone & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd6 & + !ram/RS_FSM_FFd2; ram/RefDone.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 4 | 15 | IONPReady +MACROCELL | 2 | 1 | IONPReady ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 4 | 14 | 3 | 10 | 2 | 8 | 2 | 7 | 4 | 16 -INPUTS | 11 | A_FSB<23> | RefReq | ram/RefDone | nAS_FSB | ram/RS_FSM_FFd8 | ram/BACTr | fsb/ASrf | A_FSB<22> | cs/nOverlay | ram/RASEN | RA_6_OBUF.EXP -INPUTMC | 8 | 0 | 12 | 0 | 5 | 4 | 0 | 3 | 6 | 3 | 9 | 2 | 7 | 4 | 9 | 4 | 14 -INPUTP | 3 | 36 | 54 | 30 -EXPORTS | 1 | 4 | 16 -IMPORTS | 1 | 4 | 14 -EQ | 21 | - !IONPReady.D = ;Imported pterms FB5_15 - !iobs/Sent & !IONPReady +OUTPUTMC | 6 | 2 | 1 | 3 | 10 | 2 | 7 | 2 | 2 | 2 | 6 | 2 | 0 +INPUTS | 14 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<14> | nWE_FSB | IONPReady | $OpTx$$OpTx$FX_DC$346_INV$533 | A_FSB<13> | iobs/Clear1.EXP +INPUTMC | 3 | 2 | 1 | 3 | 13 | 2 | 2 +INPUTP | 11 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 19 | 47 | 18 +EXPORTS | 1 | 2 | 0 +IMPORTS | 1 | 2 | 2 +EQ | 19 | + !IONPReady.D = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + !nWE_FSB & !IONPReady +;Imported pterms FB3_3 + # !iobs/Sent & !IONPReady # !IONPReady & !iobs/IODONEr # nAS_FSB & !fsb/ASrf # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & - !nWE_FSB & !IONPReady - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & !nWE_FSB & !IONPReady; IONPReady.CLK = FCLK; // GCK - IONPReady.EXP = A_FSB<23> & RefReq & !ram/RefDone & !nAS_FSB & - ram/RS_FSM_FFd8 & !ram/BACTr - # A_FSB<23> & RefReq & !ram/RefDone & - ram/RS_FSM_FFd8 & !ram/BACTr & fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & - ram/RS_FSM_FFd8 & ram/RASEN & fsb/ASrf - # A_FSB<22> & RefReq & !ram/RefDone & !nAS_FSB & - ram/RS_FSM_FFd8 & !ram/BACTr - # A_FSB<22> & RefReq & !ram/RefDone & - ram/RS_FSM_FFd8 & !ram/BACTr & fsb/ASrf + IONPReady.EXP = A_FSB<22> & !A_FSB<17> & + !$OpTx$$OpTx$FX_DC$346_INV$533 + # A_FSB<22> & !A_FSB<16> & + !$OpTx$$OpTx$FX_DC$346_INV$533 + # A_FSB<22> & !A_FSB<13> & + !$OpTx$$OpTx$FX_DC$346_INV$533 + # A_FSB<22> & !A_FSB<14> & + !$OpTx$$OpTx$FX_DC$346_INV$533 GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 7 | iobs/IOL1 +MACROCELL | 0 | 8 | iobs/IOL1 ATTRIBUTES | 8553280 | 0 OUTPUTMC | 2 | 7 | 0 | 7 | 17 INPUTS | 2 | nLDS_FSB | iobs/Load1 @@ -717,7 +706,7 @@ EQ | 3 | iobs/IOL1.CE = iobs/Load1; GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 6 | iobs/IOU1 +MACROCELL | 0 | 7 | iobs/IOU1 ATTRIBUTES | 8553280 | 0 OUTPUTMC | 2 | 7 | 13 | 7 | 12 INPUTS | 2 | nUDS_FSB | iobs/Load1 @@ -732,8 +721,8 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 7 | 11 | nBERR_FSB_OBUF ATTRIBUTES | 8815362 | 0 OUTPUTMC | 3 | 7 | 11 | 7 | 10 | 7 | 12 -INPUTS | 15 | nAS_FSB | fsb/ASrf | iobs/Sent | nBERR_FSB | A_FSB<23> | A_FSB<22> | A_FSB<19> | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<13> | A_FSB<14> | A_FSB<21> | A_FSB<20> | cs/nOverlay | EXP23_.EXP -INPUTMC | 7 | 3 | 9 | 2 | 15 | 7 | 11 | 7 | 2 | 5 | 13 | 2 | 7 | 7 | 10 +INPUTS | 15 | nAS_FSB | fsb/ASrf | iobs/Sent | nBERR_FSB | A_FSB<23> | A_FSB<22> | A_FSB<19> | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<13> | A_FSB<14> | A_FSB<21> | A_FSB<20> | cs/nOverlay | EXP25_.EXP +INPUTMC | 7 | 3 | 9 | 2 | 14 | 7 | 11 | 7 | 2 | 5 | 13 | 2 | 5 | 7 | 10 INPUTP | 8 | 54 | 36 | 30 | 26 | 18 | 19 | 29 | 28 EXPORTS | 1 | 7 | 12 IMPORTS | 1 | 7 | 10 @@ -754,7 +743,7 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 3 | 10 | nVPA_FSB_OBUF ATTRIBUTES | 8684418 | 0 INPUTS | 11 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | IONPReady | nAS_FSB | fsb/ASrf -INPUTMC | 2 | 4 | 15 | 3 | 9 +INPUTMC | 2 | 2 | 1 | 3 | 9 INPUTP | 9 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 54 EQ | 8 | !nVPA_FSB.D = A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & @@ -771,14 +760,14 @@ MACROCELL | 7 | 4 | nRAS_OBUF ATTRIBUTES | 264962 | 0 OUTPUTMC | 1 | 7 | 3 INPUTS | 13 | A_FSB<23> | A_FSB<22> | A_FSB<19> | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<18> | A_FSB<17> | A_FSB<13> | A_FSB<14> | A_FSB<21> | A_FSB<20> | cs/nOverlay | nRAMLWE_OBUF.EXP -INPUTMC | 4 | 7 | 2 | 5 | 13 | 2 | 7 | 7 | 5 +INPUTMC | 4 | 7 | 2 | 5 | 13 | 2 | 5 | 7 | 5 INPUTP | 9 | 36 | 30 | 26 | 24 | 23 | 18 | 19 | 29 | 28 EXPORTS | 1 | 7 | 3 IMPORTS | 1 | 7 | 5 EQ | 15 | !nRAS = ;Imported pterms FB8_6 - ram/RASrf - # ram/RASrr + ram/RASrr + # ram/RASrf # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & ram/RASEN; nRAS_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<19> & @@ -796,7 +785,7 @@ MACROCELL | 7 | 14 | nBR_IOB_OBUF ATTRIBUTES | 4621058 | 0 OUTPUTMC | 3 | 7 | 14 | 3 | 2 | 7 | 13 INPUTS | 11 | nBR_IOB | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/nIPL2r | A_FSB<23> | A_FSB<22> | A_FSB<18> | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<17> | A_FSB<16> -INPUTMC | 6 | 7 | 14 | 7 | 15 | 0 | 10 | 1 | 15 | 7 | 2 | 5 | 13 +INPUTMC | 6 | 7 | 14 | 7 | 15 | 0 | 11 | 1 | 13 | 7 | 2 | 5 | 13 INPUTP | 5 | 36 | 30 | 24 | 23 | 22 EXPORTS | 1 | 7 | 13 EQ | 10 | @@ -814,31 +803,29 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 4 | 10 | RA_11_OBUF ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 4 | 11 -INPUTS | 5 | A_FSB<19> | ram/RASEL | A_FSB<20> | A_FSB<12> | A_FSB<4> -INPUTMC | 1 | 2 | 6 -INPUTP | 4 | 26 | 28 | 17 | 157 -EXPORTS | 1 | 4 | 11 -EQ | 4 | +INPUTS | 3 | A_FSB<19> | ram/RASEL | A_FSB<20> +INPUTMC | 1 | 4 | 9 +INPUTP | 2 | 26 | 28 +EQ | 2 | RA<3> = A_FSB<20> & ram/RASEL # A_FSB<19> & !ram/RASEL; - RA_11_OBUF.EXP = A_FSB<12> & !ram/RASEL - # ram/RASEL & A_FSB<4> MACROCELL | 2 | 8 | nDTACK_FSB_OBUF ATTRIBUTES | 8815362 | 0 -OUTPUTMC | 1 | 1 | 4 -INPUTS | 9 | A_FSB<23> | IONPReady | QoSReady | nAS_FSB | fsb/ASrf | A_FSB<22> | A_FSB<20> | A_FSB<21> | cs/nOverlay.EXP -INPUTMC | 4 | 4 | 15 | 2 | 1 | 3 | 9 | 2 | 7 -INPUTP | 5 | 36 | 54 | 30 | 28 | 29 +OUTPUTMC | 2 | 1 | 2 | 2 | 7 +INPUTS | 6 | nAS_FSB | cnt/WS<2> | fsb/ASrf | cnt/WS<0> | cnt/WS<1> | cnt/WS<2>.EXP +INPUTMC | 5 | 2 | 7 | 3 | 9 | 3 | 11 | 2 | 9 | 2 | 7 +INPUTP | 1 | 54 +EXPORTS | 1 | 2 | 7 IMPORTS | 1 | 2 | 7 -EQ | 16 | - nDTACK_FSB.D = A_FSB<23> & !IONPReady +EQ | 20 | + nDTACK_FSB.D = ;Imported pterms FB3_8 + A_FSB<23> & !IONPReady # !IONPReady & !QoSReady # nAS_FSB & !fsb/ASrf # A_FSB<22> & A_FSB<21> & !IONPReady # A_FSB<22> & A_FSB<20> & !IONPReady -;Imported pterms FB3_8 +;Imported pterms FB3_7 # !A_FSB<22> & !IONPReady & !RAMReady # A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> @@ -849,33 +836,34 @@ EQ | 16 | A_FSB<17> & A_FSB<16> & A_FSB<14> & !nWE_FSB & !IONPReady & !nADoutLE1; nDTACK_FSB.CLK = FCLK; // GCK + nDTACK_FSB_OBUF.EXP = nAS_FSB & cnt/WS<2> & !fsb/ASrf + # !nAS_FSB & cnt/WS<0> & cnt/WS<1> + # cnt/WS<0> & cnt/WS<1> & fsb/ASrf GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 6 | ram/RASEL +MACROCELL | 4 | 9 | ram/RASEL ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 13 | 4 | 10 | 6 | 5 | 6 | 8 | 6 | 1 | 4 | 13 | 4 | 7 | 7 | 6 | 7 | 5 | 6 | 4 | 6 | 7 | 6 | 10 | 7 | 2 | 2 | 7 -INPUTS | 10 | ram/RS_FSM_FFd6 | A_FSB<23> | A_FSB<22> | cs/nOverlay | nAS_FSB | ram/RS_FSM_FFd8 | ram/RASEN | fsb/ASrf | A_FSB<21> | A_FSB<20> -INPUTMC | 5 | 2 | 3 | 2 | 7 | 4 | 0 | 4 | 9 | 3 | 9 -INPUTP | 5 | 36 | 30 | 54 | 29 | 28 -EXPORTS | 1 | 2 | 7 -EQ | 10 | - ram/RASEL.D = ram/RS_FSM_FFd6 +OUTPUTMC | 15 | 4 | 10 | 4 | 9 | 6 | 5 | 6 | 8 | 6 | 1 | 4 | 13 | 4 | 8 | 4 | 11 | 4 | 14 | 6 | 4 | 6 | 7 | 6 | 10 | 7 | 6 | 7 | 5 | 7 | 2 +INPUTS | 14 | ram/RASEL | ram/RS_FSM_FFd7 | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd4 | ram/RS_FSM_FFd6 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd5 | A_FSB<23> | A_FSB<22> | cs/nOverlay | nAS_FSB | ram/RASEN | fsb/ASrf +INPUTMC | 11 | 4 | 9 | 4 | 0 | 0 | 0 | 0 | 2 | 0 | 6 | 0 | 17 | 0 | 1 | 2 | 10 | 2 | 5 | 4 | 6 | 3 | 9 +INPUTP | 3 | 36 | 30 | 54 +EQ | 9 | + ram/RASEL.D = ram/RS_FSM_FFd5 # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & - ram/RS_FSM_FFd8 & ram/RASEN + ram/RS_FSM_FFd7 & ram/RASEN # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & - ram/RS_FSM_FFd8 & ram/RASEN & fsb/ASrf; + ram/RS_FSM_FFd7 & ram/RASEN & fsb/ASrf + # ram/RASEL & !ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd3 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd6 & + !ram/RS_FSM_FFd2; ram/RASEL.CLK = FCLK; // GCK - ram/RASEL.EXP = !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & - !cs/nOverlay & !nAS_FSB - # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & - !cs/nOverlay & fsb/ASrf GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 5 | iobs/TS_FSM_FFd1 +MACROCELL | 2 | 4 | iobs/TS_FSM_FFd1 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 15 | 2 | 15 | 7 | 16 | 2 | 5 | 7 | 2 | 2 | 11 | 7 | 0 | 7 | 13 | 2 | 14 | 7 | 8 | 2 | 12 | 2 | 10 | 2 | 13 | 7 | 7 | 7 | 9 | 7 | 15 +OUTPUTMC | 15 | 2 | 14 | 7 | 16 | 2 | 4 | 7 | 2 | 2 | 11 | 7 | 0 | 7 | 13 | 2 | 13 | 7 | 8 | 2 | 2 | 2 | 10 | 2 | 15 | 7 | 7 | 7 | 9 | 7 | 15 INPUTS | 3 | iobs/TS_FSM_FFd2 | iobs/TS_FSM_FFd1 | iobs/IOACTr -INPUTMC | 3 | 7 | 2 | 2 | 5 | 1 | 6 +INPUTMC | 3 | 7 | 2 | 2 | 4 | 1 | 4 EQ | 3 | iobs/TS_FSM_FFd1.D = iobs/TS_FSM_FFd2 # iobs/TS_FSM_FFd1 & iobs/IOACTr; @@ -884,9 +872,9 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 7 | 2 | iobs/TS_FSM_FFd2 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 23 | 2 | 15 | 7 | 16 | 2 | 5 | 7 | 2 | 2 | 11 | 7 | 0 | 7 | 13 | 2 | 14 | 7 | 8 | 3 | 12 | 2 | 12 | 2 | 10 | 2 | 13 | 7 | 1 | 7 | 3 | 7 | 4 | 7 | 7 | 7 | 9 | 7 | 11 | 7 | 12 | 7 | 14 | 7 | 15 | 7 | 17 -INPUTS | 9 | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | iobs/IOACTr | iobs/Sent | nADoutLE1 | A_FSB<20> | ram/RASEL | A_FSB<19> | EXP20_.EXP -INPUTMC | 7 | 2 | 5 | 7 | 2 | 1 | 6 | 2 | 15 | 5 | 13 | 2 | 6 | 7 | 3 +OUTPUTMC | 24 | 2 | 14 | 7 | 16 | 2 | 4 | 7 | 2 | 2 | 11 | 7 | 0 | 7 | 13 | 2 | 13 | 7 | 8 | 2 | 3 | 2 | 2 | 2 | 10 | 2 | 12 | 2 | 15 | 7 | 1 | 7 | 3 | 7 | 4 | 7 | 7 | 7 | 9 | 7 | 11 | 7 | 12 | 7 | 14 | 7 | 15 | 7 | 17 +INPUTS | 9 | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | iobs/IOACTr | iobs/Sent | nADoutLE1 | A_FSB<20> | ram/RASEL | A_FSB<19> | EXP22_.EXP +INPUTMC | 7 | 2 | 4 | 7 | 2 | 1 | 4 | 2 | 14 | 5 | 13 | 4 | 9 | 7 | 3 INPUTP | 2 | 28 | 26 EXPORTS | 1 | 7 | 1 IMPORTS | 1 | 7 | 3 @@ -925,7 +913,7 @@ MACROCELL | 5 | 2 | iobm/IOS_FSM_FFd7 ATTRIBUTES | 8553220 | 0 OUTPUTMC | 9 | 5 | 11 | 5 | 12 | 5 | 9 | 5 | 2 | 5 | 0 | 5 | 17 | 5 | 15 | 5 | 7 | 5 | 16 INPUTS | 6 | iobm/IOS_FSM_FFd7 | iobm/IOS_FSM_FFd1 | iobm/C8Mr | iobm/IORDREQr | nAoutOE | iobm/IOWRREQr -INPUTMC | 6 | 5 | 2 | 1 | 11 | 1 | 14 | 1 | 12 | 3 | 1 | 1 | 8 +INPUTMC | 6 | 5 | 2 | 1 | 9 | 1 | 12 | 1 | 10 | 3 | 1 | 1 | 6 EQ | 6 | !iobm/IOS_FSM_FFd7.D = !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd1 # !iobm/C8Mr & !iobm/IOS_FSM_FFd1 & iobm/IORDREQr & @@ -937,9 +925,9 @@ GLOBALS | 1 | 2 | C16M MACROCELL | 5 | 3 | iobm/IOS_FSM_FFd3 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 10 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 3 | 5 | 17 | 1 | 16 | 5 | 15 | 5 | 7 | 5 | 12 | 5 | 16 +OUTPUTMC | 10 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 3 | 5 | 17 | 1 | 15 | 5 | 15 | 5 | 7 | 5 | 12 | 5 | 16 INPUTS | 5 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | iobm/C8Mr | IOBERR | IODONE -INPUTMC | 5 | 1 | 10 | 5 | 3 | 1 | 14 | 1 | 17 | 5 | 9 +INPUTMC | 5 | 1 | 8 | 5 | 3 | 1 | 12 | 1 | 16 | 5 | 9 EQ | 4 | iobm/IOS_FSM_FFd3.D = iobm/IOS_FSM_FFd4 # iobm/IOS_FSM_FFd3 & iobm/C8Mr @@ -947,9 +935,9 @@ EQ | 4 | iobm/IOS_FSM_FFd3.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 1 | 14 | iobm/C8Mr +MACROCELL | 1 | 12 | iobm/C8Mr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 9 | 5 | 11 | 5 | 12 | 5 | 9 | 5 | 2 | 5 | 3 | 5 | 0 | 5 | 17 | 1 | 16 | 5 | 7 +OUTPUTMC | 9 | 5 | 11 | 5 | 12 | 5 | 9 | 5 | 2 | 5 | 3 | 5 | 0 | 5 | 17 | 1 | 15 | 5 | 7 INPUTS | 1 | C8M INPUTP | 1 | 35 EQ | 2 | @@ -959,9 +947,9 @@ GLOBALS | 1 | 2 | C16M MACROCELL | 5 | 4 | iobm/ES<0> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 6 | 5 | 1 | 5 | 9 | 5 | 4 | 0 | 13 | 5 | 6 | 5 | 5 +OUTPUTMC | 6 | 5 | 1 | 5 | 9 | 5 | 4 | 1 | 17 | 5 | 6 | 5 | 5 INPUTS | 6 | iobm/ES<0> | E | iobm/Er | iobm/ES<2> | iobm/ES<1> | iobm/ES<3> -INPUTMC | 5 | 5 | 4 | 1 | 13 | 0 | 13 | 5 | 6 | 5 | 5 +INPUTMC | 5 | 5 | 4 | 1 | 11 | 1 | 17 | 5 | 6 | 5 | 5 INPUTP | 1 | 37 EQ | 6 | !iobm/ES<0>.T = iobm/ES<0> & !E & iobm/Er @@ -972,61 +960,25 @@ EQ | 6 | !iobm/ES<0>.CLK = C8M; // GCK GLOBALS | 1 | 2 | C8M -MACROCELL | 1 | 10 | iobm/IOS_FSM_FFd4 +MACROCELL | 1 | 8 | iobm/IOS_FSM_FFd4 ATTRIBUTES | 8553216 | 0 OUTPUTMC | 9 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 3 | 5 | 17 | 5 | 15 | 5 | 7 | 5 | 12 | 5 | 16 INPUTS | 1 | iobm/IOS_FSM_FFd5 -INPUTMC | 1 | 1 | 9 +INPUTMC | 1 | 1 | 7 EQ | 2 | iobm/IOS_FSM_FFd4.D = iobm/IOS_FSM_FFd5; iobm/IOS_FSM_FFd4.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 0 | 13 | iobm/ES<2> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 6 | 5 | 1 | 5 | 9 | 5 | 4 | 0 | 13 | 5 | 6 | 5 | 5 -INPUTS | 5 | iobm/ES<0> | iobm/ES<1> | iobm/Er | E | iobm/ES<2> -INPUTMC | 4 | 5 | 4 | 5 | 6 | 1 | 13 | 0 | 13 -INPUTP | 1 | 37 -EQ | 4 | - iobm/ES<2>.T = iobm/ES<0> & iobm/ES<1> & E - # iobm/ES<0> & iobm/ES<1> & !iobm/Er - # iobm/ES<2> & !E & iobm/Er; - !iobm/ES<2>.CLK = C8M; // GCK -GLOBALS | 1 | 2 | C8M - -MACROCELL | 1 | 9 | iobm/IOS_FSM_FFd5 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 8 | 5 | 11 | 5 | 8 | 5 | 10 | 1 | 10 | 5 | 17 | 5 | 15 | 5 | 7 | 5 | 12 -INPUTS | 1 | iobm/IOS_FSM_FFd6 -INPUTMC | 1 | 5 | 0 -EQ | 2 | - iobm/IOS_FSM_FFd5.D = iobm/IOS_FSM_FFd6; - iobm/IOS_FSM_FFd5.CLK = C16M; // GCK -GLOBALS | 1 | 2 | C16M - -MACROCELL | 5 | 0 | iobm/IOS_FSM_FFd6 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 8 | 5 | 11 | 5 | 8 | 5 | 10 | 1 | 9 | 5 | 17 | 5 | 15 | 5 | 7 | 5 | 12 -INPUTS | 5 | iobm/IOS_FSM_FFd7 | iobm/C8Mr | iobm/IORDREQr | nAoutOE | iobm/IOWRREQr -INPUTMC | 5 | 5 | 2 | 1 | 14 | 1 | 12 | 3 | 1 | 1 | 8 -EQ | 5 | - iobm/IOS_FSM_FFd6.D = iobm/IOS_FSM_FFd7 & !iobm/C8Mr & iobm/IORDREQr & - !nAoutOE - # iobm/IOS_FSM_FFd7 & !iobm/C8Mr & iobm/IOWRREQr & - !nAoutOE; - iobm/IOS_FSM_FFd6.CLK = C16M; // GCK -GLOBALS | 1 | 2 | C16M - -MACROCELL | 4 | 0 | ram/RS_FSM_FFd8 +MACROCELL | 4 | 0 | ram/RS_FSM_FFd7 ATTRIBUTES | 8553220 | 0 -OUTPUTMC | 12 | 2 | 6 | 4 | 0 | 4 | 9 | 2 | 3 | 0 | 17 | 4 | 12 | 4 | 16 | 4 | 3 | 0 | 0 | 4 | 5 | 4 | 15 | 4 | 17 -INPUTS | 11 | RefUrg | cs/nOverlay | ram/RefDone | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd8 | ram/RS_FSM_FFd4 | A_FSB<22> | A_FSB<23> | ram/RASEN | nROMCS_OBUF.EXP | ram/RASrr.EXP -INPUTMC | 9 | 0 | 11 | 2 | 7 | 0 | 5 | 0 | 2 | 4 | 0 | 2 | 17 | 4 | 9 | 4 | 1 | 4 | 17 +OUTPUTMC | 16 | 4 | 9 | 4 | 0 | 4 | 6 | 2 | 9 | 0 | 17 | 4 | 17 | 4 | 12 | 4 | 3 | 0 | 0 | 4 | 5 | 4 | 7 | 4 | 8 | 4 | 11 | 4 | 13 | 4 | 15 | 4 | 16 +INPUTS | 11 | RefUrg | cs/nOverlay | ram/RefDone | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd7 | ram/RS_FSM_FFd3 | A_FSB<22> | A_FSB<23> | ram/RASEN | nROMCS_OBUF.EXP | RAMReady.EXP +INPUTMC | 9 | 0 | 12 | 2 | 5 | 0 | 5 | 0 | 2 | 4 | 0 | 0 | 0 | 4 | 6 | 4 | 1 | 4 | 17 INPUTP | 2 | 30 | 36 IMPORTS | 2 | 4 | 1 | 4 | 17 EQ | 29 | - !ram/RS_FSM_FFd8.D = !ram/RS_FSM_FFd8 & !ram/RS_FSM_FFd4 & + !ram/RS_FSM_FFd7.D = !ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd3 & !ram/RS_FSM_FFd1 # A_FSB<23> & RefUrg & !ram/RefDone & !ram/RS_FSM_FFd1 @@ -1038,30 +990,66 @@ EQ | 29 | !ram/RS_FSM_FFd1 ;Imported pterms FB5_2 # A_FSB<23> & RefReq & !ram/RefDone & !nAS_FSB & - !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/BACTr + !ram/RS_FSM_FFd3 & !ram/RS_FSM_FFd1 & !ram/BACTr # A_FSB<23> & RefReq & !ram/RefDone & - !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + !ram/RS_FSM_FFd3 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & - !ram/RS_FSM_FFd4 & ram/RASEN & !ram/RS_FSM_FFd1 & fsb/ASrf + !ram/RS_FSM_FFd3 & ram/RASEN & !ram/RS_FSM_FFd1 & fsb/ASrf # A_FSB<22> & RefReq & !ram/RefDone & !nAS_FSB & - !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/BACTr + !ram/RS_FSM_FFd3 & !ram/RS_FSM_FFd1 & !ram/BACTr # A_FSB<22> & RefReq & !ram/RefDone & - !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + !ram/RS_FSM_FFd3 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf ;Imported pterms FB5_18 - # RefUrg & !ram/RefDone & !ram/RS_FSM_FFd8 & + # RefUrg & !ram/RefDone & !ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd1 # RefUrg & !ram/RefDone & nAS_FSB & !ram/RS_FSM_FFd1 & !fsb/ASrf # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & - !ram/RS_FSM_FFd4 & ram/RASEN & !ram/RS_FSM_FFd1; - ram/RS_FSM_FFd8.CLK = FCLK; // GCK + !ram/RS_FSM_FFd3 & ram/RASEN & !ram/RS_FSM_FFd1; + ram/RS_FSM_FFd7.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK +MACROCELL | 1 | 17 | iobm/ES<2> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 6 | 5 | 1 | 5 | 9 | 5 | 4 | 1 | 17 | 5 | 6 | 5 | 5 +INPUTS | 5 | iobm/ES<0> | iobm/ES<1> | iobm/Er | E | iobm/ES<2> +INPUTMC | 4 | 5 | 4 | 5 | 6 | 1 | 11 | 1 | 17 +INPUTP | 1 | 37 +EQ | 4 | + iobm/ES<2>.T = iobm/ES<0> & iobm/ES<1> & E + # iobm/ES<0> & iobm/ES<1> & !iobm/Er + # iobm/ES<2> & !E & iobm/Er; + !iobm/ES<2>.CLK = C8M; // GCK +GLOBALS | 1 | 2 | C8M + +MACROCELL | 1 | 7 | iobm/IOS_FSM_FFd5 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 8 | 5 | 11 | 5 | 8 | 5 | 10 | 1 | 8 | 5 | 17 | 5 | 15 | 5 | 7 | 5 | 12 +INPUTS | 1 | iobm/IOS_FSM_FFd6 +INPUTMC | 1 | 5 | 0 +EQ | 2 | + iobm/IOS_FSM_FFd5.D = iobm/IOS_FSM_FFd6; + iobm/IOS_FSM_FFd5.CLK = C16M; // GCK +GLOBALS | 1 | 2 | C16M + +MACROCELL | 5 | 0 | iobm/IOS_FSM_FFd6 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 8 | 5 | 11 | 5 | 8 | 5 | 10 | 1 | 7 | 5 | 17 | 5 | 15 | 5 | 7 | 5 | 12 +INPUTS | 5 | iobm/IOS_FSM_FFd7 | iobm/C8Mr | iobm/IORDREQr | nAoutOE | iobm/IOWRREQr +INPUTMC | 5 | 5 | 2 | 1 | 12 | 1 | 10 | 3 | 1 | 1 | 6 +EQ | 5 | + iobm/IOS_FSM_FFd6.D = iobm/IOS_FSM_FFd7 & !iobm/C8Mr & iobm/IORDREQr & + !nAoutOE + # iobm/IOS_FSM_FFd7 & !iobm/C8Mr & iobm/IOWRREQr & + !nAoutOE; + iobm/IOS_FSM_FFd6.CLK = C16M; // GCK +GLOBALS | 1 | 2 | C16M + MACROCELL | 7 | 15 | cnt/IS_FSM_FFd1 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 23 | 3 | 17 | 3 | 14 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 7 | 14 | 7 | 15 | 0 | 10 | 3 | 8 | 3 | 0 | 6 | 17 | 3 | 1 | 3 | 2 | 3 | 13 | 3 | 15 | 7 | 16 +OUTPUTMC | 23 | 3 | 17 | 3 | 14 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 7 | 14 | 7 | 15 | 0 | 11 | 3 | 8 | 3 | 0 | 6 | 17 | 3 | 1 | 3 | 2 | 3 | 13 | 3 | 15 | 7 | 16 INPUTS | 24 | cnt/TimerTC | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/Er<0> | cnt/nIPL2r | cnt/Er<1> | cnt/LTimerTC | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<13> | iobs/Sent | nWE_FSB | iobs/IORW1 | iobs/TS_FSM_FFd1 | fsb/ASrf | nADoutLE1 | iobs/TS_FSM_FFd2 | A_FSB<14> -INPUTMC | 13 | 0 | 8 | 7 | 15 | 0 | 10 | 0 | 4 | 1 | 15 | 0 | 3 | 3 | 11 | 2 | 15 | 7 | 16 | 2 | 5 | 3 | 9 | 5 | 13 | 7 | 2 +INPUTMC | 13 | 0 | 9 | 7 | 15 | 0 | 11 | 1 | 14 | 1 | 13 | 0 | 4 | 3 | 12 | 2 | 14 | 7 | 16 | 2 | 4 | 3 | 9 | 5 | 13 | 7 | 2 INPUTP | 11 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 18 | 47 | 19 EXPORTS | 1 | 7 | 16 EQ | 19 | @@ -1086,11 +1074,11 @@ EQ | 19 | fsb/ASrf & nADoutLE1 GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 10 | cnt/IS_FSM_FFd2 +MACROCELL | 0 | 11 | cnt/IS_FSM_FFd2 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 23 | 3 | 17 | 3 | 14 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 7 | 14 | 7 | 15 | 0 | 10 | 3 | 8 | 3 | 0 | 6 | 17 | 3 | 1 | 3 | 2 | 3 | 13 | 3 | 15 | 6 | 1 +OUTPUTMC | 23 | 3 | 17 | 3 | 14 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 7 | 14 | 7 | 15 | 0 | 11 | 3 | 8 | 3 | 0 | 6 | 17 | 3 | 1 | 3 | 2 | 3 | 13 | 3 | 15 | 6 | 1 INPUTS | 6 | cnt/TimerTC | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/Er<0> | cnt/Er<1> | cnt/LTimerTC -INPUTMC | 6 | 0 | 8 | 7 | 15 | 0 | 10 | 0 | 4 | 0 | 3 | 3 | 11 +INPUTMC | 6 | 0 | 9 | 7 | 15 | 0 | 11 | 1 | 14 | 0 | 4 | 3 | 12 EQ | 5 | cnt/IS_FSM_FFd2.T = cnt/TimerTC & cnt/IS_FSM_FFd1 & cnt/IS_FSM_FFd2 & !cnt/Er<0> & cnt/Er<1> & cnt/LTimerTC @@ -1101,9 +1089,9 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 5 | 6 | iobm/ES<1> ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 6 | 5 | 1 | 5 | 9 | 5 | 4 | 0 | 13 | 5 | 6 | 5 | 5 +OUTPUTMC | 6 | 5 | 1 | 5 | 9 | 5 | 4 | 1 | 17 | 5 | 6 | 5 | 5 INPUTS | 6 | iobm/ES<0> | iobm/ES<1> | E | iobm/Er | iobm/ES<2> | iobm/ES<3> -INPUTMC | 5 | 5 | 4 | 5 | 6 | 1 | 13 | 0 | 13 | 5 | 5 +INPUTMC | 5 | 5 | 4 | 5 | 6 | 1 | 11 | 1 | 17 | 5 | 5 INPUTP | 1 | 37 EQ | 5 | !iobm/ES<1>.D = iobm/ES<0> & iobm/ES<1> @@ -1117,7 +1105,7 @@ MACROCELL | 5 | 5 | iobm/ES<3> ATTRIBUTES | 4358912 | 0 OUTPUTMC | 5 | 5 | 1 | 5 | 9 | 5 | 4 | 5 | 6 | 5 | 5 INPUTS | 6 | iobm/ES<3> | E | iobm/Er | iobm/ES<0> | iobm/ES<2> | iobm/ES<1> -INPUTMC | 5 | 5 | 5 | 1 | 13 | 5 | 4 | 0 | 13 | 5 | 6 +INPUTMC | 5 | 5 | 5 | 1 | 11 | 5 | 4 | 1 | 17 | 5 | 6 INPUTP | 1 | 37 EQ | 6 | iobm/ES<3>.T = iobm/ES<3> & !E & iobm/Er @@ -1128,61 +1116,61 @@ EQ | 6 | !iobm/ES<3>.CLK = C8M; // GCK GLOBALS | 1 | 2 | C8M -MACROCELL | 2 | 17 | ram/RS_FSM_FFd4 +MACROCELL | 0 | 0 | ram/RS_FSM_FFd3 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 10 | 4 | 0 | 4 | 9 | 0 | 17 | 4 | 12 | 4 | 17 | 4 | 3 | 4 | 1 | 4 | 8 | 4 | 11 | 2 | 0 -INPUTS | 12 | ram/DTACKr | ram/RS_FSM_FFd5 | A_FSB<22> | A_FSB<11> | $OpTx$$OpTx$FX_DC$350_INV$537 | A_FSB<10> | A_FSB<9> | A_FSB<8> | cnt/WS<0> | cnt/WS<1> | cnt/WS<2> | cnt/WS<3> -INPUTMC | 7 | 1 | 4 | 2 | 4 | 3 | 13 | 4 | 7 | 2 | 10 | 2 | 2 | 2 | 9 -INPUTP | 5 | 30 | 15 | 13 | 12 | 11 -EXPORTS | 1 | 2 | 0 +OUTPUTMC | 13 | 4 | 9 | 4 | 0 | 4 | 6 | 0 | 17 | 4 | 17 | 4 | 12 | 4 | 4 | 4 | 1 | 4 | 3 | 4 | 7 | 4 | 13 | 4 | 15 | 4 | 16 +INPUTS | 10 | ram/RS_FSM_FFd4 | ram/DTACKr | A_FSB<23> | RefReq | ram/RefDone | nAS_FSB | ram/RS_FSM_FFd7 | ram/BACTr | fsb/ASrf | A_FSB<22> +INPUTMC | 7 | 0 | 6 | 1 | 2 | 0 | 13 | 0 | 5 | 4 | 0 | 3 | 6 | 3 | 9 +INPUTP | 3 | 36 | 54 | 30 +EXPORTS | 1 | 0 | 17 EQ | 10 | - ram/RS_FSM_FFd4.D = ram/DTACKr & ram/RS_FSM_FFd5; - ram/RS_FSM_FFd4.CLK = FCLK; // GCK - ram/RS_FSM_FFd4.EXP = A_FSB<22> & !A_FSB<11> & - !$OpTx$$OpTx$FX_DC$350_INV$537 - # A_FSB<22> & !A_FSB<10> & - !$OpTx$$OpTx$FX_DC$350_INV$537 - # A_FSB<22> & A_FSB<9> & !A_FSB<8> & - !$OpTx$$OpTx$FX_DC$350_INV$537 - # cnt/WS<0> & cnt/WS<1> & cnt/WS<2> & cnt/WS<3> & - !$OpTx$$OpTx$FX_DC$350_INV$537 + ram/RS_FSM_FFd3.D = ram/RS_FSM_FFd4 & ram/DTACKr; + ram/RS_FSM_FFd3.CLK = FCLK; // GCK + ram/RS_FSM_FFd3.EXP = A_FSB<23> & RefReq & !ram/RefDone & !nAS_FSB & + ram/RS_FSM_FFd7 & !ram/BACTr + # A_FSB<23> & RefReq & !ram/RefDone & + ram/RS_FSM_FFd7 & !ram/BACTr & fsb/ASrf + # A_FSB<22> & RefReq & !ram/RefDone & !nAS_FSB & + ram/RS_FSM_FFd7 & !ram/BACTr + # A_FSB<22> & RefReq & !ram/RefDone & + ram/RS_FSM_FFd7 & !ram/BACTr & fsb/ASrf GLOBALS | 1 | 2 | FCLK -MACROCELL | 4 | 9 | ram/RASEN +MACROCELL | 4 | 6 | ram/RASEN ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 12 | 4 | 17 | 2 | 6 | 4 | 0 | 4 | 9 | 2 | 3 | 0 | 17 | 4 | 12 | 4 | 16 | 4 | 1 | 4 | 5 | 4 | 15 | 7 | 5 -INPUTS | 9 | ram/RS_FSM_FFd8 | ram/RS_FSM_FFd4 | ram/RS_FSM_FFd1 | A_FSB<22> | RefUrg | ram/RefDone | A_FSB<23> | ram/RASEN | RA_4_OBUF.EXP -INPUTMC | 7 | 4 | 0 | 2 | 17 | 0 | 2 | 0 | 11 | 0 | 5 | 4 | 9 | 4 | 8 -INPUTP | 2 | 30 | 36 -IMPORTS | 1 | 4 | 8 -EQ | 22 | - !ram/RASEN.D = !ram/RS_FSM_FFd8 & !ram/RS_FSM_FFd4 & - !ram/RS_FSM_FFd1 - # A_FSB<23> & RefUrg & !ram/RefDone & - !ram/RS_FSM_FFd1 - # A_FSB<22> & RefUrg & !ram/RefDone & - !ram/RS_FSM_FFd1 - # RefUrg & !ram/RefDone & !ram/RS_FSM_FFd8 & - !ram/RS_FSM_FFd1 - # RefUrg & !ram/RefDone & !ram/RASEN & - !ram/RS_FSM_FFd1 +OUTPUTMC | 13 | 4 | 17 | 4 | 9 | 4 | 0 | 4 | 6 | 2 | 9 | 0 | 17 | 4 | 16 | 4 | 12 | 4 | 1 | 4 | 5 | 4 | 8 | 4 | 11 | 7 | 5 +INPUTS | 14 | ram/RS_FSM_FFd7 | ram/RS_FSM_FFd3 | ram/RASEN | ram/RS_FSM_FFd4 | ram/RS_FSM_FFd5 | ram/RS_FSM_FFd6 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | RefUrg | nAS_FSB | fsb/ASrf | ram/DTACKr | ram/BACTr | EXP19_.EXP +INPUTMC | 13 | 4 | 0 | 0 | 0 | 4 | 6 | 0 | 6 | 2 | 10 | 0 | 17 | 0 | 1 | 0 | 2 | 0 | 12 | 3 | 9 | 1 | 2 | 3 | 6 | 4 | 7 +INPUTP | 1 | 54 +EXPORTS | 1 | 4 | 5 +IMPORTS | 1 | 4 | 7 +EQ | 21 | + ram/RASEN.D = ram/RS_FSM_FFd1 + # !RefUrg & ram/RS_FSM_FFd3 + # !ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd3 & ram/RASEN & + !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd5 & !ram/RS_FSM_FFd6 & + !ram/RS_FSM_FFd2 +;Imported pterms FB5_8 + # ram/RefDone & ram/RS_FSM_FFd7 + # ram/RefDone & ram/RS_FSM_FFd3 + # !RefUrg & !RefReq & ram/RS_FSM_FFd7 + # !RefUrg & ram/RS_FSM_FFd7 & ram/BACTr + # !RefUrg & nAS_FSB & ram/RS_FSM_FFd7 & !fsb/ASrf ;Imported pterms FB5_9 - # RefUrg & !ram/RefDone & nAS_FSB & - !ram/RS_FSM_FFd1 & !fsb/ASrf - # A_FSB<23> & RefReq & !ram/RefDone & !nAS_FSB & - !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/BACTr - # A_FSB<23> & RefReq & !ram/RefDone & - !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - # A_FSB<22> & RefReq & !ram/RefDone & !nAS_FSB & - !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/BACTr - # A_FSB<22> & RefReq & !ram/RefDone & - !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf; + # !A_FSB<23> & !A_FSB<22> & !RefUrg & + ram/RS_FSM_FFd7 + # !A_FSB<23> & !A_FSB<22> & !nAS_FSB & + ram/RS_FSM_FFd7 & ram/RASEN + # !A_FSB<23> & !A_FSB<22> & ram/RS_FSM_FFd7 & + ram/RASEN & fsb/ASrf; ram/RASEN.CLK = FCLK; // GCK + ram/RASEN.EXP = nAS_FSB & !fsb/ASrf + # ram/DTACKr & ram/BACTr GLOBALS | 1 | 2 | FCLK MACROCELL | 0 | 2 | ram/RS_FSM_FFd1 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 9 | 0 | 5 | 4 | 0 | 4 | 9 | 4 | 12 | 4 | 4 | 4 | 1 | 4 | 8 | 4 | 11 | 4 | 17 +OUTPUTMC | 10 | 0 | 5 | 4 | 9 | 4 | 0 | 4 | 6 | 4 | 17 | 4 | 1 | 4 | 4 | 4 | 13 | 4 | 15 | 4 | 16 INPUTS | 1 | ram/RS_FSM_FFd2 INPUTMC | 1 | 0 | 1 EQ | 2 | @@ -1190,11 +1178,88 @@ EQ | 2 | ram/RS_FSM_FFd1.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK +MACROCELL | 0 | 6 | ram/RS_FSM_FFd4 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 9 | 4 | 9 | 0 | 0 | 4 | 6 | 0 | 6 | 4 | 17 | 4 | 3 | 4 | 13 | 4 | 15 | 4 | 16 +INPUTS | 3 | ram/RS_FSM_FFd5 | ram/RS_FSM_FFd4 | ram/DTACKr +INPUTMC | 3 | 2 | 10 | 0 | 6 | 1 | 2 +EQ | 3 | + ram/RS_FSM_FFd4.D = ram/RS_FSM_FFd5 + # ram/RS_FSM_FFd4 & !ram/DTACKr; + ram/RS_FSM_FFd4.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 2 | 10 | ram/RS_FSM_FFd5 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 9 | 4 | 9 | 4 | 6 | 0 | 6 | 4 | 17 | 0 | 3 | 4 | 13 | 4 | 15 | 4 | 16 | 2 | 11 +INPUTS | 18 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<13> | iobs/Sent | nWE_FSB | nAS_FSB | iobs/TS_FSM_FFd1 | nADoutLE1 | fsb/ASrf | iobs/TS_FSM_FFd2 | A_FSB<14> | cnt/WS<1>.EXP +INPUTMC | 6 | 2 | 14 | 2 | 4 | 5 | 13 | 3 | 9 | 7 | 2 | 2 | 9 +INPUTP | 12 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 18 | 47 | 54 | 19 +EXPORTS | 1 | 2 | 11 +IMPORTS | 1 | 2 | 9 +EQ | 26 | + ram/RS_FSM_FFd5.D = ;Imported pterms FB3_10 + !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & + ram/RS_FSM_FFd7 & ram/RASEN + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & + ram/RS_FSM_FFd7 & ram/RASEN & fsb/ASrf; + ram/RS_FSM_FFd5.CLK = FCLK; // GCK + ram/RS_FSM_FFd5.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & + !iobs/Sent & !nWE_FSB & !nAS_FSB & iobs/TS_FSM_FFd1 & + nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & + !iobs/Sent & !nWE_FSB & iobs/TS_FSM_FFd1 & fsb/ASrf & + nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & + !iobs/Sent & !nWE_FSB & iobs/TS_FSM_FFd2 & fsb/ASrf & + nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + !iobs/Sent & !nWE_FSB & iobs/TS_FSM_FFd1 & fsb/ASrf & + nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + !iobs/Sent & !nWE_FSB & iobs/TS_FSM_FFd2 & fsb/ASrf & + nADoutLE1 +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 0 | 17 | ram/RS_FSM_FFd6 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 8 | 0 | 5 | 4 | 9 | 4 | 6 | 0 | 1 | 4 | 17 | 4 | 4 | 0 | 3 | 4 | 13 +INPUTS | 10 | RefUrg | ram/RefDone | ram/RS_FSM_FFd3 | A_FSB<22> | ram/RS_FSM_FFd7 | A_FSB<23> | ram/RASEN | nAS_FSB | fsb/ASrf | ram/RS_FSM_FFd3.EXP +INPUTMC | 7 | 0 | 12 | 0 | 5 | 0 | 0 | 4 | 0 | 4 | 6 | 3 | 9 | 0 | 0 +INPUTP | 3 | 30 | 36 | 54 +IMPORTS | 1 | 0 | 0 +EQ | 19 | + ram/RS_FSM_FFd6.D = RefUrg & !ram/RefDone & ram/RS_FSM_FFd3 + # A_FSB<23> & RefUrg & !ram/RefDone & + ram/RS_FSM_FFd7 + # A_FSB<22> & RefUrg & !ram/RefDone & + ram/RS_FSM_FFd7 + # RefUrg & !ram/RefDone & ram/RS_FSM_FFd7 & + !ram/RASEN + # RefUrg & !ram/RefDone & nAS_FSB & + ram/RS_FSM_FFd7 & !fsb/ASrf +;Imported pterms FB1_1 + # A_FSB<23> & RefReq & !ram/RefDone & !nAS_FSB & + ram/RS_FSM_FFd7 & !ram/BACTr + # A_FSB<23> & RefReq & !ram/RefDone & + ram/RS_FSM_FFd7 & !ram/BACTr & fsb/ASrf + # A_FSB<22> & RefReq & !ram/RefDone & !nAS_FSB & + ram/RS_FSM_FFd7 & !ram/BACTr + # A_FSB<22> & RefReq & !ram/RefDone & + ram/RS_FSM_FFd7 & !ram/BACTr & fsb/ASrf; + ram/RS_FSM_FFd6.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + MACROCELL | 5 | 17 | IOACT ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 5 | 1 | 5 | 16 | 1 | 6 +OUTPUTMC | 3 | 5 | 1 | 5 | 16 | 1 | 4 INPUTS | 9 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | iobm/C8Mr | iobm/IOS_FSM_FFd7 | iobm/IORDREQr | nAoutOE | nDinLE_OBUF.EXP -INPUTMC | 9 | 1 | 9 | 5 | 0 | 1 | 10 | 5 | 3 | 1 | 14 | 5 | 2 | 1 | 12 | 3 | 1 | 5 | 16 +INPUTMC | 9 | 1 | 7 | 5 | 0 | 1 | 8 | 5 | 3 | 1 | 12 | 5 | 2 | 1 | 10 | 3 | 1 | 5 | 16 IMPORTS | 1 | 5 | 16 EQ | 11 | IOACT.D = iobm/IOS_FSM_FFd4 @@ -1210,44 +1275,41 @@ EQ | 11 | IOACT.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 4 | 7 | cnt/WS<0> +MACROCELL | 3 | 11 | cnt/WS<0> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 7 | 4 | 7 | 2 | 10 | 2 | 2 | 2 | 9 | 2 | 3 | 2 | 17 | 4 | 8 -INPUTS | 6 | nAS_FSB | cnt/WS<0> | fsb/ASrf | A_FSB<11> | ram/RASEL | A_FSB<3> -INPUTMC | 3 | 4 | 7 | 3 | 9 | 2 | 6 -INPUTP | 3 | 54 | 15 | 155 -EXPORTS | 1 | 4 | 8 -EQ | 4 | +OUTPUTMC | 5 | 3 | 11 | 2 | 9 | 2 | 5 | 2 | 8 | 2 | 16 +INPUTS | 3 | nAS_FSB | cnt/WS<0> | fsb/ASrf +INPUTMC | 2 | 3 | 11 | 3 | 9 +INPUTP | 1 | 54 +EQ | 2 | !cnt/WS<0>.T = nAS_FSB & !cnt/WS<0> & !fsb/ASrf; cnt/WS<0>.CLK = FCLK; // GCK - cnt/WS<0>.EXP = A_FSB<11> & !ram/RASEL - # ram/RASEL & A_FSB<3> GLOBALS | 1 | 2 | FCLK -MACROCELL | 1 | 11 | iobm/IOS_FSM_FFd1 +MACROCELL | 1 | 9 | iobm/IOS_FSM_FFd1 ATTRIBUTES | 8553216 | 0 OUTPUTMC | 4 | 5 | 2 | 5 | 16 | 5 | 15 | 5 | 12 INPUTS | 1 | iobm/IOS_FSM_FFd2 -INPUTMC | 1 | 1 | 16 +INPUTMC | 1 | 1 | 15 EQ | 2 | iobm/IOS_FSM_FFd1.D = iobm/IOS_FSM_FFd2; iobm/IOS_FSM_FFd1.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 1 | 16 | iobm/IOS_FSM_FFd2 +MACROCELL | 1 | 15 | iobm/IOS_FSM_FFd2 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 5 | 16 | 1 | 11 | 5 | 15 | 5 | 12 +OUTPUTMC | 4 | 5 | 16 | 1 | 9 | 5 | 15 | 5 | 12 INPUTS | 4 | IODONE | iobm/IOS_FSM_FFd3 | iobm/C8Mr | IOBERR -INPUTMC | 4 | 5 | 9 | 5 | 3 | 1 | 14 | 1 | 17 +INPUTMC | 4 | 5 | 9 | 5 | 3 | 1 | 12 | 1 | 16 EQ | 3 | iobm/IOS_FSM_FFd2.D = IOBERR & iobm/IOS_FSM_FFd3 & !iobm/C8Mr # IODONE & iobm/IOS_FSM_FFd3 & !iobm/C8Mr; iobm/IOS_FSM_FFd2.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 1 | 6 | iobs/IOACTr +MACROCELL | 1 | 4 | iobs/IOACTr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 2 | 5 | 7 | 2 | 2 | 13 | 7 | 8 +OUTPUTMC | 4 | 2 | 4 | 7 | 2 | 2 | 13 | 7 | 8 INPUTS | 1 | IOACT INPUTMC | 1 | 5 | 17 EQ | 2 | @@ -1257,17 +1319,14 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 2 | 11 | iobs/Load1 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 0 | 7 | 0 | 6 | 5 | 13 -INPUTS | 18 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<14> | iobs/Sent | nWE_FSB | nAS_FSB | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<13> | iobs/TS_FSM_FFd1 | fsb/ASrf | cnt/WS<1>.EXP -INPUTMC | 6 | 2 | 15 | 7 | 2 | 5 | 13 | 2 | 5 | 3 | 9 | 2 | 10 +OUTPUTMC | 4 | 0 | 8 | 0 | 7 | 5 | 13 | 2 | 12 +INPUTS | 19 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<14> | iobs/Sent | nWE_FSB | nAS_FSB | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<13> | iobs/TS_FSM_FFd1 | fsb/ASrf | cs/nOverlay | ram/RS_FSM_FFd5.EXP +INPUTMC | 7 | 2 | 14 | 7 | 2 | 5 | 13 | 2 | 4 | 3 | 9 | 2 | 5 | 2 | 10 INPUTP | 12 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 19 | 47 | 54 | 18 +EXPORTS | 1 | 2 | 12 IMPORTS | 1 | 2 | 10 -EQ | 34 | +EQ | 38 | iobs/Load1.D = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & - !iobs/Sent & !nWE_FSB & !nAS_FSB & iobs/TS_FSM_FFd1 & - nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & !iobs/Sent & !nWE_FSB & !nAS_FSB & iobs/TS_FSM_FFd2 & nADoutLE1 @@ -1279,11 +1338,11 @@ EQ | 34 | A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & !iobs/Sent & !nWE_FSB & !nAS_FSB & iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & - !iobs/Sent & !nWE_FSB & iobs/TS_FSM_FFd2 & fsb/ASrf & - nADoutLE1 ;Imported pterms FB3_11 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & + !iobs/Sent & !nWE_FSB & !nAS_FSB & iobs/TS_FSM_FFd1 & + nADoutLE1 # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & !iobs/Sent & !nWE_FSB & iobs/TS_FSM_FFd1 & fsb/ASrf & @@ -1295,13 +1354,21 @@ EQ | 34 | # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & !iobs/Sent & !nWE_FSB & iobs/TS_FSM_FFd1 & fsb/ASrf & + nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + !iobs/Sent & !nWE_FSB & iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1; iobs/Load1.CLK = FCLK; // GCK + iobs/Load1.EXP = nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & + nADoutLE1 + # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & + !iobs/TS_FSM_FFd2 & nADoutLE1 GLOBALS | 1 | 2 | FCLK -MACROCELL | 1 | 4 | ram/DTACKr +MACROCELL | 1 | 2 | ram/DTACKr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 2 | 17 | 2 | 4 | 4 | 4 | 4 | 6 +OUTPUTMC | 4 | 0 | 0 | 0 | 6 | 4 | 3 | 4 | 6 INPUTS | 1 | nDTACK_FSB INPUTMC | 1 | 2 | 8 EQ | 2 | @@ -1309,68 +1376,21 @@ EQ | 2 | ram/DTACKr.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 4 | ram/RS_FSM_FFd5 +MACROCELL | 0 | 1 | ram/RS_FSM_FFd2 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 2 | 17 | 2 | 4 | 4 | 12 | 4 | 4 | 4 | 11 -INPUTS | 3 | ram/RS_FSM_FFd6 | ram/DTACKr | ram/RS_FSM_FFd5 -INPUTMC | 3 | 2 | 3 | 1 | 4 | 2 | 4 -EQ | 3 | - ram/RS_FSM_FFd5.D = ram/RS_FSM_FFd6 - # !ram/DTACKr & ram/RS_FSM_FFd5; - ram/RS_FSM_FFd5.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 2 | 3 | ram/RS_FSM_FFd6 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 6 | 2 | 6 | 2 | 4 | 4 | 12 | 1 | 3 | 4 | 11 | 2 | 2 -INPUTS | 10 | A_FSB<23> | A_FSB<22> | cs/nOverlay | nAS_FSB | ram/RS_FSM_FFd8 | ram/RASEN | fsb/ASrf | cnt/WS<2> | cnt/WS<0> | cnt/WS<1> -INPUTMC | 7 | 2 | 7 | 4 | 0 | 4 | 9 | 3 | 9 | 2 | 2 | 4 | 7 | 2 | 10 -INPUTP | 3 | 36 | 30 | 54 -EXPORTS | 1 | 2 | 2 -EQ | 7 | - ram/RS_FSM_FFd6.D = !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & - ram/RS_FSM_FFd8 & ram/RASEN - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & - ram/RS_FSM_FFd8 & ram/RASEN & fsb/ASrf; - ram/RS_FSM_FFd6.CLK = FCLK; // GCK - ram/RS_FSM_FFd6.EXP = nAS_FSB & cnt/WS<2> & !fsb/ASrf - # cnt/WS<0> & cnt/WS<1> & fsb/ASrf -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 0 | 17 | ram/RS_FSM_FFd7 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 0 | 5 | 0 | 0 | 4 | 17 | 4 | 4 | 4 | 3 -INPUTS | 10 | RefUrg | ram/RefDone | ram/RS_FSM_FFd4 | A_FSB<22> | ram/RS_FSM_FFd8 | A_FSB<23> | ram/RASEN | nAS_FSB | fsb/ASrf | ram/RS_FSM_FFd3.EXP -INPUTMC | 7 | 0 | 11 | 0 | 5 | 2 | 17 | 4 | 0 | 4 | 9 | 3 | 9 | 0 | 0 -INPUTP | 3 | 30 | 36 | 54 -IMPORTS | 1 | 0 | 0 -EQ | 19 | - ram/RS_FSM_FFd7.D = RefUrg & !ram/RefDone & ram/RS_FSM_FFd4 - # A_FSB<23> & RefUrg & !ram/RefDone & - ram/RS_FSM_FFd8 - # A_FSB<22> & RefUrg & !ram/RefDone & - ram/RS_FSM_FFd8 - # RefUrg & !ram/RefDone & ram/RS_FSM_FFd8 & - !ram/RASEN - # RefUrg & !ram/RefDone & nAS_FSB & - ram/RS_FSM_FFd8 & !fsb/ASrf -;Imported pterms FB1_1 - # A_FSB<23> & RefReq & !ram/RefDone & !nAS_FSB & - ram/RS_FSM_FFd8 & !ram/BACTr - # A_FSB<23> & RefReq & !ram/RefDone & - ram/RS_FSM_FFd8 & !ram/BACTr & fsb/ASrf - # A_FSB<22> & RefReq & !ram/RefDone & !nAS_FSB & - ram/RS_FSM_FFd8 & !ram/BACTr - # A_FSB<22> & RefReq & !ram/RefDone & - ram/RS_FSM_FFd8 & !ram/BACTr & fsb/ASrf; - ram/RS_FSM_FFd7.CLK = FCLK; // GCK +OUTPUTMC | 7 | 0 | 5 | 4 | 9 | 4 | 6 | 0 | 2 | 4 | 17 | 4 | 4 | 4 | 13 +INPUTS | 1 | ram/RS_FSM_FFd6 +INPUTMC | 1 | 0 | 17 +EQ | 2 | + ram/RS_FSM_FFd2.D = ram/RS_FSM_FFd6; + ram/RS_FSM_FFd2.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK MACROCELL | 7 | 0 | IOL0 ATTRIBUTES | 4358912 | 0 OUTPUTMC | 4 | 5 | 8 | 7 | 0 | 5 | 7 | 7 | 17 -INPUTS | 9 | iobs/TS_FSM_FFd1 | iobs/Sent | iobs/TS_FSM_FFd2 | nADoutLE1 | nLDS_FSB | IOL0 | iobs/IOL1 | RA_11_OBUF$BUF0.EXP | EXP25_.EXP -INPUTMC | 8 | 2 | 5 | 2 | 15 | 7 | 2 | 5 | 13 | 7 | 0 | 0 | 7 | 7 | 1 | 7 | 17 +INPUTS | 9 | iobs/TS_FSM_FFd1 | iobs/Sent | iobs/TS_FSM_FFd2 | nADoutLE1 | nLDS_FSB | IOL0 | iobs/IOL1 | RA_11_OBUF$BUF0.EXP | EXP27_.EXP +INPUTMC | 8 | 2 | 4 | 2 | 14 | 7 | 2 | 5 | 13 | 7 | 0 | 0 | 8 | 7 | 1 | 7 | 17 INPUTP | 1 | 49 IMPORTS | 2 | 7 | 1 | 7 | 17 EQ | 30 | @@ -1409,8 +1429,8 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 7 | 13 | IOU0 ATTRIBUTES | 4358912 | 0 OUTPUTMC | 4 | 5 | 10 | 7 | 13 | 5 | 9 | 7 | 12 -INPUTS | 9 | iobs/TS_FSM_FFd1 | iobs/Sent | iobs/TS_FSM_FFd2 | nADoutLE1 | nUDS_FSB | IOU0 | iobs/IOU1 | EXP24_.EXP | nBR_IOB_OBUF.EXP -INPUTMC | 8 | 2 | 5 | 2 | 15 | 7 | 2 | 5 | 13 | 7 | 13 | 0 | 6 | 7 | 12 | 7 | 14 +INPUTS | 9 | iobs/TS_FSM_FFd1 | iobs/Sent | iobs/TS_FSM_FFd2 | nADoutLE1 | nUDS_FSB | IOU0 | iobs/IOU1 | EXP26_.EXP | nBR_IOB_OBUF.EXP +INPUTMC | 8 | 2 | 4 | 2 | 14 | 7 | 2 | 5 | 13 | 7 | 13 | 0 | 7 | 7 | 12 | 7 | 14 INPUTP | 1 | 56 IMPORTS | 2 | 7 | 12 | 7 | 14 EQ | 30 | @@ -1446,103 +1466,70 @@ EQ | 30 | IOU0.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 10 | cnt/WS<1> +MACROCELL | 2 | 9 | cnt/WS<1> ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 6 | 2 | 10 | 2 | 2 | 2 | 9 | 2 | 3 | 2 | 17 | 2 | 11 -INPUTS | 19 | cnt/WS<0> | cnt/WS<1> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<13> | iobs/Sent | nWE_FSB | iobs/TS_FSM_FFd1 | fsb/ASrf | nADoutLE1 | iobs/TS_FSM_FFd2 | A_FSB<14> | cnt/WS<3>.EXP -INPUTMC | 8 | 4 | 7 | 2 | 10 | 2 | 15 | 2 | 5 | 3 | 9 | 5 | 13 | 7 | 2 | 2 | 9 -INPUTP | 11 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 18 | 47 | 19 -EXPORTS | 1 | 2 | 11 -IMPORTS | 1 | 2 | 9 -EQ | 17 | - !cnt/WS<1>.D = cnt/WS<0> & cnt/WS<1> - # !cnt/WS<0> & !cnt/WS<1> -;Imported pterms FB3_10 - # nAS_FSB & !fsb/ASrf; +OUTPUTMC | 5 | 2 | 9 | 2 | 5 | 2 | 8 | 2 | 16 | 2 | 10 +INPUTS | 9 | cnt/WS<0> | cnt/WS<1> | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | cs/nOverlay | ram/RS_FSM_FFd7 | ram/RASEN +INPUTMC | 6 | 3 | 11 | 2 | 9 | 3 | 9 | 2 | 5 | 4 | 0 | 4 | 6 +INPUTP | 3 | 54 | 36 | 30 +EXPORTS | 1 | 2 | 10 +EQ | 8 | + !cnt/WS<1>.D = nAS_FSB & !fsb/ASrf + # cnt/WS<0> & cnt/WS<1> + # !cnt/WS<0> & !cnt/WS<1>; cnt/WS<1>.CLK = FCLK; // GCK - cnt/WS<1>.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & - !iobs/Sent & !nWE_FSB & iobs/TS_FSM_FFd1 & fsb/ASrf & - nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & - !iobs/Sent & !nWE_FSB & iobs/TS_FSM_FFd2 & fsb/ASrf & - nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & - !iobs/Sent & !nWE_FSB & iobs/TS_FSM_FFd1 & fsb/ASrf & - nADoutLE1 + cnt/WS<1>.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & + ram/RS_FSM_FFd7 & ram/RASEN + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & + ram/RS_FSM_FFd7 & ram/RASEN & fsb/ASrf GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 2 | cnt/WS<2> +MACROCELL | 2 | 7 | cnt/WS<2> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 4 | 2 | 9 | 2 | 3 | 2 | 17 | 2 | 1 -INPUTS | 10 | nAS_FSB | cnt/WS<0> | cnt/WS<1> | A_FSB<22> | A_FSB<17> | $OpTx$$OpTx$FX_DC$350_INV$537 | A_FSB<16> | A_FSB<13> | A_FSB<14> | ram/RS_FSM_FFd6.EXP -INPUTMC | 4 | 4 | 7 | 2 | 10 | 3 | 13 | 2 | 3 -INPUTP | 6 | 54 | 30 | 23 | 22 | 18 | 19 -EXPORTS | 1 | 2 | 1 -IMPORTS | 1 | 2 | 3 -EQ | 13 | - cnt/WS<2>.T = !nAS_FSB & cnt/WS<0> & cnt/WS<1> -;Imported pterms FB3_4 - # nAS_FSB & cnt/WS<2> & !fsb/ASrf +OUTPUTMC | 3 | 2 | 5 | 2 | 8 | 2 | 16 +INPUTS | 10 | A_FSB<23> | IONPReady | QoSReady | nAS_FSB | fsb/ASrf | A_FSB<22> | A_FSB<21> | A_FSB<20> | cnt/WS<3>.EXP | nDTACK_FSB_OBUF.EXP +INPUTMC | 5 | 2 | 1 | 2 | 0 | 3 | 9 | 2 | 6 | 2 | 8 +INPUTP | 5 | 36 | 54 | 30 | 29 | 28 +EXPORTS | 1 | 2 | 8 +IMPORTS | 2 | 2 | 6 | 2 | 8 +EQ | 20 | + cnt/WS<2>.T = ;Imported pterms FB3_9 + nAS_FSB & cnt/WS<2> & !fsb/ASrf + # !nAS_FSB & cnt/WS<0> & cnt/WS<1> # cnt/WS<0> & cnt/WS<1> & fsb/ASrf; cnt/WS<2>.CLK = FCLK; // GCK - cnt/WS<2>.EXP = A_FSB<22> & !A_FSB<17> & - !$OpTx$$OpTx$FX_DC$350_INV$537 - # A_FSB<22> & !A_FSB<16> & - !$OpTx$$OpTx$FX_DC$350_INV$537 - # A_FSB<22> & !A_FSB<13> & - !$OpTx$$OpTx$FX_DC$350_INV$537 - # A_FSB<22> & !A_FSB<14> & - !$OpTx$$OpTx$FX_DC$350_INV$537 + cnt/WS<2>.EXP = A_FSB<23> & !IONPReady + # !IONPReady & !QoSReady + # nAS_FSB & !fsb/ASrf + # A_FSB<22> & A_FSB<21> & !IONPReady + # A_FSB<22> & A_FSB<20> & !IONPReady +;Imported pterms FB3_7 + # !A_FSB<22> & !IONPReady & !RAMReady + # A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> + # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & A_FSB<13> & !nWE_FSB & !IONPReady & + !nADoutLE1 + # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & A_FSB<14> & !nWE_FSB & !IONPReady & + !nADoutLE1 GLOBALS | 1 | 2 | FCLK -MACROCELL | 1 | 12 | iobm/IORDREQr +MACROCELL | 1 | 10 | iobm/IORDREQr ATTRIBUTES | 8553216 | 0 OUTPUTMC | 9 | 5 | 11 | 5 | 7 | 5 | 9 | 5 | 2 | 5 | 0 | 5 | 17 | 5 | 15 | 5 | 12 | 3 | 4 INPUTS | 1 | IORDREQ -INPUTMC | 1 | 2 | 14 +INPUTMC | 1 | 2 | 13 EQ | 2 | iobm/IORDREQr.D = IORDREQ; iobm/IORDREQr.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 0 | 1 | ram/RS_FSM_FFd2 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 0 | 5 | 0 | 2 | 4 | 4 -INPUTS | 1 | ram/RS_FSM_FFd3 -INPUTMC | 1 | 0 | 0 -EQ | 2 | - ram/RS_FSM_FFd2.D = ram/RS_FSM_FFd3; - ram/RS_FSM_FFd2.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 0 | 0 | ram/RS_FSM_FFd3 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 0 | 5 | 0 | 1 | 4 | 4 | 0 | 17 -INPUTS | 9 | ram/RS_FSM_FFd7 | A_FSB<23> | RefReq | ram/RefDone | nAS_FSB | ram/RS_FSM_FFd8 | ram/BACTr | fsb/ASrf | A_FSB<22> -INPUTMC | 6 | 0 | 17 | 0 | 12 | 0 | 5 | 4 | 0 | 3 | 6 | 3 | 9 -INPUTP | 3 | 36 | 54 | 30 -EXPORTS | 1 | 0 | 17 -EQ | 10 | - ram/RS_FSM_FFd3.D = ram/RS_FSM_FFd7; - ram/RS_FSM_FFd3.CLK = FCLK; // GCK - ram/RS_FSM_FFd3.EXP = A_FSB<23> & RefReq & !ram/RefDone & !nAS_FSB & - ram/RS_FSM_FFd8 & !ram/BACTr - # A_FSB<23> & RefReq & !ram/RefDone & - ram/RS_FSM_FFd8 & !ram/BACTr & fsb/ASrf - # A_FSB<22> & RefReq & !ram/RefDone & !nAS_FSB & - ram/RS_FSM_FFd8 & !ram/BACTr - # A_FSB<22> & RefReq & !ram/RefDone & - ram/RS_FSM_FFd8 & !ram/BACTr & fsb/ASrf -GLOBALS | 1 | 2 | FCLK - MACROCELL | 5 | 15 | ALE0M ATTRIBUTES | 8553216 | 0 OUTPUTMC | 2 | 5 | 15 | 5 | 14 INPUTS | 11 | iobm/IOS_FSM_FFd7 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | ALE0M | nAoutOE | iobm/IORDREQr | iobm/IOWRREQr -INPUTMC | 11 | 5 | 2 | 5 | 3 | 1 | 10 | 1 | 9 | 5 | 0 | 1 | 11 | 1 | 16 | 5 | 15 | 3 | 1 | 1 | 12 | 1 | 8 +INPUTMC | 11 | 5 | 2 | 5 | 3 | 1 | 8 | 1 | 7 | 5 | 0 | 1 | 9 | 1 | 15 | 5 | 15 | 3 | 1 | 1 | 10 | 1 | 6 EQ | 14 | !ALE0M.D = iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 & nAoutOE @@ -1560,25 +1547,25 @@ EQ | 14 | ALE0M.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 2 | 14 | IORDREQ +MACROCELL | 2 | 13 | IORDREQ ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 1 | 12 | 2 | 13 | 2 | 15 -INPUTS | 11 | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | A_FSB<22> | A_FSB<21> | iobs/Sent | fsb/ASrf | nADoutLE1 | A_FSB<20> | cs/nOverlay | nAS_FSB | EXP11_.EXP -INPUTMC | 7 | 2 | 5 | 7 | 2 | 2 | 15 | 3 | 9 | 5 | 13 | 2 | 7 | 2 | 13 +OUTPUTMC | 3 | 1 | 10 | 2 | 12 | 2 | 14 +INPUTS | 12 | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | iobs/IOACTr | A_FSB<22> | A_FSB<21> | iobs/Sent | fsb/ASrf | nADoutLE1 | A_FSB<20> | cs/nOverlay | nAS_FSB | EXP10_.EXP +INPUTMC | 8 | 2 | 4 | 7 | 2 | 1 | 4 | 2 | 14 | 3 | 9 | 5 | 13 | 2 | 5 | 2 | 12 INPUTP | 4 | 30 | 29 | 28 | 54 -EXPORTS | 1 | 2 | 15 -IMPORTS | 1 | 2 | 13 -EQ | 23 | +EXPORTS | 1 | 2 | 14 +IMPORTS | 1 | 2 | 12 +EQ | 21 | !IORDREQ.D = iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 -;Imported pterms FB3_14 # iobs/TS_FSM_FFd1 & iobs/IOACTr +;Imported pterms FB3_13 # iobs/TS_FSM_FFd2 & !IORDREQ # iobs/Sent & !iobs/TS_FSM_FFd2 & nADoutLE1 # !nWE_FSB & !iobs/TS_FSM_FFd2 & nADoutLE1 # !iobs/IORW1 & !iobs/TS_FSM_FFd2 & !nADoutLE1 -;Imported pterms FB3_13 # !A_FSB<23> & !A_FSB<22> & !iobs/TS_FSM_FFd2 & nADoutLE1 +;Imported pterms FB3_12 # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & nADoutLE1 # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & @@ -1590,15 +1577,13 @@ EQ | 23 | !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 # A_FSB<22> & !iobs/Sent & !cs/nOverlay & !nAS_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 - # A_FSB<22> & !iobs/Sent & !cs/nOverlay & - !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 GLOBALS | 1 | 2 | FCLK MACROCELL | 7 | 8 | IOWRREQ ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 7 | 8 | 1 | 8 -INPUTS | 13 | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | IOWRREQ | iobs/IOACTr | iobs/IORW1 | nADoutLE1 | A_FSB<23> | iobs/Sent | nWE_FSB | nAS_FSB | fsb/ASrf | nRAMUWE_OBUF.EXP | EXP22_.EXP -INPUTMC | 10 | 2 | 5 | 7 | 2 | 7 | 8 | 1 | 6 | 7 | 16 | 5 | 13 | 2 | 15 | 3 | 9 | 7 | 7 | 7 | 9 +OUTPUTMC | 2 | 7 | 8 | 1 | 6 +INPUTS | 13 | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | IOWRREQ | iobs/IOACTr | iobs/IORW1 | nADoutLE1 | A_FSB<23> | iobs/Sent | nWE_FSB | nAS_FSB | fsb/ASrf | nRAMUWE_OBUF.EXP | EXP24_.EXP +INPUTMC | 10 | 2 | 4 | 7 | 2 | 7 | 8 | 1 | 4 | 7 | 16 | 5 | 13 | 2 | 14 | 3 | 9 | 7 | 7 | 7 | 9 INPUTP | 3 | 36 | 47 | 54 IMPORTS | 2 | 7 | 7 | 7 | 9 EQ | 35 | @@ -1639,55 +1624,110 @@ EQ | 35 | IOWRREQ.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 1 | QoSReady +MACROCELL | 2 | 0 | QoSReady ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 2 | 8 | 2 | 1 -INPUTS | 10 | A_FSB<23> | $OpTx$$OpTx$FX_DC$350_INV$537 | nWE_FSB | QoSReady | cnt/LTimer<0> | cnt/LTimer<1> | A_FSB<22> | A_FSB<20> | EXP10_.EXP | cnt/WS<2>.EXP -INPUTMC | 6 | 3 | 13 | 2 | 1 | 3 | 17 | 3 | 15 | 2 | 0 | 2 | 2 +OUTPUTMC | 2 | 2 | 7 | 2 | 0 +INPUTS | 10 | A_FSB<23> | $OpTx$$OpTx$FX_DC$346_INV$533 | nWE_FSB | QoSReady | cnt/LTimer<0> | cnt/LTimer<1> | A_FSB<22> | A_FSB<20> | IONPReady.EXP | EXP12_.EXP +INPUTMC | 6 | 3 | 13 | 2 | 0 | 3 | 17 | 3 | 15 | 2 | 1 | 2 | 17 INPUTP | 4 | 36 | 47 | 30 | 28 -IMPORTS | 2 | 2 | 0 | 2 | 2 +IMPORTS | 2 | 2 | 1 | 2 | 17 EQ | 36 | - QoSReady.D = A_FSB<23> & !$OpTx$$OpTx$FX_DC$350_INV$537 + QoSReady.D = A_FSB<23> & !$OpTx$$OpTx$FX_DC$346_INV$533 # !cnt/LTimer<0> & !cnt/LTimer<1> - # !nWE_FSB & !$OpTx$$OpTx$FX_DC$350_INV$537 - # QoSReady & !$OpTx$$OpTx$FX_DC$350_INV$537 + # !nWE_FSB & !$OpTx$$OpTx$FX_DC$346_INV$533 + # QoSReady & !$OpTx$$OpTx$FX_DC$346_INV$533 # A_FSB<22> & A_FSB<20> & - !$OpTx$$OpTx$FX_DC$350_INV$537 -;Imported pterms FB3_1 - # A_FSB<22> & A_FSB<21> & - !$OpTx$$OpTx$FX_DC$350_INV$537 - # A_FSB<22> & A_FSB<19> & - !$OpTx$$OpTx$FX_DC$350_INV$537 - # A_FSB<22> & A_FSB<18> & - !$OpTx$$OpTx$FX_DC$350_INV$537 - # A_FSB<22> & A_FSB<15> & - !$OpTx$$OpTx$FX_DC$350_INV$537 - # A_FSB<22> & A_FSB<12> & - !$OpTx$$OpTx$FX_DC$350_INV$537 -;Imported pterms FB3_18 - # A_FSB<22> & !A_FSB<11> & - !$OpTx$$OpTx$FX_DC$350_INV$537 - # A_FSB<22> & !A_FSB<10> & - !$OpTx$$OpTx$FX_DC$350_INV$537 - # A_FSB<22> & A_FSB<9> & !A_FSB<8> & - !$OpTx$$OpTx$FX_DC$350_INV$537 - # cnt/WS<0> & cnt/WS<1> & cnt/WS<2> & cnt/WS<3> & - !$OpTx$$OpTx$FX_DC$350_INV$537 -;Imported pterms FB3_3 + !$OpTx$$OpTx$FX_DC$346_INV$533 +;Imported pterms FB3_2 # A_FSB<22> & !A_FSB<17> & - !$OpTx$$OpTx$FX_DC$350_INV$537 + !$OpTx$$OpTx$FX_DC$346_INV$533 # A_FSB<22> & !A_FSB<16> & - !$OpTx$$OpTx$FX_DC$350_INV$537 + !$OpTx$$OpTx$FX_DC$346_INV$533 # A_FSB<22> & !A_FSB<13> & - !$OpTx$$OpTx$FX_DC$350_INV$537 + !$OpTx$$OpTx$FX_DC$346_INV$533 # A_FSB<22> & !A_FSB<14> & - !$OpTx$$OpTx$FX_DC$350_INV$537; + !$OpTx$$OpTx$FX_DC$346_INV$533 +;Imported pterms FB3_18 + # A_FSB<22> & A_FSB<21> & + !$OpTx$$OpTx$FX_DC$346_INV$533 + # A_FSB<22> & A_FSB<19> & + !$OpTx$$OpTx$FX_DC$346_INV$533 + # A_FSB<22> & A_FSB<18> & + !$OpTx$$OpTx$FX_DC$346_INV$533 + # A_FSB<22> & A_FSB<15> & + !$OpTx$$OpTx$FX_DC$346_INV$533 + # A_FSB<22> & A_FSB<12> & + !$OpTx$$OpTx$FX_DC$346_INV$533 +;Imported pterms FB3_17 + # A_FSB<22> & !A_FSB<11> & + !$OpTx$$OpTx$FX_DC$346_INV$533 + # A_FSB<22> & !A_FSB<10> & + !$OpTx$$OpTx$FX_DC$346_INV$533 + # A_FSB<22> & A_FSB<9> & !A_FSB<8> & + !$OpTx$$OpTx$FX_DC$346_INV$533 + # cnt/WS<0> & cnt/WS<1> & cnt/WS<2> & cnt/WS<3> & + !$OpTx$$OpTx$FX_DC$346_INV$533; QoSReady.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 4 | cnt/Er<0> +MACROCELL | 4 | 17 | RAMReady ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 22 | 0 | 9 | 0 | 14 | 0 | 16 | 0 | 11 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 0 | 15 | 0 | 12 | 6 | 15 | 0 | 8 | 7 | 15 | 0 | 10 | 0 | 3 | 6 | 17 | 6 | 1 +OUTPUTMC | 3 | 2 | 6 | 4 | 16 | 4 | 0 +INPUTS | 16 | ram/RS_FSM_FFd7 | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd4 | ram/RS_FSM_FFd5 | ram/RS_FSM_FFd6 | ram/RS_FSM_FFd2 | RefUrg | ram/RefDone | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | cs/nOverlay | ram/RASEN | EXP21_.EXP +INPUTMC | 13 | 4 | 0 | 0 | 0 | 0 | 2 | 0 | 6 | 2 | 10 | 0 | 17 | 0 | 1 | 0 | 12 | 0 | 5 | 3 | 9 | 2 | 5 | 4 | 6 | 4 | 16 +INPUTP | 3 | 54 | 36 | 30 +EXPORTS | 1 | 4 | 0 +IMPORTS | 1 | 4 | 16 +EQ | 44 | + !RAMReady.D = !ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd3 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd5 & + ram/RS_FSM_FFd6 + # !ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd3 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd5 & + ram/RS_FSM_FFd2 +;Imported pterms FB5_17 + # !ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd3 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd5 & !RAMReady + # A_FSB<23> & RefUrg & !ram/RefDone & + ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd4 & + !ram/RS_FSM_FFd5 + # A_FSB<22> & RefUrg & !ram/RefDone & + ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd4 & + !ram/RS_FSM_FFd5 + # RefUrg & !ram/RefDone & ram/RS_FSM_FFd7 & + !ram/RASEN & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd4 & + !ram/RS_FSM_FFd5 + # RefUrg & !ram/RefDone & !ram/RS_FSM_FFd7 & + ram/RS_FSM_FFd3 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd4 & + !ram/RS_FSM_FFd5 +;Imported pterms FB5_16 + # RefUrg & !ram/RefDone & nAS_FSB & + ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd4 & + !ram/RS_FSM_FFd5 & !fsb/ASrf + # A_FSB<23> & RefReq & !ram/RefDone & !nAS_FSB & + ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd3 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd5 & !ram/BACTr + # A_FSB<23> & RefReq & !ram/RefDone & + ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd3 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd5 & !ram/BACTr & fsb/ASrf + # A_FSB<22> & RefReq & !ram/RefDone & !nAS_FSB & + ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd3 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd5 & !ram/BACTr + # A_FSB<22> & RefReq & !ram/RefDone & + ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd3 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd5 & !ram/BACTr & fsb/ASrf; + RAMReady.CLK = FCLK; // GCK + RAMReady.EXP = RefUrg & !ram/RefDone & !ram/RS_FSM_FFd7 & + !ram/RS_FSM_FFd1 + # RefUrg & !ram/RefDone & nAS_FSB & + !ram/RS_FSM_FFd1 & !fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & + !ram/RS_FSM_FFd3 & ram/RASEN & !ram/RS_FSM_FFd1 +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 1 | 14 | cnt/Er<0> +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 22 | 0 | 10 | 0 | 14 | 0 | 16 | 0 | 12 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 0 | 15 | 0 | 13 | 6 | 15 | 0 | 9 | 7 | 15 | 0 | 11 | 0 | 4 | 6 | 17 | 6 | 1 INPUTS | 1 | E INPUTP | 1 | 37 EQ | 2 | @@ -1695,22 +1735,32 @@ EQ | 2 | cnt/Er<0>.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 9 | cnt/WS<3> +MACROCELL | 2 | 6 | cnt/WS<3> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 3 | 2 | 9 | 2 | 17 | 2 | 10 -INPUTS | 6 | nAS_FSB | cnt/WS<3> | fsb/ASrf | cnt/WS<0> | cnt/WS<1> | cnt/WS<2> -INPUTMC | 5 | 2 | 9 | 3 | 9 | 4 | 7 | 2 | 10 | 2 | 2 -INPUTP | 1 | 54 -EXPORTS | 1 | 2 | 10 -EQ | 5 | +OUTPUTMC | 3 | 2 | 6 | 2 | 16 | 2 | 7 +INPUTS | 18 | nAS_FSB | cnt/WS<3> | fsb/ASrf | A_FSB<22> | IONPReady | RAMReady | A_FSB<23> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<13> | nWE_FSB | nADoutLE1 | A_FSB<14> | cs/nOverlay.EXP +INPUTMC | 6 | 2 | 6 | 3 | 9 | 2 | 1 | 4 | 17 | 5 | 13 | 2 | 5 +INPUTP | 12 | 54 | 30 | 36 | 29 | 28 | 26 | 24 | 23 | 22 | 18 | 47 | 19 +EXPORTS | 1 | 2 | 7 +IMPORTS | 1 | 2 | 5 +EQ | 14 | cnt/WS<3>.T = nAS_FSB & cnt/WS<3> & !fsb/ASrf +;Imported pterms FB3_6 # !nAS_FSB & cnt/WS<0> & cnt/WS<1> & cnt/WS<2> # cnt/WS<0> & cnt/WS<1> & cnt/WS<2> & fsb/ASrf; cnt/WS<3>.CLK = FCLK; // GCK - cnt/WS<3>.EXP = nAS_FSB & !fsb/ASrf + cnt/WS<3>.EXP = !A_FSB<22> & !IONPReady & !RAMReady + # A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> + # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & A_FSB<13> & !nWE_FSB & !IONPReady & + !nADoutLE1 + # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & A_FSB<14> & !nWE_FSB & !IONPReady & + !nADoutLE1 GLOBALS | 1 | 2 | FCLK -MACROCELL | 1 | 15 | cnt/nIPL2r +MACROCELL | 1 | 13 | cnt/nIPL2r ATTRIBUTES | 8553216 | 0 OUTPUTMC | 2 | 7 | 14 | 7 | 15 INPUTS | 1 | nIPL2 @@ -1724,7 +1774,7 @@ MACROCELL | 5 | 7 | iobm/DoutOE ATTRIBUTES | 4358912 | 0 OUTPUTMC | 3 | 5 | 7 | 3 | 4 | 5 | 8 INPUTS | 10 | iobm/IOS_FSM_FFd7 | iobm/C8Mr | iobm/DoutOE | iobm/IOWRREQr | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | IOL0 | iobm/IORDREQr -INPUTMC | 10 | 5 | 2 | 1 | 14 | 5 | 7 | 1 | 8 | 5 | 3 | 1 | 10 | 1 | 9 | 5 | 0 | 7 | 0 | 1 | 12 +INPUTMC | 10 | 5 | 2 | 1 | 12 | 5 | 7 | 1 | 6 | 5 | 3 | 1 | 8 | 1 | 7 | 5 | 0 | 7 | 0 | 1 | 10 EXPORTS | 1 | 5 | 8 EQ | 13 | iobm/DoutOE.T = iobm/IOS_FSM_FFd7 & !iobm/C8Mr & !iobm/DoutOE & @@ -1742,9 +1792,9 @@ EQ | 13 | iobm/IORDREQr GLOBALS | 1 | 2 | C16M -MACROCELL | 1 | 13 | iobm/Er +MACROCELL | 1 | 11 | iobm/Er ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 5 | 4 | 0 | 13 | 5 | 6 | 5 | 5 +OUTPUTMC | 4 | 5 | 4 | 1 | 17 | 5 | 6 | 5 | 5 INPUTS | 1 | E INPUTP | 1 | 37 EQ | 2 | @@ -1756,7 +1806,7 @@ MACROCELL | 5 | 12 | iobm/IOS0 ATTRIBUTES | 8553216 | 0 OUTPUTMC | 2 | 5 | 12 | 3 | 4 INPUTS | 12 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd7 | iobm/C8Mr | nAoutOE | iobm/IORDREQr | iobm/IOWRREQr | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd2 | iobm/IOS0 -INPUTMC | 12 | 1 | 11 | 5 | 2 | 1 | 14 | 3 | 1 | 1 | 12 | 1 | 8 | 5 | 3 | 1 | 10 | 1 | 9 | 5 | 0 | 1 | 16 | 5 | 12 +INPUTMC | 12 | 1 | 9 | 5 | 2 | 1 | 12 | 3 | 1 | 1 | 10 | 1 | 6 | 5 | 3 | 1 | 8 | 1 | 7 | 5 | 0 | 1 | 15 | 5 | 12 EQ | 9 | iobm/IOS0.D = iobm/IOS_FSM_FFd1 # iobm/IOS_FSM_FFd7 & iobm/C8Mr @@ -1769,7 +1819,7 @@ EQ | 9 | iobm/IOS0.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 1 | 8 | iobm/IOWRREQr +MACROCELL | 1 | 6 | iobm/IOWRREQr ATTRIBUTES | 8553216 | 0 OUTPUTMC | 8 | 5 | 11 | 5 | 2 | 5 | 0 | 5 | 16 | 5 | 15 | 5 | 7 | 5 | 12 | 3 | 4 INPUTS | 1 | IOWRREQ @@ -1779,7 +1829,7 @@ EQ | 2 | iobm/IOWRREQr.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 1 | 7 | iobm/VPAr +MACROCELL | 1 | 5 | iobm/VPAr ATTRIBUTES | 8553216 | 0 OUTPUTMC | 1 | 5 | 1 INPUTS | 1 | nVPA_IOB @@ -1791,7 +1841,7 @@ GLOBALS | 1 | 2 | C8M MACROCELL | 3 | 6 | ram/BACTr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 7 | 4 | 1 | 4 | 8 | 0 | 0 | 4 | 11 | 4 | 15 | 4 | 5 | 4 | 6 +OUTPUTMC | 8 | 4 | 1 | 4 | 3 | 0 | 0 | 4 | 15 | 4 | 11 | 4 | 6 | 4 | 7 | 4 | 13 INPUTS | 2 | nAS_FSB | fsb/ASrf INPUTMC | 1 | 3 | 9 INPUTP | 1 | 54 @@ -1800,7 +1850,44 @@ EQ | 2 | ram/BACTr.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 12 | ALE0S +MACROCELL | 4 | 12 | ram/RASrr +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 2 | 4 | 13 | 7 | 5 +INPUTS | 11 | RefUrg | ram/RefDone | ram/RS_FSM_FFd3 | A_FSB<22> | ram/RS_FSM_FFd7 | A_FSB<23> | ram/RASEN | nAS_FSB | fsb/ASrf | RA_5_OBUF.EXP | RA_2_OBUF.EXP +INPUTMC | 8 | 0 | 12 | 0 | 5 | 0 | 0 | 4 | 0 | 4 | 6 | 3 | 9 | 4 | 11 | 4 | 13 +INPUTP | 3 | 30 | 36 | 54 +IMPORTS | 2 | 4 | 11 | 4 | 13 +EQ | 27 | + ram/RASrr.D = RefUrg & !ram/RefDone & ram/RS_FSM_FFd3 + # A_FSB<23> & RefUrg & !ram/RefDone & + ram/RS_FSM_FFd7 + # A_FSB<22> & RefUrg & !ram/RefDone & + ram/RS_FSM_FFd7 + # RefUrg & !ram/RefDone & ram/RS_FSM_FFd7 & + !ram/RASEN + # RefUrg & !ram/RefDone & nAS_FSB & + ram/RS_FSM_FFd7 & !fsb/ASrf +;Imported pterms FB5_12 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & + ram/RS_FSM_FFd7 & ram/RASEN + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & + ram/RS_FSM_FFd7 & ram/RASEN & fsb/ASrf + # A_FSB<22> & RefReq & !ram/RefDone & !nAS_FSB & + ram/RS_FSM_FFd7 & !ram/BACTr +;Imported pterms FB5_14 + # A_FSB<23> & RefReq & !ram/RefDone & !nAS_FSB & + ram/RS_FSM_FFd7 & !ram/BACTr + # A_FSB<23> & RefReq & !ram/RefDone & + ram/RS_FSM_FFd7 & !ram/BACTr & fsb/ASrf + # A_FSB<22> & RefReq & !ram/RefDone & + ram/RS_FSM_FFd7 & !ram/BACTr & fsb/ASrf + # !ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd3 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd5 & + !ram/RS_FSM_FFd6 & !ram/RS_FSM_FFd2 & ram/RASrr; + ram/RASrr.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 2 | 3 | ALE0S ATTRIBUTES | 8553216 | 0 OUTPUTMC | 1 | 5 | 14 INPUTS | 1 | iobs/TS_FSM_FFd2 @@ -1810,55 +1897,19 @@ EQ | 2 | ALE0S.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 4 | 12 | RAMReady +MACROCELL | 0 | 4 | cnt/Er<1> ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 2 | 7 -INPUTS | 11 | ram/RS_FSM_FFd8 | ram/RS_FSM_FFd4 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd5 | ram/RS_FSM_FFd6 | A_FSB<22> | RefUrg | ram/RefDone | A_FSB<23> | ram/RASEN | RA_5_OBUF.EXP -INPUTMC | 9 | 4 | 0 | 2 | 17 | 0 | 2 | 2 | 4 | 2 | 3 | 0 | 11 | 0 | 5 | 4 | 9 | 4 | 11 -INPUTP | 2 | 30 | 36 -IMPORTS | 1 | 4 | 11 -EQ | 26 | - !RAMReady.D = !ram/RS_FSM_FFd8 & !ram/RS_FSM_FFd4 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd5 & !ram/RS_FSM_FFd6 - # A_FSB<23> & RefUrg & !ram/RefDone & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd5 & !ram/RS_FSM_FFd6 - # A_FSB<22> & RefUrg & !ram/RefDone & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd5 & !ram/RS_FSM_FFd6 - # RefUrg & !ram/RefDone & !ram/RS_FSM_FFd8 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd5 & !ram/RS_FSM_FFd6 - # RefUrg & !ram/RefDone & !ram/RASEN & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd5 & !ram/RS_FSM_FFd6 -;Imported pterms FB5_12 - # RefUrg & !ram/RefDone & nAS_FSB & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd5 & !ram/RS_FSM_FFd6 & !fsb/ASrf - # A_FSB<23> & RefReq & !ram/RefDone & !nAS_FSB & - !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd5 & - !ram/RS_FSM_FFd6 & !ram/BACTr - # A_FSB<23> & RefReq & !ram/RefDone & - !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd5 & - !ram/RS_FSM_FFd6 & !ram/BACTr & fsb/ASrf - # A_FSB<22> & RefReq & !ram/RefDone & !nAS_FSB & - !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd5 & - !ram/RS_FSM_FFd6 & !ram/BACTr - # A_FSB<22> & RefReq & !ram/RefDone & - !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd5 & - !ram/RS_FSM_FFd6 & !ram/BACTr & fsb/ASrf; - RAMReady.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 0 | 3 | cnt/Er<1> -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 21 | 0 | 9 | 0 | 14 | 0 | 16 | 0 | 11 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 0 | 15 | 0 | 12 | 6 | 15 | 0 | 8 | 7 | 15 | 0 | 10 | 6 | 17 | 6 | 1 +OUTPUTMC | 21 | 0 | 10 | 0 | 14 | 0 | 16 | 0 | 12 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 0 | 15 | 0 | 13 | 6 | 15 | 0 | 9 | 7 | 15 | 0 | 11 | 6 | 17 | 6 | 1 INPUTS | 1 | cnt/Er<0> -INPUTMC | 1 | 0 | 4 +INPUTMC | 1 | 1 | 14 EQ | 2 | cnt/Er<1>.D = cnt/Er<0>; cnt/Er<1>.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 11 | cnt/LTimerTC +MACROCELL | 3 | 12 | cnt/LTimerTC ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 7 | 15 | 0 | 10 +OUTPUTMC | 2 | 7 | 15 | 0 | 11 INPUTS | 12 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<10> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/LTimer<9> | cnt/LTimer<11> INPUTMC | 12 | 3 | 17 | 3 | 15 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 EQ | 5 | @@ -1871,7 +1922,7 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 3 | 9 | fsb/ASrf ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 38 | 3 | 1 | 3 | 14 | 2 | 15 | 2 | 7 | 7 | 15 | 4 | 14 | 7 | 11 | 3 | 10 | 2 | 8 | 2 | 6 | 4 | 17 | 2 | 16 | 4 | 8 | 4 | 7 | 2 | 11 | 2 | 3 | 0 | 17 | 6 | 0 | 7 | 12 | 3 | 13 | 0 | 0 | 2 | 12 | 7 | 8 | 2 | 9 | 3 | 6 | 4 | 11 | 4 | 16 | 4 | 1 | 2 | 14 | 6 | 16 | 2 | 10 | 4 | 5 | 4 | 6 | 4 | 15 | 7 | 3 | 7 | 7 | 7 | 9 | 7 | 17 +OUTPUTMC | 40 | 3 | 1 | 3 | 14 | 2 | 14 | 2 | 5 | 7 | 15 | 0 | 0 | 7 | 11 | 3 | 10 | 2 | 7 | 4 | 9 | 4 | 17 | 2 | 15 | 4 | 5 | 2 | 8 | 0 | 17 | 3 | 11 | 2 | 10 | 6 | 0 | 7 | 12 | 2 | 9 | 2 | 2 | 2 | 11 | 7 | 8 | 4 | 15 | 2 | 6 | 3 | 6 | 4 | 12 | 4 | 1 | 2 | 13 | 6 | 16 | 3 | 13 | 4 | 6 | 4 | 7 | 4 | 8 | 4 | 11 | 4 | 13 | 7 | 3 | 7 | 7 | 7 | 9 | 7 | 17 INPUTS | 1 | nAS_FSB INPUTP | 1 | 54 EQ | 2 | @@ -1879,27 +1930,27 @@ EQ | 2 | !fsb/ASrf.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 12 | iobs/Clear1 +MACROCELL | 2 | 2 | iobs/Clear1 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 5 | 13 | 2 | 13 -INPUTS | 10 | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | A_FSB<23> | A_FSB<22> | nADoutLE1 | nAS_FSB | fsb/ASrf | A_FSB<21> | A_FSB<20> | cs/nOverlay -INPUTMC | 5 | 2 | 5 | 7 | 2 | 5 | 13 | 3 | 9 | 2 | 7 -INPUTP | 5 | 36 | 30 | 54 | 29 | 28 -EXPORTS | 1 | 2 | 13 +OUTPUTMC | 2 | 5 | 13 | 2 | 1 +INPUTS | 17 | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | iobs/Sent | IONPReady | iobs/IODONEr | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<13> | nWE_FSB +INPUTMC | 6 | 2 | 4 | 7 | 2 | 2 | 14 | 2 | 1 | 1 | 3 | 3 | 9 +INPUTP | 11 | 54 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 18 | 47 +EXPORTS | 1 | 2 | 1 EQ | 8 | iobs/Clear1.D = !iobs/TS_FSM_FFd1 & iobs/TS_FSM_FFd2; iobs/Clear1.CLK = FCLK; // GCK - iobs/Clear1.EXP = !A_FSB<23> & !A_FSB<22> & !iobs/TS_FSM_FFd2 & - nADoutLE1 - # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & - nADoutLE1 - # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & - !iobs/TS_FSM_FFd2 & nADoutLE1 + iobs/Clear1.EXP = !iobs/Sent & !IONPReady + # !IONPReady & !iobs/IODONEr + # nAS_FSB & !fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & + !nWE_FSB & !IONPReady GLOBALS | 1 | 2 | FCLK -MACROCELL | 1 | 5 | iobs/IODONEr +MACROCELL | 1 | 3 | iobs/IODONEr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 4 | 14 +OUTPUTMC | 1 | 2 | 2 INPUTS | 1 | IODONE INPUTMC | 1 | 5 | 9 EQ | 2 | @@ -1911,68 +1962,26 @@ MACROCELL | 3 | 8 | nRESout ATTRIBUTES | 8553216 | 0 OUTPUTMC | 1 | 3 | 7 INPUTS | 2 | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 -INPUTMC | 2 | 7 | 15 | 0 | 10 +INPUTMC | 2 | 7 | 15 | 0 | 11 EQ | 2 | nRESout.D = cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2; nRESout.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 1 | 3 | ram/RASrf +MACROCELL | 0 | 3 | ram/RASrf ATTRIBUTES | 8553216 | 0 OUTPUTMC | 1 | 7 | 5 -INPUTS | 1 | ram/RS_FSM_FFd6 -INPUTMC | 1 | 2 | 3 +INPUTS | 2 | ram/RS_FSM_FFd5 | ram/RS_FSM_FFd6 +INPUTMC | 2 | 2 | 10 | 0 | 17 EQ | 2 | - ram/RASrf.D = ram/RS_FSM_FFd6; + !ram/RASrf.D = !ram/RS_FSM_FFd5 & !ram/RS_FSM_FFd6; !ram/RASrf.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 4 | 17 | ram/RASrr -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 7 | 5 | 4 | 0 -INPUTS | 13 | ram/RS_FSM_FFd7 | RefUrg | ram/RefDone | ram/RS_FSM_FFd4 | ram/RS_FSM_FFd8 | ram/RS_FSM_FFd1 | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | cs/nOverlay | ram/RASEN | EXP19_.EXP -INPUTMC | 10 | 0 | 17 | 0 | 11 | 0 | 5 | 2 | 17 | 4 | 0 | 0 | 2 | 3 | 9 | 2 | 7 | 4 | 9 | 4 | 16 -INPUTP | 3 | 54 | 36 | 30 -EXPORTS | 1 | 4 | 0 -IMPORTS | 1 | 4 | 16 -EQ | 31 | - ram/RASrr.D = ram/RS_FSM_FFd7 - # RefUrg & !ram/RefDone & ram/RS_FSM_FFd4 -;Imported pterms FB5_17 - # A_FSB<23> & RefUrg & !ram/RefDone & - ram/RS_FSM_FFd8 - # A_FSB<22> & RefUrg & !ram/RefDone & - ram/RS_FSM_FFd8 - # RefUrg & !ram/RefDone & ram/RS_FSM_FFd8 & - !ram/RASEN - # RefUrg & !ram/RefDone & nAS_FSB & - ram/RS_FSM_FFd8 & !fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & - ram/RS_FSM_FFd8 & ram/RASEN -;Imported pterms FB5_16 - # A_FSB<23> & RefReq & !ram/RefDone & !nAS_FSB & - ram/RS_FSM_FFd8 & !ram/BACTr - # A_FSB<23> & RefReq & !ram/RefDone & - ram/RS_FSM_FFd8 & !ram/BACTr & fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & - ram/RS_FSM_FFd8 & ram/RASEN & fsb/ASrf - # A_FSB<22> & RefReq & !ram/RefDone & !nAS_FSB & - ram/RS_FSM_FFd8 & !ram/BACTr - # A_FSB<22> & RefReq & !ram/RefDone & - ram/RS_FSM_FFd8 & !ram/BACTr & fsb/ASrf; - ram/RASrr.CLK = FCLK; // GCK - ram/RASrr.EXP = RefUrg & !ram/RefDone & !ram/RS_FSM_FFd8 & - !ram/RS_FSM_FFd1 - # RefUrg & !ram/RefDone & nAS_FSB & - !ram/RS_FSM_FFd1 & !fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & - !ram/RS_FSM_FFd4 & ram/RASEN & !ram/RS_FSM_FFd1 -GLOBALS | 1 | 2 | FCLK - MACROCELL | 6 | 5 | RA_0_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 3 | ram/RASEL | A_FSB<1> | A_FSB<9> -INPUTMC | 1 | 2 | 6 +INPUTMC | 1 | 4 | 9 INPUTP | 2 | 149 | 12 EQ | 2 | RA<0> = ram/RASEL & A_FSB<1> @@ -1981,7 +1990,7 @@ EQ | 2 | MACROCELL | 6 | 8 | RA_10_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 3 | A_FSB<17> | ram/RASEL | A_FSB<7> -INPUTMC | 1 | 2 | 6 +INPUTMC | 1 | 4 | 9 INPUTP | 2 | 23 | 8 EQ | 2 | RA<10> = A_FSB<17> & !ram/RASEL @@ -1991,7 +2000,7 @@ MACROCELL | 6 | 1 | RA_1_OBUF ATTRIBUTES | 264962 | 0 OUTPUTMC | 1 | 6 | 0 INPUTS | 16 | A_FSB<10> | ram/RASEL | A_FSB<2> | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/TimerTC | cnt/IS_FSM_FFd2 | cnt/Er<0> | cnt/Er<1> -INPUTMC | 14 | 2 | 6 | 3 | 17 | 3 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 0 | 8 | 0 | 10 | 0 | 4 | 0 | 3 +INPUTMC | 14 | 4 | 9 | 3 | 17 | 3 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 0 | 9 | 0 | 11 | 1 | 14 | 0 | 4 INPUTP | 2 | 13 | 153 EXPORTS | 1 | 6 | 0 EQ | 6 | @@ -2004,93 +2013,76 @@ EQ | 6 | MACROCELL | 4 | 13 | RA_2_OBUF ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 4 | 14 -INPUTS | 5 | A_FSB<16> | ram/RASEL | A_FSB<7> | A_FSB<13> | A_FSB<5> -INPUTMC | 1 | 2 | 6 -INPUTP | 4 | 22 | 8 | 18 | 4 -EXPORTS | 1 | 4 | 14 -EQ | 4 | +OUTPUTMC | 1 | 4 | 12 +INPUTS | 18 | A_FSB<16> | ram/RASEL | A_FSB<23> | RefReq | ram/RefDone | nAS_FSB | ram/RS_FSM_FFd7 | ram/BACTr | fsb/ASrf | A_FSB<22> | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd4 | ram/RS_FSM_FFd5 | ram/RS_FSM_FFd6 | ram/RS_FSM_FFd2 | ram/RASrr | RA_6_OBUF.EXP +INPUTMC | 14 | 4 | 9 | 0 | 13 | 0 | 5 | 4 | 0 | 3 | 6 | 3 | 9 | 0 | 0 | 0 | 2 | 0 | 6 | 2 | 10 | 0 | 17 | 0 | 1 | 4 | 12 | 4 | 14 +INPUTP | 4 | 22 | 36 | 54 | 30 +EXPORTS | 1 | 4 | 12 +IMPORTS | 1 | 4 | 14 +EQ | 12 | RA<2> = A_FSB<16> & !ram/RASEL +;Imported pterms FB5_15 # ram/RASEL & A_FSB<7>; - RA_2_OBUF.EXP = A_FSB<13> & !ram/RASEL - # ram/RASEL & A_FSB<5> + RA_2_OBUF.EXP = A_FSB<23> & RefReq & !ram/RefDone & !nAS_FSB & + ram/RS_FSM_FFd7 & !ram/BACTr + # A_FSB<23> & RefReq & !ram/RefDone & + ram/RS_FSM_FFd7 & !ram/BACTr & fsb/ASrf + # A_FSB<22> & RefReq & !ram/RefDone & + ram/RS_FSM_FFd7 & !ram/BACTr & fsb/ASrf + # !ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd3 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd5 & + !ram/RS_FSM_FFd6 & !ram/RS_FSM_FFd2 & ram/RASrr MACROCELL | 4 | 8 | RA_4_OBUF ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 4 | 9 -INPUTS | 11 | RefUrg | ram/RefDone | nAS_FSB | ram/RS_FSM_FFd1 | fsb/ASrf | A_FSB<23> | RefReq | ram/RS_FSM_FFd4 | ram/BACTr | A_FSB<22> | cnt/WS<0>.EXP -INPUTMC | 8 | 0 | 11 | 0 | 5 | 0 | 2 | 3 | 9 | 0 | 12 | 2 | 17 | 3 | 6 | 4 | 7 -INPUTP | 3 | 54 | 36 | 30 -EXPORTS | 1 | 4 | 9 -IMPORTS | 1 | 4 | 7 -EQ | 13 | - RA<4> = ;Imported pterms FB5_8 - A_FSB<11> & !ram/RASEL +OUTPUTMC | 1 | 4 | 7 +INPUTS | 10 | A_FSB<11> | ram/RASEL | A_FSB<3> | A_FSB<23> | A_FSB<22> | RefUrg | ram/RS_FSM_FFd7 | nAS_FSB | ram/RASEN | fsb/ASrf +INPUTMC | 5 | 4 | 9 | 0 | 12 | 4 | 0 | 4 | 6 | 3 | 9 +INPUTP | 5 | 15 | 155 | 36 | 30 | 54 +EXPORTS | 1 | 4 | 7 +EQ | 8 | + RA<4> = A_FSB<11> & !ram/RASEL # ram/RASEL & A_FSB<3>; - RA_4_OBUF.EXP = RefUrg & !ram/RefDone & nAS_FSB & - !ram/RS_FSM_FFd1 & !fsb/ASrf - # A_FSB<23> & RefReq & !ram/RefDone & !nAS_FSB & - !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/BACTr - # A_FSB<23> & RefReq & !ram/RefDone & - !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - # A_FSB<22> & RefReq & !ram/RefDone & !nAS_FSB & - !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/BACTr - # A_FSB<22> & RefReq & !ram/RefDone & - !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + RA_4_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & !RefUrg & + ram/RS_FSM_FFd7 + # !A_FSB<23> & !A_FSB<22> & !nAS_FSB & + ram/RS_FSM_FFd7 & ram/RASEN + # !A_FSB<23> & !A_FSB<22> & ram/RS_FSM_FFd7 & + ram/RASEN & fsb/ASrf MACROCELL | 4 | 11 | RA_5_OBUF ATTRIBUTES | 264962 | 0 OUTPUTMC | 1 | 4 | 12 -INPUTS | 13 | RefUrg | ram/RefDone | nAS_FSB | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd5 | ram/RS_FSM_FFd6 | fsb/ASrf | A_FSB<23> | RefReq | ram/RS_FSM_FFd4 | ram/BACTr | A_FSB<22> | RA_11_OBUF.EXP -INPUTMC | 10 | 0 | 11 | 0 | 5 | 0 | 2 | 2 | 4 | 2 | 3 | 3 | 9 | 0 | 12 | 2 | 17 | 3 | 6 | 4 | 10 -INPUTP | 3 | 54 | 36 | 30 +INPUTS | 13 | A_FSB<12> | ram/RASEL | A_FSB<4> | A_FSB<23> | A_FSB<22> | cs/nOverlay | nAS_FSB | ram/RS_FSM_FFd7 | ram/RASEN | fsb/ASrf | RefReq | ram/RefDone | ram/BACTr +INPUTMC | 8 | 4 | 9 | 2 | 5 | 4 | 0 | 4 | 6 | 3 | 9 | 0 | 13 | 0 | 5 | 3 | 6 +INPUTP | 5 | 17 | 157 | 36 | 30 | 54 EXPORTS | 1 | 4 | 12 -IMPORTS | 1 | 4 | 10 -EQ | 17 | - RA<5> = ;Imported pterms FB5_11 - A_FSB<12> & !ram/RASEL +EQ | 8 | + RA<5> = A_FSB<12> & !ram/RASEL # ram/RASEL & A_FSB<4>; - RA_5_OBUF.EXP = RefUrg & !ram/RefDone & nAS_FSB & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd5 & !ram/RS_FSM_FFd6 & !fsb/ASrf - # A_FSB<23> & RefReq & !ram/RefDone & !nAS_FSB & - !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd5 & - !ram/RS_FSM_FFd6 & !ram/BACTr - # A_FSB<23> & RefReq & !ram/RefDone & - !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd5 & - !ram/RS_FSM_FFd6 & !ram/BACTr & fsb/ASrf + RA_5_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & + ram/RS_FSM_FFd7 & ram/RASEN + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & + ram/RS_FSM_FFd7 & ram/RASEN & fsb/ASrf # A_FSB<22> & RefReq & !ram/RefDone & !nAS_FSB & - !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd5 & - !ram/RS_FSM_FFd6 & !ram/BACTr - # A_FSB<22> & RefReq & !ram/RefDone & - !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd5 & - !ram/RS_FSM_FFd6 & !ram/BACTr & fsb/ASrf + ram/RS_FSM_FFd7 & !ram/BACTr MACROCELL | 4 | 14 | RA_6_OBUF ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 4 | 15 -INPUTS | 17 | iobs/Sent | IONPReady | iobs/IODONEr | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<13> | nWE_FSB | A_FSB<14> | RA_2_OBUF.EXP -INPUTMC | 5 | 2 | 15 | 4 | 15 | 1 | 5 | 3 | 9 | 4 | 13 -INPUTP | 12 | 54 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 18 | 47 | 19 -EXPORTS | 1 | 4 | 15 -IMPORTS | 1 | 4 | 13 -EQ | 12 | - RA<6> = ;Imported pterms FB5_14 - A_FSB<13> & !ram/RASEL +OUTPUTMC | 1 | 4 | 13 +INPUTS | 4 | A_FSB<13> | ram/RASEL | A_FSB<5> | A_FSB<7> +INPUTMC | 1 | 4 | 9 +INPUTP | 3 | 18 | 4 | 8 +EXPORTS | 1 | 4 | 13 +EQ | 3 | + RA<6> = A_FSB<13> & !ram/RASEL # ram/RASEL & A_FSB<5>; - RA_6_OBUF.EXP = !iobs/Sent & !IONPReady - # !IONPReady & !iobs/IODONEr - # nAS_FSB & !fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & - !nWE_FSB & !IONPReady - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & - !nWE_FSB & !IONPReady + RA_6_OBUF.EXP = ram/RASEL & A_FSB<7> MACROCELL | 6 | 4 | RA_7_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 3 | A_FSB<14> | ram/RASEL | A_FSB<6> -INPUTMC | 1 | 2 | 6 +INPUTMC | 1 | 4 | 9 INPUTP | 2 | 19 | 6 EQ | 2 | RA<7> = A_FSB<14> & !ram/RASEL @@ -2099,7 +2091,7 @@ EQ | 2 | MACROCELL | 6 | 7 | RA_8_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 3 | A_FSB<18> | ram/RASEL | A_FSB<21> -INPUTMC | 1 | 2 | 6 +INPUTMC | 1 | 4 | 9 INPUTP | 2 | 24 | 29 EQ | 2 | RA<8> = A_FSB<21> & ram/RASEL @@ -2108,7 +2100,7 @@ EQ | 2 | MACROCELL | 6 | 10 | RA_9_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 3 | A_FSB<15> | ram/RASEL | A_FSB<8> -INPUTMC | 1 | 2 | 6 +INPUTMC | 1 | 4 | 9 INPUTP | 2 | 21 | 11 EQ | 2 | RA<9> = A_FSB<15> & !ram/RASEL @@ -2116,58 +2108,53 @@ EQ | 2 | MACROCELL | 2 | 16 | nROMWE_OBUF ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 2 | 15 -INPUTS | 15 | nWE_FSB | nAS_FSB | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<13> | iobs/Sent | nADoutLE1 | fsb/ASrf | A_FSB<14> -INPUTMC | 3 | 2 | 15 | 5 | 13 | 3 | 9 -INPUTP | 12 | 47 | 54 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 18 | 19 -EXPORTS | 1 | 2 | 15 -EQ | 13 | +OUTPUTMC | 1 | 2 | 17 +INPUTS | 12 | nWE_FSB | nAS_FSB | A_FSB<22> | A_FSB<11> | $OpTx$$OpTx$FX_DC$346_INV$533 | A_FSB<10> | A_FSB<9> | A_FSB<8> | cnt/WS<0> | cnt/WS<1> | cnt/WS<2> | cnt/WS<3> +INPUTMC | 5 | 3 | 13 | 3 | 11 | 2 | 9 | 2 | 7 | 2 | 6 +INPUTP | 7 | 47 | 54 | 30 | 15 | 13 | 12 | 11 +EXPORTS | 1 | 2 | 17 +EQ | 9 | !nROMWE = !nWE_FSB & !nAS_FSB; - nROMWE_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & - !iobs/Sent & !nWE_FSB & !nAS_FSB & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & - !iobs/Sent & !nWE_FSB & fsb/ASrf & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & - !iobs/Sent & !nWE_FSB & !nAS_FSB & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & - !iobs/Sent & !nWE_FSB & fsb/ASrf & nADoutLE1 + nROMWE_OBUF.EXP = A_FSB<22> & !A_FSB<11> & + !$OpTx$$OpTx$FX_DC$346_INV$533 + # A_FSB<22> & !A_FSB<10> & + !$OpTx$$OpTx$FX_DC$346_INV$533 + # A_FSB<22> & A_FSB<9> & !A_FSB<8> & + !$OpTx$$OpTx$FX_DC$346_INV$533 + # cnt/WS<0> & cnt/WS<1> & cnt/WS<2> & cnt/WS<3> & + !$OpTx$$OpTx$FX_DC$346_INV$533 MACROCELL | 5 | 14 | nADoutLE0_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 2 | ALE0M | ALE0S -INPUTMC | 2 | 5 | 15 | 3 | 12 +INPUTMC | 2 | 5 | 15 | 2 | 3 EQ | 1 | nADoutLE0 = !ALE0M & !ALE0S; MACROCELL | 4 | 4 | nCAS_OBUF ATTRIBUTES | 8684290 | 0 -INPUTS | 9 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd1 | ram/DTACKr | ram/RS_FSM_FFd5 | RefUrg | ram/RS_FSM_FFd7 | EXP17_.EXP | nOE_OBUF.EXP -INPUTMC | 9 | 0 | 1 | 0 | 0 | 0 | 2 | 1 | 4 | 2 | 4 | 0 | 11 | 0 | 17 | 4 | 3 | 4 | 5 +INPUTS | 8 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | RefUrg | ram/RS_FSM_FFd6 | ram/RefDone | ram/RS_FSM_FFd3 | EXP18_.EXP | nOE_OBUF.EXP +INPUTMC | 8 | 0 | 1 | 0 | 2 | 0 | 12 | 0 | 17 | 0 | 5 | 0 | 0 | 4 | 3 | 4 | 5 IMPORTS | 2 | 4 | 3 | 4 | 5 -EQ | 21 | +EQ | 20 | nCAS.D = ram/RS_FSM_FFd1 # ram/RS_FSM_FFd2 - # ram/RS_FSM_FFd3 - # !RefUrg & ram/RS_FSM_FFd7 - # ram/DTACKr & ram/RS_FSM_FFd5 + # !RefUrg & ram/RS_FSM_FFd3 + # !RefUrg & ram/RS_FSM_FFd6 + # ram/RefDone & ram/RS_FSM_FFd6 ;Imported pterms FB5_4 - # !RefUrg & ram/RS_FSM_FFd4 - # ram/RefDone & ram/RS_FSM_FFd8 - # ram/RefDone & ram/RS_FSM_FFd4 # ram/RefDone & ram/RS_FSM_FFd7 - # !RefUrg & !RefReq & ram/RS_FSM_FFd8 + # ram/RefDone & ram/RS_FSM_FFd3 + # ram/RS_FSM_FFd4 & ram/DTACKr + # !RefUrg & !RefReq & ram/RS_FSM_FFd7 + # !RefUrg & ram/RS_FSM_FFd7 & ram/BACTr ;Imported pterms FB5_6 - # !RefUrg & ram/RS_FSM_FFd8 & ram/BACTr # !A_FSB<23> & !A_FSB<22> & !RefUrg & - ram/RS_FSM_FFd8 - # !RefUrg & nAS_FSB & ram/RS_FSM_FFd8 & !fsb/ASrf + ram/RS_FSM_FFd7 + # !RefUrg & nAS_FSB & ram/RS_FSM_FFd7 & !fsb/ASrf # !A_FSB<23> & !A_FSB<22> & !nAS_FSB & - ram/RS_FSM_FFd8 & ram/RASEN - # !A_FSB<23> & !A_FSB<22> & ram/RS_FSM_FFd8 & + ram/RS_FSM_FFd7 & ram/RASEN + # !A_FSB<23> & !A_FSB<22> & ram/RS_FSM_FFd7 & ram/RASEN & fsb/ASrf; !nCAS.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK @@ -2176,7 +2163,7 @@ MACROCELL | 5 | 16 | nDinLE_OBUF ATTRIBUTES | 8684290 | 0 OUTPUTMC | 1 | 5 | 17 INPUTS | 10 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | IOBERR | IODONE | iobm/IOS_FSM_FFd7 | iobm/IOWRREQr | nAoutOE | IOACT | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 -INPUTMC | 10 | 5 | 3 | 1 | 10 | 1 | 17 | 5 | 9 | 5 | 2 | 1 | 8 | 3 | 1 | 5 | 17 | 1 | 11 | 1 | 16 +INPUTMC | 10 | 5 | 3 | 1 | 8 | 1 | 16 | 5 | 9 | 5 | 2 | 1 | 6 | 3 | 1 | 5 | 17 | 1 | 9 | 1 | 15 EXPORTS | 1 | 5 | 17 EQ | 6 | !nDinLE.D = !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4; @@ -2190,24 +2177,23 @@ GLOBALS | 1 | 2 | C16M MACROCELL | 4 | 5 | nOE_OBUF ATTRIBUTES | 8684290 | 0 OUTPUTMC | 1 | 4 | 4 -INPUTS | 9 | RefUrg | ram/RS_FSM_FFd8 | ram/BACTr | A_FSB<23> | A_FSB<22> | nAS_FSB | fsb/ASrf | ram/RASEN | EXP18_.EXP -INPUTMC | 6 | 0 | 11 | 4 | 0 | 3 | 6 | 3 | 9 | 4 | 9 | 4 | 6 -INPUTP | 3 | 36 | 30 | 54 +INPUTS | 9 | nWE_FSB | A_FSB<23> | A_FSB<22> | RefUrg | ram/RS_FSM_FFd7 | nAS_FSB | fsb/ASrf | ram/RASEN | ram/RASEN.EXP +INPUTMC | 5 | 0 | 12 | 4 | 0 | 3 | 9 | 4 | 6 | 4 | 6 +INPUTP | 4 | 47 | 36 | 30 | 54 EXPORTS | 1 | 4 | 4 IMPORTS | 1 | 4 | 6 -EQ | 13 | - nOE.D = ;Imported pterms FB5_7 - !nWE_FSB +EQ | 12 | + nOE.D = !nWE_FSB +;Imported pterms FB5_7 # nAS_FSB & !fsb/ASrf # ram/DTACKr & ram/BACTr; nOE.CLK = FCLK; // GCK - nOE_OBUF.EXP = !RefUrg & ram/RS_FSM_FFd8 & ram/BACTr - # !A_FSB<23> & !A_FSB<22> & !RefUrg & - ram/RS_FSM_FFd8 - # !RefUrg & nAS_FSB & ram/RS_FSM_FFd8 & !fsb/ASrf + nOE_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & !RefUrg & + ram/RS_FSM_FFd7 + # !RefUrg & nAS_FSB & ram/RS_FSM_FFd7 & !fsb/ASrf # !A_FSB<23> & !A_FSB<22> & !nAS_FSB & - ram/RS_FSM_FFd8 & ram/RASEN - # !A_FSB<23> & !A_FSB<22> & ram/RS_FSM_FFd8 & + ram/RS_FSM_FFd7 & ram/RASEN + # !A_FSB<23> & !A_FSB<22> & ram/RS_FSM_FFd7 & ram/RASEN & fsb/ASrf GLOBALS | 1 | 2 | FCLK @@ -2223,7 +2209,7 @@ MACROCELL | 7 | 1 | RA_11_OBUF$BUF0 ATTRIBUTES | 264962 | 0 OUTPUTMC | 1 | 7 | 0 INPUTS | 12 | A_FSB<23> | A_FSB<22> | A_FSB<19> | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<21> | A_FSB<20> | cs/nOverlay | iobs/TS_FSM_FFd2.EXP -INPUTMC | 4 | 7 | 2 | 5 | 13 | 2 | 7 | 7 | 2 +INPUTMC | 4 | 7 | 2 | 5 | 13 | 2 | 5 | 7 | 2 INPUTP | 8 | 36 | 30 | 26 | 24 | 23 | 22 | 29 | 28 EXPORTS | 1 | 7 | 0 IMPORTS | 1 | 7 | 2 @@ -2244,9 +2230,9 @@ EQ | 13 | MACROCELL | 5 | 13 | nADoutLE1_OBUF ATTRIBUTES | 8815366 | 0 -OUTPUTMC | 24 | 2 | 15 | 7 | 16 | 2 | 7 | 7 | 2 | 2 | 11 | 7 | 0 | 7 | 13 | 2 | 13 | 7 | 8 | 5 | 13 | 2 | 10 | 2 | 12 | 2 | 14 | 2 | 16 | 7 | 1 | 7 | 3 | 7 | 4 | 7 | 7 | 7 | 9 | 7 | 11 | 7 | 12 | 7 | 14 | 7 | 15 | 7 | 17 +OUTPUTMC | 23 | 2 | 14 | 7 | 16 | 2 | 6 | 7 | 2 | 2 | 11 | 7 | 0 | 7 | 13 | 2 | 12 | 7 | 8 | 5 | 13 | 2 | 10 | 2 | 13 | 2 | 15 | 7 | 1 | 7 | 3 | 7 | 4 | 7 | 7 | 7 | 9 | 7 | 11 | 7 | 12 | 7 | 14 | 7 | 15 | 7 | 17 INPUTS | 3 | iobs/Clear1 | nADoutLE1 | iobs/Load1 -INPUTMC | 3 | 2 | 12 | 5 | 13 | 2 | 11 +INPUTMC | 3 | 2 | 2 | 5 | 13 | 2 | 11 EQ | 3 | !nADoutLE1.D = iobs/Load1 # !iobs/Clear1 & !nADoutLE1; @@ -2256,8 +2242,8 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 3 | 1 | nAoutOE_OBUF ATTRIBUTES | 8815366 | 0 OUTPUTMC | 13 | 5 | 1 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 2 | 5 | 0 | 5 | 17 | 5 | 15 | 5 | 12 | 3 | 4 | 3 | 2 | 5 | 16 | 3 | 0 -INPUTS | 23 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<14> | A_FSB<11> | A_FSB<10> | nWE_FSB | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | A_FSB<9> | fsb/ASrf | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | A_FSB<8> | nAS_FSB | EXP13_.EXP -INPUTMC | 5 | 7 | 15 | 0 | 10 | 3 | 9 | 6 | 17 | 3 | 2 +INPUTS | 23 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<14> | A_FSB<11> | A_FSB<10> | nWE_FSB | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | A_FSB<9> | fsb/ASrf | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | A_FSB<8> | nAS_FSB | EXP14_.EXP +INPUTMC | 5 | 7 | 15 | 0 | 11 | 3 | 9 | 6 | 17 | 3 | 2 INPUTP | 18 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 21 | 18 | 17 | 19 | 15 | 13 | 47 | 12 | 11 | 54 EXPORTS | 1 | 3 | 0 IMPORTS | 1 | 3 | 2 @@ -2305,7 +2291,7 @@ EQ | 3 | MACROCELL | 3 | 4 | nDoutOE_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 5 | iobm/DoutOE | nAoutOE | iobm/IORDREQr | iobm/IOS0 | iobm/IOWRREQr -INPUTMC | 5 | 5 | 7 | 3 | 1 | 1 | 12 | 5 | 12 | 1 | 8 +INPUTMC | 5 | 5 | 7 | 3 | 1 | 1 | 10 | 5 | 12 | 1 | 6 EQ | 3 | !nDoutOE = iobm/DoutOE & !nAoutOE # !iobm/IORDREQr & iobm/IOS0 & !iobm/IOWRREQr & @@ -2314,22 +2300,22 @@ EQ | 3 | MACROCELL | 7 | 5 | nRAMLWE_OBUF ATTRIBUTES | 264962 | 0 OUTPUTMC | 1 | 7 | 4 -INPUTS | 10 | nWE_FSB | nLDS_FSB | ram/RASEL | ram/RASrf | ram/RASrr | A_FSB<23> | A_FSB<22> | cs/nOverlay | nAS_FSB | ram/RASEN -INPUTMC | 5 | 2 | 6 | 1 | 3 | 4 | 17 | 2 | 7 | 4 | 9 +INPUTS | 10 | nWE_FSB | nLDS_FSB | ram/RASEL | ram/RASrr | ram/RASrf | A_FSB<23> | A_FSB<22> | cs/nOverlay | nAS_FSB | ram/RASEN +INPUTMC | 5 | 4 | 9 | 4 | 12 | 0 | 3 | 2 | 5 | 4 | 6 INPUTP | 5 | 47 | 49 | 36 | 30 | 54 EXPORTS | 1 | 7 | 4 EQ | 5 | !nRAMLWE = !nWE_FSB & !nLDS_FSB & ram/RASEL; - nRAMLWE_OBUF.EXP = ram/RASrf - # ram/RASrr + nRAMLWE_OBUF.EXP = ram/RASrr + # ram/RASrf # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & ram/RASEN MACROCELL | 7 | 7 | nRAMUWE_OBUF ATTRIBUTES | 264962 | 0 OUTPUTMC | 1 | 7 | 8 -INPUTS | 12 | A_FSB<22> | A_FSB<21> | iobs/Sent | nWE_FSB | nAS_FSB | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | nADoutLE1 | fsb/ASrf | A_FSB<20> | cs/nOverlay | EXP21_.EXP -INPUTMC | 7 | 2 | 15 | 2 | 5 | 7 | 2 | 5 | 13 | 3 | 9 | 2 | 7 | 7 | 6 +INPUTS | 12 | A_FSB<22> | A_FSB<21> | iobs/Sent | nWE_FSB | nAS_FSB | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | nADoutLE1 | fsb/ASrf | A_FSB<20> | cs/nOverlay | EXP23_.EXP +INPUTMC | 7 | 2 | 14 | 2 | 4 | 7 | 2 | 5 | 13 | 3 | 9 | 2 | 5 | 7 | 6 INPUTP | 5 | 30 | 29 | 47 | 54 | 28 EXPORTS | 1 | 7 | 8 IMPORTS | 1 | 7 | 6 @@ -2350,8 +2336,8 @@ EQ | 12 | MACROCELL | 4 | 1 | nROMCS_OBUF ATTRIBUTES | 264962 | 0 OUTPUTMC | 1 | 4 | 0 -INPUTS | 12 | A_FSB<23> | RefReq | ram/RefDone | nAS_FSB | ram/RS_FSM_FFd4 | ram/RS_FSM_FFd1 | ram/BACTr | fsb/ASrf | A_FSB<22> | cs/nOverlay | ram/RASEN | EXP16_.EXP -INPUTMC | 9 | 0 | 12 | 0 | 5 | 2 | 17 | 0 | 2 | 3 | 6 | 3 | 9 | 2 | 7 | 4 | 9 | 4 | 2 +INPUTS | 12 | A_FSB<23> | RefReq | ram/RefDone | nAS_FSB | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd1 | ram/BACTr | fsb/ASrf | A_FSB<22> | cs/nOverlay | ram/RASEN | EXP17_.EXP +INPUTMC | 9 | 0 | 13 | 0 | 5 | 0 | 0 | 0 | 2 | 3 | 6 | 3 | 9 | 2 | 5 | 4 | 6 | 4 | 2 INPUTP | 3 | 36 | 54 | 30 EXPORTS | 1 | 4 | 0 IMPORTS | 1 | 4 | 2 @@ -2360,15 +2346,15 @@ EQ | 13 | !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & !cs/nOverlay; nROMCS_OBUF.EXP = A_FSB<23> & RefReq & !ram/RefDone & !nAS_FSB & - !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/BACTr + !ram/RS_FSM_FFd3 & !ram/RS_FSM_FFd1 & !ram/BACTr # A_FSB<23> & RefReq & !ram/RefDone & - !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + !ram/RS_FSM_FFd3 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & - !ram/RS_FSM_FFd4 & ram/RASEN & !ram/RS_FSM_FFd1 & fsb/ASrf + !ram/RS_FSM_FFd3 & ram/RASEN & !ram/RS_FSM_FFd1 & fsb/ASrf # A_FSB<22> & RefReq & !ram/RefDone & !nAS_FSB & - !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/BACTr + !ram/RS_FSM_FFd3 & !ram/RS_FSM_FFd1 & !ram/BACTr # A_FSB<22> & RefReq & !ram/RefDone & - !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + !ram/RS_FSM_FFd3 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf MACROCELL | 6 | 11 | C25MEN_OBUF ATTRIBUTES | 264962 | 0 @@ -2380,7 +2366,7 @@ MACROCELL | 6 | 17 | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 ATTRIBUTES | 133888 | 0 OUTPUTMC | 7 | 3 | 17 | 3 | 15 | 3 | 0 | 3 | 1 | 3 | 13 | 3 | 14 | 3 | 16 INPUTS | 37 | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<10> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/LTimer<9> | cnt/LTimer<11> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<14> | A_FSB<11> | A_FSB<10> | nWE_FSB | nAS_FSB | A_FSB<8> | A_FSB<9> | cnt/LTimer<9>.EXP | cnt/LTimer<10>.EXP -INPUTMC | 19 | 0 | 8 | 0 | 4 | 0 | 3 | 3 | 17 | 3 | 15 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 7 | 15 | 0 | 10 | 6 | 0 | 6 | 16 +INPUTMC | 19 | 0 | 9 | 1 | 14 | 0 | 4 | 3 | 17 | 3 | 15 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 7 | 15 | 0 | 11 | 6 | 0 | 6 | 16 INPUTP | 18 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 21 | 18 | 17 | 19 | 15 | 13 | 47 | 54 | 11 | 12 IMPORTS | 2 | 6 | 0 | 6 | 16 EQ | 47 | @@ -2432,16 +2418,16 @@ EQ | 47 | !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9>; -MACROCELL | 3 | 13 | $OpTx$$OpTx$FX_DC$350_INV$537 +MACROCELL | 3 | 13 | $OpTx$$OpTx$FX_DC$346_INV$533 ATTRIBUTES | 133888 | 0 -OUTPUTMC | 5 | 2 | 1 | 2 | 0 | 2 | 2 | 2 | 17 | 3 | 14 +OUTPUTMC | 5 | 2 | 0 | 2 | 1 | 2 | 16 | 2 | 17 | 3 | 14 INPUTS | 22 | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<14> | A_FSB<11> | A_FSB<10> | nWE_FSB | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | A_FSB<9> | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | A_FSB<8> -INPUTMC | 4 | 3 | 9 | 7 | 15 | 0 | 10 | 6 | 17 +INPUTMC | 4 | 3 | 9 | 7 | 15 | 0 | 11 | 6 | 17 INPUTP | 18 | 54 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 21 | 18 | 17 | 19 | 15 | 13 | 47 | 12 | 11 EXPORTS | 1 | 3 | 14 EQ | 16 | - $OpTx$$OpTx$FX_DC$350_INV$537 = nAS_FSB & !fsb/ASrf; - $OpTx$$OpTx$FX_DC$350_INV$537.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + $OpTx$$OpTx$FX_DC$346_INV$533 = nAS_FSB & !fsb/ASrf; + $OpTx$$OpTx$FX_DC$346_INV$533.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & @@ -2457,67 +2443,89 @@ EQ | 16 | !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 -MACROCELL | 2 | 0 | EXP10_ +MACROCELL | 2 | 12 | EXP10_ ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 1 -INPUTS | 8 | A_FSB<22> | A_FSB<21> | $OpTx$$OpTx$FX_DC$350_INV$537 | A_FSB<19> | A_FSB<18> | A_FSB<15> | A_FSB<12> | ram/RS_FSM_FFd4.EXP -INPUTMC | 2 | 3 | 13 | 2 | 17 -INPUTP | 6 | 30 | 29 | 26 | 24 | 21 | 17 -EXPORTS | 1 | 2 | 1 -IMPORTS | 1 | 2 | 17 -EQ | 19 | - EXP10_.EXP = A_FSB<22> & A_FSB<21> & - !$OpTx$$OpTx$FX_DC$350_INV$537 - # A_FSB<22> & A_FSB<19> & - !$OpTx$$OpTx$FX_DC$350_INV$537 - # A_FSB<22> & A_FSB<18> & - !$OpTx$$OpTx$FX_DC$350_INV$537 - # A_FSB<22> & A_FSB<15> & - !$OpTx$$OpTx$FX_DC$350_INV$537 - # A_FSB<22> & A_FSB<12> & - !$OpTx$$OpTx$FX_DC$350_INV$537 -;Imported pterms FB3_18 - # A_FSB<22> & !A_FSB<11> & - !$OpTx$$OpTx$FX_DC$350_INV$537 - # A_FSB<22> & !A_FSB<10> & - !$OpTx$$OpTx$FX_DC$350_INV$537 - # A_FSB<22> & A_FSB<9> & !A_FSB<8> & - !$OpTx$$OpTx$FX_DC$350_INV$537 - # cnt/WS<0> & cnt/WS<1> & cnt/WS<2> & cnt/WS<3> & - !$OpTx$$OpTx$FX_DC$350_INV$537 - -MACROCELL | 2 | 13 | EXP11_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 14 -INPUTS | 9 | iobs/TS_FSM_FFd1 | iobs/IOACTr | iobs/TS_FSM_FFd2 | IORDREQ | iobs/Sent | nADoutLE1 | nWE_FSB | iobs/IORW1 | iobs/Clear1.EXP -INPUTMC | 8 | 2 | 5 | 1 | 6 | 7 | 2 | 2 | 14 | 2 | 15 | 5 | 13 | 7 | 16 | 2 | 12 -INPUTP | 1 | 47 -EXPORTS | 1 | 2 | 14 -IMPORTS | 1 | 2 | 12 -EQ | 12 | - EXP11_.EXP = iobs/TS_FSM_FFd1 & iobs/IOACTr - # iobs/TS_FSM_FFd2 & !IORDREQ +OUTPUTMC | 1 | 2 | 13 +INPUTS | 9 | iobs/TS_FSM_FFd2 | IORDREQ | iobs/Sent | nADoutLE1 | nWE_FSB | iobs/IORW1 | A_FSB<23> | A_FSB<22> | iobs/Load1.EXP +INPUTMC | 6 | 7 | 2 | 2 | 13 | 2 | 14 | 5 | 13 | 7 | 16 | 2 | 11 +INPUTP | 3 | 47 | 36 | 30 +EXPORTS | 1 | 2 | 13 +IMPORTS | 1 | 2 | 11 +EQ | 11 | + EXP10_.EXP = iobs/TS_FSM_FFd2 & !IORDREQ # iobs/Sent & !iobs/TS_FSM_FFd2 & nADoutLE1 # !nWE_FSB & !iobs/TS_FSM_FFd2 & nADoutLE1 # !iobs/IORW1 & !iobs/TS_FSM_FFd2 & !nADoutLE1 -;Imported pterms FB3_13 # !A_FSB<23> & !A_FSB<22> & !iobs/TS_FSM_FFd2 & nADoutLE1 +;Imported pterms FB3_12 # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & nADoutLE1 # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & !iobs/TS_FSM_FFd2 & nADoutLE1 -MACROCELL | 3 | 0 | EXP12_ +MACROCELL | 2 | 15 | EXP11_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 14 +INPUTS | 18 | A_FSB<22> | iobs/Sent | cs/nOverlay | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | fsb/ASrf | nADoutLE1 | A_FSB<23> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<13> | nWE_FSB | nAS_FSB | A_FSB<14> +INPUTMC | 6 | 2 | 14 | 2 | 5 | 2 | 4 | 7 | 2 | 3 | 9 | 5 | 13 +INPUTP | 12 | 30 | 36 | 29 | 28 | 26 | 24 | 23 | 22 | 18 | 47 | 54 | 19 +EXPORTS | 1 | 2 | 14 +EQ | 14 | + EXP11_.EXP = A_FSB<22> & !iobs/Sent & !cs/nOverlay & + !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & + !iobs/Sent & !nWE_FSB & !nAS_FSB & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & + !iobs/Sent & !nWE_FSB & fsb/ASrf & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + !iobs/Sent & !nWE_FSB & !nAS_FSB & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + !iobs/Sent & !nWE_FSB & fsb/ASrf & nADoutLE1 + +MACROCELL | 2 | 17 | EXP12_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 0 +INPUTS | 8 | A_FSB<22> | A_FSB<21> | $OpTx$$OpTx$FX_DC$346_INV$533 | A_FSB<19> | A_FSB<18> | A_FSB<15> | A_FSB<12> | nROMWE_OBUF.EXP +INPUTMC | 2 | 3 | 13 | 2 | 16 +INPUTP | 6 | 30 | 29 | 26 | 24 | 21 | 17 +EXPORTS | 1 | 2 | 0 +IMPORTS | 1 | 2 | 16 +EQ | 19 | + EXP12_.EXP = A_FSB<22> & A_FSB<21> & + !$OpTx$$OpTx$FX_DC$346_INV$533 + # A_FSB<22> & A_FSB<19> & + !$OpTx$$OpTx$FX_DC$346_INV$533 + # A_FSB<22> & A_FSB<18> & + !$OpTx$$OpTx$FX_DC$346_INV$533 + # A_FSB<22> & A_FSB<15> & + !$OpTx$$OpTx$FX_DC$346_INV$533 + # A_FSB<22> & A_FSB<12> & + !$OpTx$$OpTx$FX_DC$346_INV$533 +;Imported pterms FB3_17 + # A_FSB<22> & !A_FSB<11> & + !$OpTx$$OpTx$FX_DC$346_INV$533 + # A_FSB<22> & !A_FSB<10> & + !$OpTx$$OpTx$FX_DC$346_INV$533 + # A_FSB<22> & A_FSB<9> & !A_FSB<8> & + !$OpTx$$OpTx$FX_DC$346_INV$533 + # cnt/WS<0> & cnt/WS<1> & cnt/WS<2> & cnt/WS<3> & + !$OpTx$$OpTx$FX_DC$346_INV$533 + +MACROCELL | 3 | 0 | EXP13_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 3 | 17 INPUTS | 26 | cnt/LTimer<0> | cnt/LTimer<10> | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | cnt/LTimer<8> | cnt/LTimer<9> | cnt/LTimer<11> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<14> | A_FSB<11> | A_FSB<10> | nWE_FSB | nAS_FSB | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | A_FSB<8> | nAoutOE_OBUF.EXP -INPUTMC | 9 | 3 | 17 | 6 | 16 | 6 | 17 | 6 | 2 | 6 | 0 | 6 | 15 | 7 | 15 | 0 | 10 | 3 | 1 +INPUTMC | 9 | 3 | 17 | 6 | 16 | 6 | 17 | 6 | 2 | 6 | 0 | 6 | 15 | 7 | 15 | 0 | 11 | 3 | 1 INPUTP | 17 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 21 | 18 | 17 | 19 | 15 | 13 | 47 | 54 | 11 EXPORTS | 1 | 3 | 17 IMPORTS | 1 | 3 | 1 EQ | 39 | - EXP12_.EXP = !cnt/LTimer<0> & cnt/LTimer<10> & + EXP13_.EXP = !cnt/LTimer<0> & cnt/LTimer<10> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 # !cnt/LTimer<0> & cnt/LTimer<8> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 @@ -2557,26 +2565,26 @@ EQ | 39 | !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 -MACROCELL | 3 | 2 | EXP13_ +MACROCELL | 3 | 2 | EXP14_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 3 | 1 INPUTS | 4 | nBR_IOB | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | nAoutOE -INPUTMC | 4 | 7 | 14 | 7 | 15 | 0 | 10 | 3 | 1 +INPUTMC | 4 | 7 | 14 | 7 | 15 | 0 | 11 | 3 | 1 EXPORTS | 1 | 3 | 1 EQ | 2 | - EXP13_.EXP = !nBR_IOB & cnt/IS_FSM_FFd1 & cnt/IS_FSM_FFd2 + EXP14_.EXP = !nBR_IOB & cnt/IS_FSM_FFd1 & cnt/IS_FSM_FFd2 # cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & !nAoutOE -MACROCELL | 3 | 14 | EXP14_ +MACROCELL | 3 | 14 | EXP15_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 3 | 15 -INPUTS | 23 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<14> | A_FSB<11> | A_FSB<10> | nWE_FSB | nAS_FSB | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | A_FSB<9> | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | A_FSB<8> | fsb/ASrf | $OpTx$$OpTx$FX_DC$350_INV$537.EXP -INPUTMC | 5 | 7 | 15 | 0 | 10 | 6 | 17 | 3 | 9 | 3 | 13 +INPUTS | 23 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<14> | A_FSB<11> | A_FSB<10> | nWE_FSB | nAS_FSB | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | A_FSB<9> | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | A_FSB<8> | fsb/ASrf | $OpTx$$OpTx$FX_DC$346_INV$533.EXP +INPUTMC | 5 | 7 | 15 | 0 | 11 | 6 | 17 | 3 | 9 | 3 | 13 INPUTP | 18 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 21 | 18 | 17 | 19 | 15 | 13 | 47 | 54 | 12 | 11 EXPORTS | 1 | 3 | 15 IMPORTS | 1 | 3 | 13 EQ | 41 | - EXP14_.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + EXP15_.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & @@ -2618,7 +2626,7 @@ EQ | 41 | !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 -MACROCELL | 3 | 16 | EXP15_ +MACROCELL | 3 | 16 | EXP16_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 3 | 17 INPUTS | 8 | cnt/LTimer<0> | cnt/LTimer<3> | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<1>.EXP @@ -2626,7 +2634,7 @@ INPUTMC | 8 | 3 | 17 | 6 | 13 | 6 | 17 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 3 | 15 EXPORTS | 1 | 3 | 17 IMPORTS | 1 | 3 | 15 EQ | 21 | - EXP15_.EXP = !cnt/LTimer<0> & cnt/LTimer<3> & + EXP16_.EXP = !cnt/LTimer<0> & cnt/LTimer<3> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 # !cnt/LTimer<0> & cnt/LTimer<4> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 @@ -2648,74 +2656,117 @@ EQ | 21 | !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 -MACROCELL | 4 | 2 | EXP16_ +MACROCELL | 4 | 2 | EXP17_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 4 | 1 INPUTS | 5 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | cs/nOverlay -INPUTMC | 1 | 2 | 7 +INPUTMC | 1 | 2 | 5 INPUTP | 4 | 36 | 30 | 29 | 28 EXPORTS | 1 | 4 | 1 EQ | 2 | - EXP16_.EXP = !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> + EXP17_.EXP = !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & !cs/nOverlay -MACROCELL | 4 | 3 | EXP17_ +MACROCELL | 4 | 3 | EXP18_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 4 | 4 -INPUTS | 6 | RefUrg | ram/RS_FSM_FFd4 | ram/RefDone | ram/RS_FSM_FFd8 | ram/RS_FSM_FFd7 | RefReq -INPUTMC | 6 | 0 | 11 | 2 | 17 | 0 | 5 | 4 | 0 | 0 | 17 | 0 | 12 +INPUTS | 8 | ram/RefDone | ram/RS_FSM_FFd7 | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd4 | ram/DTACKr | RefUrg | RefReq | ram/BACTr +INPUTMC | 8 | 0 | 5 | 4 | 0 | 0 | 0 | 0 | 6 | 1 | 2 | 0 | 12 | 0 | 13 | 3 | 6 EXPORTS | 1 | 4 | 4 EQ | 5 | - EXP17_.EXP = !RefUrg & ram/RS_FSM_FFd4 - # ram/RefDone & ram/RS_FSM_FFd8 - # ram/RefDone & ram/RS_FSM_FFd4 - # ram/RefDone & ram/RS_FSM_FFd7 - # !RefUrg & !RefReq & ram/RS_FSM_FFd8 + EXP18_.EXP = ram/RefDone & ram/RS_FSM_FFd7 + # ram/RefDone & ram/RS_FSM_FFd3 + # ram/RS_FSM_FFd4 & ram/DTACKr + # !RefUrg & !RefReq & ram/RS_FSM_FFd7 + # !RefUrg & ram/RS_FSM_FFd7 & ram/BACTr -MACROCELL | 4 | 6 | EXP18_ +MACROCELL | 4 | 7 | EXP19_ ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 4 | 5 -INPUTS | 5 | nWE_FSB | nAS_FSB | fsb/ASrf | ram/DTACKr | ram/BACTr -INPUTMC | 3 | 3 | 9 | 1 | 4 | 3 | 6 -INPUTP | 2 | 47 | 54 -EXPORTS | 1 | 4 | 5 -EQ | 3 | - EXP18_.EXP = !nWE_FSB - # nAS_FSB & !fsb/ASrf - # ram/DTACKr & ram/BACTr +OUTPUTMC | 1 | 4 | 6 +INPUTS | 9 | ram/RefDone | ram/RS_FSM_FFd7 | ram/RS_FSM_FFd3 | RefUrg | RefReq | ram/BACTr | nAS_FSB | fsb/ASrf | RA_4_OBUF.EXP +INPUTMC | 8 | 0 | 5 | 4 | 0 | 0 | 0 | 0 | 12 | 0 | 13 | 3 | 6 | 3 | 9 | 4 | 8 +INPUTP | 1 | 54 +EXPORTS | 1 | 4 | 6 +IMPORTS | 1 | 4 | 8 +EQ | 12 | + EXP19_.EXP = ram/RefDone & ram/RS_FSM_FFd7 + # ram/RefDone & ram/RS_FSM_FFd3 + # !RefUrg & !RefReq & ram/RS_FSM_FFd7 + # !RefUrg & ram/RS_FSM_FFd7 & ram/BACTr + # !RefUrg & nAS_FSB & ram/RS_FSM_FFd7 & !fsb/ASrf +;Imported pterms FB5_9 + # !A_FSB<23> & !A_FSB<22> & !RefUrg & + ram/RS_FSM_FFd7 + # !A_FSB<23> & !A_FSB<22> & !nAS_FSB & + ram/RS_FSM_FFd7 & ram/RASEN + # !A_FSB<23> & !A_FSB<22> & ram/RS_FSM_FFd7 & + ram/RASEN & fsb/ASrf -MACROCELL | 4 | 16 | EXP19_ +MACROCELL | 4 | 15 | EXP20_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 4 | 16 +INPUTS | 13 | RefUrg | ram/RefDone | nAS_FSB | ram/RS_FSM_FFd7 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd4 | ram/RS_FSM_FFd5 | fsb/ASrf | A_FSB<23> | RefReq | ram/RS_FSM_FFd3 | ram/BACTr | A_FSB<22> +INPUTMC | 10 | 0 | 12 | 0 | 5 | 4 | 0 | 0 | 2 | 0 | 6 | 2 | 10 | 3 | 9 | 0 | 13 | 0 | 0 | 3 | 6 +INPUTP | 3 | 54 | 36 | 30 +EXPORTS | 1 | 4 | 16 +EQ | 15 | + EXP20_.EXP = RefUrg & !ram/RefDone & nAS_FSB & + ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd4 & + !ram/RS_FSM_FFd5 & !fsb/ASrf + # A_FSB<23> & RefReq & !ram/RefDone & !nAS_FSB & + ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd3 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd5 & !ram/BACTr + # A_FSB<23> & RefReq & !ram/RefDone & + ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd3 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd5 & !ram/BACTr & fsb/ASrf + # A_FSB<22> & RefReq & !ram/RefDone & !nAS_FSB & + ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd3 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd5 & !ram/BACTr + # A_FSB<22> & RefReq & !ram/RefDone & + ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd3 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd5 & !ram/BACTr & fsb/ASrf + +MACROCELL | 4 | 16 | EXP21_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 4 | 17 -INPUTS | 10 | A_FSB<23> | RefUrg | ram/RefDone | ram/RS_FSM_FFd8 | A_FSB<22> | ram/RASEN | nAS_FSB | fsb/ASrf | cs/nOverlay | IONPReady.EXP -INPUTMC | 7 | 0 | 11 | 0 | 5 | 4 | 0 | 4 | 9 | 3 | 9 | 2 | 7 | 4 | 15 -INPUTP | 3 | 36 | 30 | 54 +INPUTS | 12 | ram/RS_FSM_FFd7 | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd4 | ram/RS_FSM_FFd5 | RAMReady | A_FSB<23> | RefUrg | ram/RefDone | A_FSB<22> | ram/RASEN | EXP20_.EXP +INPUTMC | 10 | 4 | 0 | 0 | 0 | 0 | 2 | 0 | 6 | 2 | 10 | 4 | 17 | 0 | 12 | 0 | 5 | 4 | 6 | 4 | 15 +INPUTP | 2 | 36 | 30 EXPORTS | 1 | 4 | 17 IMPORTS | 1 | 4 | 15 -EQ | 21 | - EXP19_.EXP = A_FSB<23> & RefUrg & !ram/RefDone & - ram/RS_FSM_FFd8 +EQ | 30 | + EXP21_.EXP = !ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd3 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd5 & !RAMReady + # A_FSB<23> & RefUrg & !ram/RefDone & + ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd4 & + !ram/RS_FSM_FFd5 # A_FSB<22> & RefUrg & !ram/RefDone & - ram/RS_FSM_FFd8 - # RefUrg & !ram/RefDone & ram/RS_FSM_FFd8 & - !ram/RASEN - # RefUrg & !ram/RefDone & nAS_FSB & - ram/RS_FSM_FFd8 & !fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & - ram/RS_FSM_FFd8 & ram/RASEN + ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd4 & + !ram/RS_FSM_FFd5 + # RefUrg & !ram/RefDone & ram/RS_FSM_FFd7 & + !ram/RASEN & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd4 & + !ram/RS_FSM_FFd5 + # RefUrg & !ram/RefDone & !ram/RS_FSM_FFd7 & + ram/RS_FSM_FFd3 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd4 & + !ram/RS_FSM_FFd5 ;Imported pterms FB5_16 + # RefUrg & !ram/RefDone & nAS_FSB & + ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd4 & + !ram/RS_FSM_FFd5 & !fsb/ASrf # A_FSB<23> & RefReq & !ram/RefDone & !nAS_FSB & - ram/RS_FSM_FFd8 & !ram/BACTr + ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd3 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd5 & !ram/BACTr # A_FSB<23> & RefReq & !ram/RefDone & - ram/RS_FSM_FFd8 & !ram/BACTr & fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & - ram/RS_FSM_FFd8 & ram/RASEN & fsb/ASrf + ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd3 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd5 & !ram/BACTr & fsb/ASrf # A_FSB<22> & RefReq & !ram/RefDone & !nAS_FSB & - ram/RS_FSM_FFd8 & !ram/BACTr + ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd3 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd5 & !ram/BACTr # A_FSB<22> & RefReq & !ram/RefDone & - ram/RS_FSM_FFd8 & !ram/BACTr & fsb/ASrf + ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd3 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd5 & !ram/BACTr & fsb/ASrf -MACROCELL | 7 | 3 | EXP20_ +MACROCELL | 7 | 3 | EXP22_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 7 | 2 INPUTS | 11 | nAS_FSB | iobs/TS_FSM_FFd2 | fsb/ASrf | nADoutLE1 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<16> | nWE_FSB | nRAS_OBUF.EXP @@ -2724,7 +2775,7 @@ INPUTP | 7 | 54 | 36 | 30 | 29 | 28 | 22 | 47 EXPORTS | 1 | 7 | 2 IMPORTS | 1 | 7 | 4 EQ | 21 | - EXP20_.EXP = nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & + EXP22_.EXP = nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & !iobs/TS_FSM_FFd2 & nADoutLE1 @@ -2746,25 +2797,25 @@ EQ | 21 | # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & !iobs/TS_FSM_FFd2 & nADoutLE1 -MACROCELL | 7 | 6 | EXP21_ +MACROCELL | 7 | 6 | EXP23_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 7 | 7 INPUTS | 3 | nWE_FSB | nUDS_FSB | ram/RASEL -INPUTMC | 1 | 2 | 6 +INPUTMC | 1 | 4 | 9 INPUTP | 2 | 47 | 56 EXPORTS | 1 | 7 | 7 EQ | 1 | - EXP21_.EXP = !nWE_FSB & !nUDS_FSB & ram/RASEL + EXP23_.EXP = !nWE_FSB & !nUDS_FSB & ram/RASEL -MACROCELL | 7 | 9 | EXP22_ +MACROCELL | 7 | 9 | EXP24_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 7 | 8 INPUTS | 17 | A_FSB<22> | iobs/Sent | cs/nOverlay | nWE_FSB | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | fsb/ASrf | nADoutLE1 | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<13> | nAS_FSB | A_FSB<14> -INPUTMC | 6 | 2 | 15 | 2 | 7 | 2 | 5 | 7 | 2 | 3 | 9 | 5 | 13 +INPUTMC | 6 | 2 | 14 | 2 | 5 | 2 | 4 | 7 | 2 | 3 | 9 | 5 | 13 INPUTP | 11 | 30 | 47 | 29 | 28 | 26 | 24 | 23 | 22 | 18 | 54 | 19 EXPORTS | 1 | 7 | 8 EQ | 14 | - EXP22_.EXP = A_FSB<22> & !iobs/Sent & !cs/nOverlay & !nWE_FSB & + EXP24_.EXP = A_FSB<22> & !iobs/Sent & !cs/nOverlay & !nWE_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & !iobs/Sent & !nWE_FSB & @@ -2779,25 +2830,25 @@ EQ | 14 | A_FSB<17> & A_FSB<16> & A_FSB<14> & !iobs/Sent & !nWE_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 -MACROCELL | 7 | 10 | EXP23_ +MACROCELL | 7 | 10 | EXP25_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 7 | 11 INPUTS | 2 | IOBERR | nBERR_FSB -INPUTMC | 2 | 1 | 17 | 7 | 11 +INPUTMC | 2 | 1 | 16 | 7 | 11 EXPORTS | 1 | 7 | 11 EQ | 1 | - EXP23_.EXP = !IOBERR & nBERR_FSB + EXP25_.EXP = !IOBERR & nBERR_FSB -MACROCELL | 7 | 12 | EXP24_ +MACROCELL | 7 | 12 | EXP26_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 7 | 13 INPUTS | 12 | iobs/IOU1 | IOU0 | nADoutLE1 | nAS_FSB | iobs/TS_FSM_FFd2 | fsb/ASrf | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | nWE_FSB | nBERR_FSB_OBUF.EXP -INPUTMC | 6 | 0 | 6 | 7 | 13 | 5 | 13 | 7 | 2 | 3 | 9 | 7 | 11 +INPUTMC | 6 | 0 | 7 | 7 | 13 | 5 | 13 | 7 | 2 | 3 | 9 | 7 | 11 INPUTP | 6 | 54 | 36 | 30 | 29 | 28 | 47 EXPORTS | 1 | 7 | 13 IMPORTS | 1 | 7 | 11 EQ | 16 | - EXP24_.EXP = !iobs/IOU1 & !IOU0 & !nADoutLE1 + EXP26_.EXP = !iobs/IOU1 & !IOU0 & !nADoutLE1 # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & @@ -2814,16 +2865,16 @@ EQ | 16 | # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & !iobs/TS_FSM_FFd2 & nADoutLE1 -MACROCELL | 7 | 17 | EXP25_ +MACROCELL | 7 | 17 | EXP27_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 7 | 0 INPUTS | 12 | iobs/IOL1 | IOL0 | nADoutLE1 | nAS_FSB | iobs/TS_FSM_FFd2 | fsb/ASrf | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | nWE_FSB | iobs/IORW1.EXP -INPUTMC | 6 | 0 | 7 | 7 | 0 | 5 | 13 | 7 | 2 | 3 | 9 | 7 | 16 +INPUTMC | 6 | 0 | 8 | 7 | 0 | 5 | 13 | 7 | 2 | 3 | 9 | 7 | 16 INPUTP | 6 | 54 | 36 | 30 | 29 | 28 | 47 EXPORTS | 1 | 7 | 0 IMPORTS | 1 | 7 | 16 EQ | 12 | - EXP25_.EXP = !iobs/IOL1 & !IOL0 & !nADoutLE1 + EXP27_.EXP = !iobs/IOL1 & !IOL0 & !nADoutLE1 # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & @@ -2836,40 +2887,40 @@ EQ | 12 | # !A_FSB<23> & !A_FSB<22> & !A_FSB<13> & !A_FSB<14> & !iobs/TS_FSM_FFd2 & nADoutLE1 -PIN | A_FSB<23> | 64 | 0 | N/A | 36 | 45 | 3 | 15 | 3 | 14 | 2 | 15 | 0 | 0 | 7 | 16 | 4 | 14 | 3 | 10 | 7 | 3 | 2 | 8 | 2 | 6 | 7 | 1 | 4 | 0 | 4 | 9 | 2 | 11 | 2 | 3 | 0 | 17 | 6 | 16 | 7 | 12 | 2 | 12 | 7 | 8 | 2 | 1 | 4 | 12 | 4 | 16 | 4 | 2 | 3 | 5 | 3 | 13 | 6 | 17 | 2 | 7 | 2 | 10 | 2 | 16 | 3 | 0 | 3 | 1 | 4 | 1 | 4 | 5 | 4 | 8 | 4 | 11 | 4 | 15 | 4 | 17 | 6 | 0 | 7 | 4 | 7 | 5 | 7 | 11 | 7 | 14 | 7 | 15 | 7 | 17 -PIN | A_FSB<22> | 64 | 0 | N/A | 30 | 50 | 3 | 15 | 3 | 14 | 2 | 15 | 2 | 2 | 7 | 16 | 4 | 14 | 3 | 10 | 7 | 3 | 2 | 8 | 2 | 6 | 7 | 1 | 4 | 0 | 4 | 9 | 2 | 11 | 2 | 3 | 0 | 17 | 6 | 16 | 7 | 12 | 2 | 12 | 7 | 7 | 2 | 1 | 4 | 12 | 4 | 16 | 4 | 2 | 3 | 5 | 3 | 13 | 6 | 17 | 0 | 0 | 2 | 0 | 2 | 7 | 2 | 10 | 2 | 14 | 2 | 16 | 2 | 17 | 3 | 0 | 3 | 1 | 4 | 1 | 4 | 5 | 4 | 8 | 4 | 11 | 4 | 15 | 4 | 17 | 6 | 0 | 7 | 4 | 7 | 5 | 7 | 9 | 7 | 11 | 7 | 14 | 7 | 15 | 7 | 17 -PIN | A_FSB<21> | 64 | 0 | N/A | 29 | 33 | 3 | 15 | 3 | 14 | 2 | 15 | 2 | 6 | 7 | 16 | 4 | 14 | 3 | 10 | 2 | 8 | 7 | 1 | 2 | 11 | 6 | 16 | 7 | 12 | 2 | 12 | 7 | 7 | 2 | 0 | 6 | 7 | 3 | 5 | 3 | 13 | 6 | 17 | 2 | 7 | 2 | 10 | 2 | 14 | 2 | 16 | 3 | 0 | 3 | 1 | 4 | 2 | 6 | 0 | 7 | 3 | 7 | 4 | 7 | 9 | 7 | 11 | 7 | 15 | 7 | 17 -PIN | A_FSB<20> | 64 | 0 | N/A | 28 | 34 | 3 | 15 | 3 | 14 | 2 | 15 | 2 | 6 | 7 | 16 | 4 | 14 | 3 | 10 | 4 | 10 | 2 | 8 | 7 | 1 | 2 | 11 | 6 | 16 | 7 | 12 | 2 | 12 | 7 | 7 | 2 | 1 | 6 | 0 | 3 | 5 | 3 | 13 | 6 | 17 | 2 | 7 | 2 | 10 | 2 | 14 | 2 | 16 | 3 | 0 | 3 | 1 | 4 | 2 | 7 | 2 | 7 | 3 | 7 | 4 | 7 | 9 | 7 | 11 | 7 | 15 | 7 | 17 -PIN | A_FSB<19> | 64 | 0 | N/A | 26 | 23 | 3 | 15 | 3 | 14 | 2 | 10 | 7 | 16 | 4 | 14 | 3 | 10 | 4 | 10 | 2 | 7 | 7 | 1 | 2 | 11 | 6 | 16 | 7 | 11 | 7 | 4 | 2 | 0 | 6 | 0 | 6 | 17 | 2 | 16 | 3 | 0 | 3 | 1 | 3 | 13 | 7 | 2 | 7 | 9 | 7 | 15 -PIN | A_FSB<18> | 64 | 0 | N/A | 24 | 22 | 3 | 15 | 3 | 14 | 2 | 10 | 7 | 16 | 4 | 14 | 3 | 10 | 2 | 7 | 7 | 1 | 2 | 11 | 6 | 16 | 7 | 9 | 7 | 4 | 2 | 0 | 6 | 7 | 6 | 17 | 2 | 16 | 3 | 0 | 3 | 1 | 3 | 13 | 6 | 0 | 7 | 14 | 7 | 15 -PIN | A_FSB<17> | 64 | 0 | N/A | 23 | 22 | 3 | 15 | 3 | 14 | 2 | 10 | 7 | 16 | 4 | 14 | 3 | 10 | 2 | 7 | 7 | 1 | 2 | 11 | 6 | 16 | 7 | 9 | 7 | 4 | 6 | 17 | 6 | 8 | 2 | 2 | 2 | 16 | 3 | 0 | 3 | 1 | 3 | 13 | 6 | 0 | 7 | 14 | 7 | 15 -PIN | A_FSB<16> | 64 | 0 | N/A | 22 | 22 | 3 | 15 | 3 | 14 | 2 | 10 | 7 | 16 | 4 | 14 | 3 | 10 | 2 | 7 | 7 | 1 | 2 | 11 | 6 | 16 | 7 | 9 | 7 | 3 | 6 | 17 | 4 | 13 | 2 | 2 | 2 | 16 | 3 | 0 | 3 | 1 | 3 | 13 | 6 | 0 | 7 | 14 | 7 | 15 -PIN | A_FSB<15> | 64 | 0 | N/A | 21 | 10 | 3 | 15 | 3 | 14 | 2 | 0 | 6 | 10 | 6 | 17 | 3 | 0 | 3 | 1 | 3 | 13 | 6 | 0 | 6 | 16 -PIN | A_FSB<13> | 64 | 0 | N/A | 18 | 20 | 3 | 15 | 3 | 14 | 2 | 10 | 7 | 16 | 4 | 14 | 2 | 7 | 6 | 0 | 2 | 11 | 6 | 16 | 7 | 11 | 7 | 4 | 6 | 17 | 4 | 13 | 2 | 2 | 2 | 16 | 3 | 0 | 3 | 1 | 3 | 13 | 7 | 9 | 7 | 15 -PIN | A_FSB<12> | 64 | 0 | N/A | 17 | 10 | 3 | 15 | 3 | 14 | 2 | 0 | 4 | 10 | 6 | 17 | 3 | 0 | 3 | 1 | 3 | 13 | 6 | 0 | 6 | 16 -PIN | C8M | 8256 | 0 | N/A | 35 | 10 | 1 | 14 | 5 | 1 | 1 | 17 | 5 | 9 | 5 | 4 | 0 | 13 | 5 | 6 | 5 | 5 | 1 | 13 | 1 | 7 -PIN | C16M | 4096 | 0 | N/A | 33 | 18 | 5 | 2 | 5 | 3 | 1 | 14 | 1 | 10 | 1 | 9 | 5 | 0 | 5 | 17 | 1 | 11 | 1 | 16 | 1 | 12 | 5 | 15 | 5 | 7 | 5 | 12 | 1 | 8 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 16 -PIN | A_FSB<14> | 64 | 0 | N/A | 19 | 20 | 3 | 15 | 3 | 14 | 2 | 10 | 7 | 16 | 4 | 14 | 2 | 7 | 6 | 0 | 2 | 11 | 6 | 16 | 7 | 11 | 7 | 4 | 6 | 17 | 6 | 4 | 2 | 2 | 2 | 16 | 3 | 0 | 3 | 1 | 3 | 13 | 7 | 9 | 7 | 15 -PIN | A_FSB<11> | 64 | 0 | N/A | 15 | 10 | 3 | 15 | 3 | 14 | 6 | 17 | 4 | 7 | 2 | 17 | 3 | 0 | 3 | 1 | 3 | 13 | 6 | 0 | 6 | 16 -PIN | A_FSB<10> | 64 | 0 | N/A | 13 | 10 | 3 | 15 | 3 | 14 | 6 | 17 | 6 | 1 | 2 | 17 | 3 | 0 | 3 | 1 | 3 | 13 | 6 | 0 | 6 | 16 -PIN | FCLK | 16384 | 0 | N/A | 42 | 73 | 3 | 17 | 3 | 15 | 0 | 9 | 0 | 14 | 0 | 16 | 2 | 15 | 0 | 11 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 0 | 15 | 0 | 12 | 6 | 15 | 0 | 8 | 2 | 7 | 7 | 16 | 0 | 5 | 4 | 15 | 0 | 7 | 0 | 6 | 7 | 11 | 3 | 10 | 7 | 14 | 2 | 8 | 2 | 6 | 2 | 5 | 7 | 2 | 4 | 0 | 7 | 15 | 0 | 10 | 2 | 17 | 4 | 9 | 0 | 2 | 4 | 7 | 1 | 6 | 2 | 11 | 1 | 4 | 2 | 4 | 2 | 3 | 0 | 17 | 7 | 0 | 7 | 13 | 2 | 10 | 2 | 2 | 0 | 1 | 0 | 0 | 2 | 14 | 7 | 8 | 2 | 1 | 0 | 4 | 2 | 9 | 1 | 15 | 3 | 6 | 3 | 12 | 4 | 12 | 0 | 3 | 3 | 11 | 2 | 12 | 1 | 5 | 3 | 8 | 4 | 17 | 4 | 5 | 5 | 13 | 3 | 1 | 3 | 9 | 1 | 3 | 4 | 4 -PIN | nWE_FSB | 64 | 0 | N/A | 47 | 27 | 3 | 15 | 3 | 14 | 2 | 10 | 7 | 16 | 4 | 14 | 2 | 7 | 6 | 0 | 2 | 11 | 6 | 16 | 7 | 12 | 2 | 13 | 7 | 8 | 2 | 1 | 2 | 16 | 3 | 13 | 3 | 5 | 7 | 5 | 7 | 6 | 6 | 17 | 3 | 0 | 3 | 1 | 4 | 6 | 7 | 3 | 7 | 7 | 7 | 9 | 7 | 15 | 7 | 17 -PIN | nAS_FSB | 64 | 0 | N/A | 54 | 43 | 3 | 15 | 3 | 14 | 2 | 15 | 2 | 7 | 7 | 16 | 4 | 14 | 7 | 11 | 3 | 10 | 7 | 3 | 2 | 8 | 2 | 6 | 4 | 17 | 3 | 1 | 4 | 8 | 4 | 7 | 2 | 11 | 2 | 3 | 0 | 17 | 6 | 16 | 7 | 12 | 0 | 0 | 2 | 2 | 2 | 12 | 7 | 8 | 2 | 9 | 3 | 6 | 4 | 11 | 3 | 9 | 4 | 16 | 2 | 16 | 4 | 1 | 3 | 0 | 3 | 5 | 6 | 17 | 3 | 13 | 2 | 14 | 4 | 5 | 4 | 6 | 4 | 15 | 7 | 5 | 7 | 7 | 7 | 9 | 7 | 17 -PIN | A_FSB<8> | 64 | 0 | N/A | 11 | 10 | 3 | 15 | 3 | 14 | 6 | 17 | 6 | 10 | 2 | 17 | 3 | 0 | 3 | 1 | 3 | 13 | 6 | 0 | 6 | 16 -PIN | A_FSB<9> | 64 | 0 | N/A | 12 | 9 | 3 | 15 | 3 | 14 | 6 | 17 | 6 | 5 | 2 | 17 | 3 | 1 | 3 | 13 | 6 | 0 | 6 | 16 -PIN | nBERR_IOB | 64 | 0 | N/A | 123 | 1 | 1 | 17 +PIN | A_FSB<23> | 64 | 0 | N/A | 36 | 47 | 3 | 15 | 3 | 14 | 2 | 14 | 2 | 5 | 7 | 16 | 2 | 1 | 3 | 10 | 7 | 3 | 2 | 7 | 4 | 9 | 7 | 1 | 4 | 0 | 4 | 5 | 2 | 9 | 0 | 17 | 2 | 11 | 6 | 16 | 7 | 12 | 2 | 12 | 7 | 8 | 2 | 0 | 4 | 16 | 4 | 12 | 4 | 2 | 3 | 5 | 3 | 13 | 6 | 17 | 0 | 0 | 2 | 2 | 2 | 6 | 2 | 10 | 2 | 15 | 3 | 0 | 3 | 1 | 4 | 1 | 4 | 8 | 4 | 11 | 4 | 13 | 4 | 15 | 4 | 17 | 6 | 0 | 7 | 4 | 7 | 5 | 7 | 11 | 7 | 14 | 7 | 15 | 7 | 17 +PIN | A_FSB<22> | 64 | 0 | N/A | 30 | 51 | 3 | 15 | 3 | 14 | 2 | 14 | 2 | 5 | 7 | 16 | 2 | 1 | 3 | 10 | 7 | 3 | 2 | 7 | 4 | 9 | 7 | 1 | 4 | 0 | 4 | 5 | 2 | 9 | 0 | 17 | 2 | 11 | 6 | 16 | 7 | 12 | 2 | 12 | 7 | 7 | 2 | 0 | 4 | 16 | 4 | 12 | 4 | 2 | 3 | 5 | 3 | 13 | 6 | 17 | 0 | 0 | 2 | 2 | 2 | 6 | 2 | 10 | 2 | 13 | 2 | 15 | 2 | 16 | 2 | 17 | 3 | 0 | 3 | 1 | 4 | 1 | 4 | 8 | 4 | 11 | 4 | 13 | 4 | 15 | 4 | 17 | 6 | 0 | 7 | 4 | 7 | 5 | 7 | 9 | 7 | 11 | 7 | 14 | 7 | 15 | 7 | 17 +PIN | A_FSB<21> | 64 | 0 | N/A | 29 | 33 | 3 | 15 | 3 | 14 | 2 | 14 | 2 | 5 | 7 | 16 | 2 | 1 | 3 | 10 | 2 | 7 | 7 | 1 | 2 | 11 | 6 | 16 | 7 | 12 | 2 | 10 | 7 | 7 | 6 | 17 | 6 | 7 | 3 | 5 | 3 | 13 | 2 | 2 | 2 | 6 | 2 | 13 | 2 | 15 | 2 | 17 | 3 | 0 | 3 | 1 | 4 | 2 | 6 | 0 | 7 | 3 | 7 | 4 | 7 | 9 | 7 | 11 | 7 | 15 | 7 | 17 +PIN | A_FSB<20> | 64 | 0 | N/A | 28 | 34 | 3 | 15 | 3 | 14 | 2 | 14 | 2 | 5 | 7 | 16 | 2 | 1 | 3 | 10 | 4 | 10 | 2 | 7 | 7 | 1 | 2 | 11 | 6 | 16 | 7 | 12 | 2 | 10 | 7 | 7 | 2 | 0 | 6 | 0 | 3 | 5 | 3 | 13 | 6 | 17 | 2 | 2 | 2 | 6 | 2 | 13 | 2 | 15 | 3 | 0 | 3 | 1 | 4 | 2 | 7 | 2 | 7 | 3 | 7 | 4 | 7 | 9 | 7 | 11 | 7 | 15 | 7 | 17 +PIN | A_FSB<19> | 64 | 0 | N/A | 26 | 24 | 3 | 15 | 3 | 14 | 2 | 10 | 7 | 16 | 2 | 1 | 3 | 10 | 4 | 10 | 2 | 6 | 7 | 1 | 2 | 11 | 6 | 16 | 7 | 11 | 7 | 4 | 6 | 17 | 6 | 0 | 2 | 2 | 2 | 15 | 2 | 17 | 3 | 0 | 3 | 1 | 3 | 13 | 7 | 2 | 7 | 9 | 7 | 15 +PIN | A_FSB<18> | 64 | 0 | N/A | 24 | 23 | 3 | 15 | 3 | 14 | 2 | 10 | 7 | 16 | 2 | 1 | 3 | 10 | 2 | 6 | 7 | 1 | 2 | 11 | 6 | 16 | 7 | 9 | 7 | 4 | 6 | 17 | 6 | 7 | 2 | 2 | 2 | 15 | 2 | 17 | 3 | 0 | 3 | 1 | 3 | 13 | 6 | 0 | 7 | 14 | 7 | 15 +PIN | A_FSB<17> | 64 | 0 | N/A | 23 | 22 | 3 | 15 | 3 | 14 | 2 | 10 | 7 | 16 | 2 | 1 | 3 | 10 | 2 | 6 | 7 | 1 | 2 | 11 | 6 | 16 | 7 | 9 | 7 | 4 | 6 | 17 | 6 | 8 | 2 | 2 | 2 | 15 | 3 | 0 | 3 | 1 | 3 | 13 | 6 | 0 | 7 | 14 | 7 | 15 +PIN | A_FSB<16> | 64 | 0 | N/A | 22 | 22 | 3 | 15 | 3 | 14 | 2 | 10 | 7 | 16 | 2 | 1 | 3 | 10 | 2 | 6 | 7 | 1 | 2 | 11 | 6 | 16 | 7 | 9 | 7 | 3 | 6 | 17 | 4 | 13 | 2 | 2 | 2 | 15 | 3 | 0 | 3 | 1 | 3 | 13 | 6 | 0 | 7 | 14 | 7 | 15 +PIN | A_FSB<15> | 64 | 0 | N/A | 21 | 10 | 3 | 15 | 3 | 14 | 6 | 17 | 6 | 10 | 2 | 17 | 3 | 0 | 3 | 1 | 3 | 13 | 6 | 0 | 6 | 16 +PIN | A_FSB<13> | 64 | 0 | N/A | 18 | 20 | 3 | 15 | 3 | 14 | 2 | 10 | 7 | 16 | 4 | 14 | 2 | 6 | 6 | 0 | 2 | 11 | 6 | 16 | 7 | 11 | 7 | 4 | 6 | 17 | 2 | 1 | 2 | 2 | 2 | 15 | 3 | 0 | 3 | 1 | 3 | 13 | 7 | 9 | 7 | 15 +PIN | A_FSB<12> | 64 | 0 | N/A | 17 | 10 | 3 | 15 | 3 | 14 | 6 | 17 | 4 | 11 | 2 | 17 | 3 | 0 | 3 | 1 | 3 | 13 | 6 | 0 | 6 | 16 +PIN | C8M | 8256 | 0 | N/A | 35 | 10 | 1 | 12 | 5 | 1 | 1 | 16 | 5 | 9 | 5 | 4 | 1 | 17 | 5 | 6 | 5 | 5 | 1 | 11 | 1 | 5 +PIN | C16M | 4096 | 0 | N/A | 33 | 18 | 5 | 2 | 5 | 3 | 1 | 12 | 1 | 8 | 1 | 7 | 5 | 0 | 5 | 17 | 1 | 9 | 1 | 15 | 1 | 10 | 5 | 15 | 5 | 7 | 5 | 12 | 1 | 6 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 16 +PIN | A_FSB<14> | 64 | 0 | N/A | 19 | 19 | 3 | 15 | 3 | 14 | 2 | 10 | 7 | 16 | 2 | 1 | 2 | 6 | 6 | 0 | 2 | 11 | 6 | 16 | 7 | 11 | 7 | 4 | 6 | 17 | 6 | 4 | 2 | 15 | 3 | 0 | 3 | 1 | 3 | 13 | 7 | 9 | 7 | 15 +PIN | A_FSB<11> | 64 | 0 | N/A | 15 | 10 | 3 | 15 | 3 | 14 | 6 | 17 | 4 | 8 | 2 | 16 | 3 | 0 | 3 | 1 | 3 | 13 | 6 | 0 | 6 | 16 +PIN | A_FSB<10> | 64 | 0 | N/A | 13 | 10 | 3 | 15 | 3 | 14 | 6 | 17 | 6 | 1 | 2 | 16 | 3 | 0 | 3 | 1 | 3 | 13 | 6 | 0 | 6 | 16 +PIN | FCLK | 16384 | 0 | N/A | 42 | 72 | 3 | 17 | 3 | 15 | 0 | 10 | 0 | 14 | 0 | 16 | 2 | 14 | 0 | 12 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 0 | 15 | 0 | 13 | 6 | 15 | 0 | 9 | 2 | 5 | 7 | 16 | 0 | 5 | 2 | 1 | 0 | 8 | 0 | 7 | 7 | 11 | 3 | 10 | 7 | 14 | 2 | 8 | 4 | 9 | 2 | 4 | 7 | 2 | 4 | 0 | 7 | 15 | 0 | 11 | 0 | 0 | 4 | 6 | 0 | 2 | 0 | 6 | 2 | 10 | 0 | 17 | 3 | 11 | 1 | 4 | 2 | 11 | 1 | 2 | 0 | 1 | 7 | 0 | 7 | 13 | 2 | 9 | 2 | 7 | 2 | 13 | 7 | 8 | 2 | 0 | 4 | 17 | 1 | 14 | 2 | 6 | 1 | 13 | 3 | 6 | 4 | 12 | 2 | 3 | 0 | 4 | 3 | 12 | 2 | 2 | 1 | 3 | 3 | 8 | 4 | 5 | 5 | 13 | 3 | 1 | 3 | 9 | 0 | 3 | 4 | 4 +PIN | nWE_FSB | 64 | 0 | N/A | 47 | 29 | 3 | 15 | 3 | 14 | 2 | 10 | 7 | 16 | 2 | 1 | 2 | 6 | 6 | 0 | 2 | 11 | 6 | 16 | 7 | 12 | 2 | 12 | 7 | 8 | 2 | 0 | 2 | 16 | 4 | 5 | 3 | 5 | 7 | 5 | 7 | 6 | 6 | 17 | 2 | 2 | 2 | 15 | 3 | 0 | 3 | 1 | 3 | 13 | 7 | 3 | 7 | 7 | 7 | 9 | 7 | 15 | 7 | 17 +PIN | nAS_FSB | 64 | 0 | N/A | 54 | 46 | 3 | 15 | 3 | 14 | 2 | 14 | 2 | 5 | 7 | 16 | 0 | 0 | 7 | 11 | 3 | 10 | 7 | 3 | 2 | 7 | 4 | 9 | 4 | 17 | 3 | 1 | 4 | 5 | 2 | 8 | 0 | 17 | 3 | 11 | 2 | 11 | 6 | 16 | 7 | 12 | 2 | 9 | 2 | 2 | 2 | 10 | 7 | 8 | 4 | 15 | 2 | 6 | 3 | 6 | 4 | 12 | 3 | 9 | 2 | 16 | 4 | 1 | 3 | 0 | 3 | 5 | 6 | 17 | 3 | 13 | 2 | 13 | 2 | 15 | 4 | 6 | 4 | 7 | 4 | 8 | 4 | 11 | 4 | 13 | 7 | 5 | 7 | 7 | 7 | 9 | 7 | 17 +PIN | A_FSB<8> | 64 | 0 | N/A | 11 | 10 | 3 | 15 | 3 | 14 | 6 | 17 | 6 | 10 | 2 | 16 | 3 | 0 | 3 | 1 | 3 | 13 | 6 | 0 | 6 | 16 +PIN | A_FSB<9> | 64 | 0 | N/A | 12 | 9 | 3 | 15 | 3 | 14 | 6 | 17 | 6 | 5 | 2 | 16 | 3 | 1 | 3 | 13 | 6 | 0 | 6 | 16 +PIN | nBERR_IOB | 64 | 0 | N/A | 123 | 1 | 1 | 16 PIN | nDTACK_IOB | 64 | 0 | N/A | 127 | 1 | 5 | 9 -PIN | nLDS_FSB | 64 | 0 | N/A | 49 | 3 | 0 | 7 | 7 | 0 | 7 | 5 -PIN | nUDS_FSB | 64 | 0 | N/A | 56 | 3 | 0 | 6 | 7 | 13 | 7 | 6 -PIN | E | 64 | 0 | N/A | 37 | 6 | 5 | 4 | 0 | 13 | 5 | 6 | 5 | 5 | 0 | 4 | 1 | 13 -PIN | nIPL2 | 64 | 0 | N/A | 146 | 1 | 1 | 15 -PIN | nVPA_IOB | 64 | 0 | N/A | 125 | 1 | 1 | 7 +PIN | nLDS_FSB | 64 | 0 | N/A | 49 | 3 | 0 | 8 | 7 | 0 | 7 | 5 +PIN | nUDS_FSB | 64 | 0 | N/A | 56 | 3 | 0 | 7 | 7 | 13 | 7 | 6 +PIN | E | 64 | 0 | N/A | 37 | 6 | 5 | 4 | 1 | 17 | 5 | 6 | 5 | 5 | 1 | 14 | 1 | 11 +PIN | nIPL2 | 64 | 0 | N/A | 146 | 1 | 1 | 13 +PIN | nVPA_IOB | 64 | 0 | N/A | 125 | 1 | 1 | 5 PIN | A_FSB<1> | 64 | 0 | N/A | 149 | 1 | 6 | 5 -PIN | A_FSB<7> | 64 | 0 | N/A | 8 | 2 | 6 | 8 | 4 | 13 +PIN | A_FSB<7> | 64 | 0 | N/A | 8 | 2 | 6 | 8 | 4 | 14 PIN | A_FSB<2> | 64 | 0 | N/A | 153 | 1 | 6 | 1 -PIN | A_FSB<3> | 64 | 0 | N/A | 155 | 1 | 4 | 7 -PIN | A_FSB<4> | 64 | 0 | N/A | 157 | 1 | 4 | 10 -PIN | A_FSB<5> | 64 | 0 | N/A | 4 | 1 | 4 | 13 +PIN | A_FSB<3> | 64 | 0 | N/A | 155 | 1 | 4 | 8 +PIN | A_FSB<4> | 64 | 0 | N/A | 157 | 1 | 4 | 11 +PIN | A_FSB<5> | 64 | 0 | N/A | 4 | 1 | 4 | 14 PIN | A_FSB<6> | 64 | 0 | N/A | 6 | 1 | 6 | 4 PIN | nVMA_IOB | 536871040 | 0 | N/A | 118 PIN | nAS_IOB | 536871040 | 0 | N/A | 135 @@ -2905,4 +2956,4 @@ PIN | nRAMLWE | 536871040 | 0 | N/A | 104 PIN | nRAMUWE | 536871040 | 0 | N/A | 105 PIN | nROMCS | 536871040 | 0 | N/A | 58 PIN | C25MEN | 536871040 | 0 | N/A | 95 -PIN | nRES | 536870976 | 0 | N/A | 145 | 2 | 5 | 9 | 2 | 7 +PIN | nRES | 536870976 | 0 | N/A | 145 | 2 | 5 | 9 | 2 | 5 diff --git a/cpld/XC95144XL/WarpSE.nga b/cpld/XC95144XL/WarpSE.nga index 3013736..79a8c36 100644 --- a/cpld/XC95144XL/WarpSE.nga +++ b/cpld/XC95144XL/WarpSE.nga @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6e -$7`:4`<,Fz_t)*@pUz'B]YCK-9>PIOT'161)L88;7)ATy&'KuR,ORTHN*<51]JJS"2;>$O>??4$NrW|!"Hx]r/JUQKC%164ZOI^-?8;#KWP348 JvSp-.D|Yv+FY]GG!528VCEZ);<7/G[TZVSW98=7)ATy&'KuR,ORTHN*<51]JJS"2;>$NT]Q_T^312>"Hx]r/(B~[x%D[[AE#;<:TECX+525-A]VXX]U9??5+OqV{ !Iw\q.MTRJL$273[LHQ,<9<"HV__uqjiwciidooSck{_0d8 JvSp-.D|Yv+FY]GG!528VCEZ);<7/S15>"Hx]r/(B~[x%D[[AE#;<:TECX+525-QLK23-Xnz)94(183>5/a3!:1<7>& )294?6.991#==>?:0234?7789#:?6&>1623>470890:=:>?)028,41783;<<=4>712*55=/91:;6<6?0;3;45/682"94=>52923>7>78 ;;7%=?0180456=;9:;%??4(2234?578908<=>& )1645<4=9:1?8>?)028,637839><=4<512*`>.3890?<=4;01+24>.189:1:=>?:7234,773!=;<=4801293567!8:0$4>?0;;345<>89:"=6>>;008554<9880=??=;009KPRW]]0YM1?>:1<1?45538>97<;=;041?41538297<7>;308654<:;80>>?;;319MKVR\3KTJ^L32282:7=5<;199?5=7391<7=51818>6=?2:116>54:29?>6=:2:156>5092>979>=;531?1453=99798=;421?0453<9978:=;471?0053<2:7;<46208214<><80:;?4739447=0:;1<8?58639437=00;1<5<572::36>>6:229>66:2::56>>0:222=67=;821?<75308974==;861?<3530<9749:;@NF4H1B.E0g?DJB8D$O>R^[_1f8EIC7E'N9S]ZP1e9BH@6J&M8T\YQ=d:COA5K)L;U[XR=k;@NF4H(C:VZ_S994AMG3I+W>3HFN<@ ]EF78EIC7[>1J@H>\.E:8EIC7['N:46OCE1Q-@710MAK>3:CM@<=FFGE_MYKK9:C\BVD;994o7LQISC>24;(WIM30MRH\B=32:a=FWOYI0GXNZH7=?0k;@]EWG:6:7$[MI74A^DPF9746m1JSK]M<01=*UGC12KTJ^L3152i5N_GQA8439&YKO56OPFR@?538c3HUM_O2>6?,SEA?e9B[CUE48=5"]OK9:C\BVD;914o7LQISC>2<;(WIM30MRH\B=3::a=FWOYI0<71.QCG<>GXNZH7=3m4A^DPF979&YKO56OPFR@?658c3HUM_O2=0?,SEA?>e9B[CUE4;;5"]OK9:C\BVD;:;4o7LQISC>16;(WIMh0MRH\B=00>48>3HUM_O2=3?f8EZ@TJ5882#^ND99B[CUE4;4h7LQISC>1:+VFL11JSK]M<2<`?DYA[K682#^ND99B[CUE4=4h7LQISC>7:+VFL11JSK]M<4<`?DYA[K6>2#^ND99B[CUE4?4h7LQISC>5:+VFL11JSK]M<6<`?DYA[K6<2#^ND99B[CUE414h7LQISC>;:+VFL11JSK]M<8<`?DYA[K622#^NDe9B[CUEW8:TEO[Id:C\BVDX98UBNXHk;@]EWGY6:VCIYKj4A^DPFZ74W@H^Ji5N_GQA[42XAK_Mh6OPFR@\50YNJ\Lo7LQISC]22ZOE]On0MRH\B^34[LDRNm1JSK]M_0:\MGSAl2KTJ^LP18]JFP@d3HUM_OQ>_H@VBa=FWOYIS?>PICWE`>GXNZHT>RGMUGf8EZ@TJV88SDLZFb9B[CUEW;UBNXHl;@]EWGY4W@H^Jn5N_GQA[1YNJ\Lh7LQISC]6[LDRNj1JSK]M_7]JFP@d3HUM_OQ8_H@VBf=FWOYIS5QFBTD`?DYA[KU2SDLZF79BkprHM:1IYK64BTQ\MK@H<2I::@64C04N*UGCm2I::@QFBTD,BFJLn2I::@QFBTD,BFJL%?1H>8CJN`9@60KBF'ZJHo5L24OFJZIE]On0O?;BEO]LFP@)Ll1H>8CJN^MAQC(C9l1H>8CJN^MAQC(C:m1H>8CJN^MAQC(V;2I3A:5L8L,SEA>C_X8:T"HV__QV\5c=BPY;;S#KWP^RW[7`P.DZS[URX=8h0IU^>0^,F\UYs{`gyicobee]maqYf3LR[=C_X8;T"HV__QV\5c=BPY;:S#KWP^RW[7`1^,F\UYs{`gyicobee]maqYf3LR[=?Q!EYRe?@^W9;U%IU^PPU]3b>C_X88T"HV__QV\5c=BPY;9S#KWP^RW[7`R JXQ]SPZ5a3LR[=?Q!EYR\TQY3n2OS\<2^,F\UYs{`gyicobee]maqYf3LR[=>Q!EYRe?@^W9:U%IU^PPU]3b>C_X89T"HV__QV\54dC_X8>T"HV__QV\14dC_X8?T"HV__QV\14dV$NT]Q{shoqakgjmmUeiyQn;DZS52Y)MQZm7HV_16]-A]VXX]U;j6KWP05\*@^WWY^T=k5JXQ34[+C_XVZ_S?h4EYR23Z(BPYU[XR=i;DZS52Y)MQZT\YQ;1c9F\U70W'OS\Rz|ilpfjdkblVdnxRo4EYR28^,F\UYW\V:m7HV_19]-A]VXX]U:j6KWP0:\*@^WWY^T>9^,F\UYW\V9m7HV_18]-A]VXX]U?j6KWP0;\*@^WWY^T9=Q!EYR\TQY7n2OS\?>P.DZS[URX9o1NT]C_X;8T"HV__QV\7c=BPY89S#KWP^RW[17e3LR[>?Q!EYR\pvojzldjahjPndv\e>C_X;9T"HV_1c9F\U44W'OS\Rz|ilpfjdkblVdnxRo4EYR10Z(BPYl0IU^=4^,F\UYW\V:m7HV_25]-A]VXX]U:j6KWP36\*@^WWY^T>k5JXQ07[+C_XVZ_S>h4EYR10Z(BPYU[XR:i;DZS61Y)MQZT\YQ:1c9F\U43W'OS\Rz|ilpfjdkblVdnxRo4EYR11Z(BPYl0IU^=5^,F\UYW\V:m7HV_24]-A]VXX]U:j6KWP37\*@^WWY^T>k5JXQ06[+C_XVZ_S>h4EYR11Z(BPYU[XR:i;DZS60Y)MQZT\YQ:1c9F\U42W'OS\Rz|ilpfjdkblVdnxR84E^KAQC1Ail;1BB>5FN118MK733@D:<95FN037?LH6:=1BB<=;;HL201=NF8??7D@>659JJ4133@D:495FN0;0?LH5<2CE>=:4IO020>OI:;>0EC<<4:KM61286GA2768MK40<2CE>5:4IO0:7>OI;=1BB>>;;HL056=NF=90EC;<;HL57>OI?:1BB5=4IO;:?LHN\Z^JXH;4INC@P2=NGHI_"I64INC@P+B602CDMNZ!D3f8MJGD\'N9S]ZP0e9JKDES&M8T\YQ>d:KLEFR)L;U[XRj4INC@P+B5WY^T8i5FO@AW*A4XX]U>;6G@ABV-U<=NGHI_"_KH6:KLF@TU02CDNH\].E;8MJDBZ[$O=45FOCGQV+B502CDNH\].Pc8MJDBZ[$YIJl4IN@FVW(U[]L:46G@BDPQ[qunejxnmykk_qpo`Z0OHD9$O=:5FOM2-@7eOHD9$O>R^[_0a8MJJ7&M8T\YQ=c:KLH5(C:VZ_S>m4INN3*A4XX]U?o6G@L1,G6ZVSW2CD@= ^8:KLH5(UMN;>7DAC0^vpmheumh~nhRv`r^;8MJHWZlkouo5FOORQadb~&Mi0EB@_Rdcg}+B6k2CDB]\jae{-@7b2:KLJUTbims%IU^PPU]157=NGGZYiljv.DZS[URX;880EB@_Rdcg}+C_XVZ_S9?j;HMMTWcflp$NT]Q{shoqakgjmmUeiyQm;HMMTWcflp$Zh6G@NQPfea)ZLM<7DA]DSGR=>OHZMXN]#Jn;HMQ@WCV&M;j7DA]DSGR*A4a3@EYH_K^.E0\TQY7n2CD^I\JQ/F1[URX9k1BC_J]EP,F\U773@EYH_K^.DZS[URX88:0EB\KRDS-A]VXX]U:==5FOSFQAT(BPYU[XR<>0:KLVATBY'OS\R^[_23`?LIUL[OZ"HV__uqjiwciidooSck{_89JKWBUMX$Zn6G@REPFU+TBO=1BCX>9;HMV4+B03@E^<#J>7:KLQ5(C:j1BCX>!D3]SPZ6d3@E^<#J=_QV\5f=NG\:%H?Q_T^0`?LIR8'N9S]ZP3b9JKP6)L;U[XR:l;HMV4+B5WY^T9n5FOT2-@7YW\V<=7DAZ0/S;?LIR8'XNK<;4INW3[qunejxnmykk_ymq[2=NG^XYI\74INUQV@W)Lh1BCZ\]EP,G5d=NG^XYI\ K2g9JKRTUMX$O>R^[_1d8MJQUZL[%H?Q_T^3e?LIPZ[OZ"IOH_[XN]#J=_QV\7c=NG^XYI\ K2^RW[1`H7=2D;(BKl;O2-FP@HM'E^X95A0/F6?K6)L8?0B= K259M4+W03G:%X_][3:L352=IM]]D^F=4OOG1?JT43F__?6^ND59SEWR03YCEBLCJa:RJJZDR[@NSn6^FN^@VWKGJM?1[ig`d99SkwrWi}b37\a\Rdcg}d=VgZXnmiw!Dc9RkVTbims%H4QnQQadb~&M8T\YQ9a:SlWWcflp$Zo6_`SSgb`|(UMN?0^L2?>89QE969&YKO:6\N<02=e>TF48:5"]OK8:PB847=87<0^L2>1?c8VD:697$[MI;4R@>2:<=UI5;5"]OK5:PB878>3[K7>3 _AE78VD:4601YM1=1.QCG1>TF4=427_O34?,SEA3245]A=7=*UGC=2XJ0;06;SC?2;(WIM?0^L28>89QE919&YKO96\N<9<:?WG;07$[MI;4R@>::<=UI535"]OK8:PBIWcflpk0^LC]e`fz*AdTFE[ojht K2^RW[4773[KF^hoky/F1[URX:8:0^LC]e`fz*A4XX]U8==5]ALPfea)L;U[XR:>0:PBIWcflp$O>R^[_4c8VDKUmhnr"\m4R@OQadb~&[OL56\N_1]LFP@e3[KTTFW9UDNXH!D0a8VDY7WFH^J#J=109QEZ6XGK_M"I<<4R@]24ZIE]O$O>R^[_131?WGX99UDNXH!D3]SPZ7d3[KT==Q@BTD-Uc=UIV;:SBLZF%@VB5763[KT=2:PB[47XGK_M(O[I0/F156=UIV;:SBLZF%@VB5(BPY;37_OP10]LFP@#J\L;"HV__QV\44>199QEZ76WFH^J)LZF1,F\UYW\V8:46\N_03\KGSA,K_M<#KWP^RW[67?3[KT=_N@VB!DRN9$Zo6\N_03\KGSA,Xi0^LQ>1^MAQC(Cl2XJS6\N_03\KGSA&M8T\YQ>e:PB[47XGK_M"HV_129QEZ76WFH^J#KWP^RW[5743[KT=TFW8UDNXH!D0a8VDY6WFH^J#J=109QEZ7XGK_M"I6\N_5]LFP@)MQZT\YQ?139QEZ2XGK_M"HV__QV\5442:PB[1YHJ\L%IU^PPU]75`=UIV>TCO[I.DZS[qune{oem`kk_ogw[g=UIV>TCO[I.P;8VDY2WFH^Jo5]A^7\KGSA&Mi0^LQ:_N@VB+B6k2XJS8Q@BTD-@7bSBLZF/G[T44SBLZF/G[TZVSW9;97_OP5^MAQC(BPYU[XR?>2:PB[0YHJ\L%IU^PPU]157=UIV?TCO[I.DZS[URX;880^LQ:_N@VB+C_XVZ_S9?j;SC\1ZIE]O$NT]Q{shoqakgjmmUeiyQm;SC\1ZIE]O$Z56\N_7]LFP@e3[KT:RAMUG,Gg>TFW?UDNXH!D0a8VDY1WFH^J#J=d:PB[3YHJ\L%IU^>2:PB[3YHJ\L%IU^PPU]357=UIVTFW?UDNXH!EYR\TQY39l1YMR8POCWE*@^WW}ybakaalgg[kcsWk1YMR8POCWE*T?;SC\3ZIE]O$O>R^[_0`8VDY0WFH^J#_6;SC\TFW1UDNXH!D3]SPZ6692XJS5Q@BTD-@7YW\V;i7_OP8^MAQC(V12XJS4Q@BTDa?WGX1VEIYK Kc:PB[TFW0UDNXH!Q29QWQ03[omYi!CD:8V``Rzn$O56\jfTpd*A7>3[omYi!D3:8V``Rzn$Zm6\jfTpd*WC@;2YNX45\euwsLjjc;2^YE95[YQG`?PUBZV\B_DLCE89UM@QX\PZN?6Xlc79TewvTMh1\m~\E/lde0=Pam~c>n5WC87201^K%8:&X\??0!*Pt`cc(Ocgi7.Yesqjkk&4&9#?7UJBL0f8\LJNFQ'SHO.?.0"PPPD'8';+M^MFI29[KW0^c`V^bahMgebig?]boW]cfiIkcax33?]bjWDkacXjrrklj46/F2g>ei|&Oy0=0!D3`8gkr(M{6;2#_k;blw+@t;87$YIJ74cov,Aw:66k1hby!Jr=3=*Ae>/F2g>ei|&Oy0<0!D3`8gkr(M{6:2#_k;blw+@t;97$YIJh4cov,MVYA[DUMJi?>1:amp*OTWOYFSKHk1/F26>ei|&CXSK]B_GDg5+B69;1hby!FS^DPIZ@Al8$O><=4cov,MVYA[DUMJi?!EYR2<>ei|&CXSK]B_GDg5+C_XVZ_S=?7;blw+LUXNZGTJKj>.DZS[URX9820ocz IR]EWHYANm;%IU^PPU]15==df}%B_RH\M^DE`4(BPYU[XR==4:amp*OTWOYFSKHk1/G[TZrtadxnblcjd^lfpZ763jd#D]PFRO\BCb6&X;87n`{/HQ\BVKXNOn:"_KH219`jq)N[VLXARHId0]wwlkdzlkiiQwos]e?fhs'@YTJ^CPFGf154=df}%B_RH\M^DE`7(C9;1hby!FS^DPIZ@Al;$O=<<4cov,MVYA[DUMJi/F24>ei|&F_e`k}<1<-@4773jd#AZfmdp?4;(C:8?0ocz LUknaw:76'N9S]ZP0078gkr(D]cfi2?>/F1[URX98?0ocz LUknaw:76'N9S]ZP2078gkr(D]cfi2?>/F1[URX;8?0ocz LUknaw:76'N9S]ZP4078gkr(D]cfi2?>/F1[URX=8?0ocz LUknaw:76'N9S]ZP6g9`jq)K\`gn~1>1.P32?fhs'E^bah|30?,QABc24;773jd#AZfmdp?558)L8;0ocz LUknaw:687$O=0?,G6ZVSW9;=7n`{/MVji`t;994%H?Q_T^322>ei|&F_e`k}<02=*A4XX]U9=?5lnu-OPlkbz5;;2#KWP058gkr(D]cfi2>0?,F\UYW\V::;6mat.NWmhcu48:5"HV__QV\57524;(BPYUdc}eocnaaYim}U:<6mat.NWmhcu48:5"\?=;blw+IRnelx7==0!RDE2b>ei|&F_e`k}<02=[qunejxnmykk_ymq[`=df}%GXdcjr=32:4625;(C981hby!CThofv9766'N:=<5lnu-OPlkbz5;:2#J=179`jq)K\`gn~1?>>/F1[URX88<0ocz LUknaw:697$O>R^[_035?fhs'E^bah|310<-@7YW\V8:<6mat.NWmhcu48;5"\?=;blw+IRnelx7=<0!RDE2b>ei|&F_e`k}<03=[qunejxnmykk_ymq[a=df}%GXdcjr=3=b>ei|&F_e`k}<0<-@462:+B6991hby!CThofv979&M8:96mat.NWmhcu484%H?Q_T^221>ei|&F_e`k}<0<-@7YW\V;:96mat.NWmhcu484%H?Q_T^021>ei|&F_e`k}<0<-@7YW\V9:=6mat.NWmhcu484%IU^>6:amp*JSadoy0<0!EYR\TQY79?1hby!CThofv979&LR[S]ZP1308gkr(D]cfi2>>/G[TZrtadxnblcjd^lfpZ`2:+W692iex"B[ilgq848)ZLMo7n`{/MVji`t;:7l0ocz LUknaw:56'N:<6mat.NWmhcu4;4%H3 K2078gkr(D]cfi2=>/F1[URX88?0ocz LUknaw:56'N9S]ZP1078gkr(D]cfi2=>/F1[URX:o1hby!CThofv949&X;:7n`{/MVji`t;:7$YIJ?j;blw+IRnelx7>3Q{sho`v`gsmmUscQk;blw+IRnelx7?3h4cov,HQojm{682#J>0:amp*JSadoy0>0!D033?fhs'E^bah|33?,G6430:+B5WY^T<<;4cov,HQojm{682#J=_QV\5430:+B5WY^T>k5lnu-OPlkbz595"\?>;blw+IRnelx7?3 ]EF3f?fhs'E^bah|33?]wwlkdzlkiiQwos]g?fhs'E^bah|34?d8gkr(D]cfi2;>/F24>ei|&F_e`k}<5<-@4773jd#AZfmdp?0;(C:8?0ocz LUknaw:36'N9S]ZP0078gkr(D]cfi2;>/F1[URX98?0ocz LUknaw:36'N9S]ZP2g9`jq)K\`gn~1:1.P32?fhs'E^bah|34?,QAB7b3jd#AZfmdp?0;Ys{`gh~ho{ee]{kwYc3jd#AZfmdp?1;`6:+B682iex"B[ilgq808)L8;;7n`{/MVji`t;=7$O><;4cov,HQojm{6>2#J=_QV\4436:+B5WY^T=<;4cov,HQojm{6>2#J=_QV\6c=df}%GXdcjr=7=*T763jd#AZfmdp?1;(UMN;n7n`{/MVji`t;=7UdclrdcwaaYg{Uo7n`{/MVji`t;>7l0ocz LUknaw:16'N:<6mat.NWmhcu4?4%H/F1[URX88?0ocz LUknaw:16'N9S]ZP1078gkr(D]cfi29>/F1[URX:o1hby!CThofv909&X;:7n`{/MVji`t;>7$YIJ?j;blw+IRnelx7:3Q{sho`v`gsmmUscQk;blw+IRnelx7;3h4cov,HQojm{6<2#J>0:amp*JSadoy0:0!D033?fhs'E^bah|37?,G6434:+B5WY^T<<;4cov,HQojm{6<2#J=_QV\5434:+B5WY^T>k5lnu-OPlkbz5=5"\?>;blw+IRnelx7;3 ]EF3f?fhs'E^bah|37?]wwlkdzlkiiQwos]g?fhs'E^bah|38?d8gkr(D]cfi27>/F24>ei|&F_e`k}<9<-@4773jd#AZfmdp?<;(C:8?0ocz LUknaw:?6'N9S]ZP0078gkr(D]cfi27>/F1[URX98?0ocz LUknaw:?6'N9S]ZP2g9`jq)K\`gn~161.P32?fhs'E^bah|38?,QAB7b3jd#AZfmdp?<;Ys{`gh~ho{ee]{kwYc3jd#AZfmdp?=;`::+B682iex"B[ilgq8<8)L8;;7n`{/MVji`t;17$O><;4cov,HQojm{622#J=_QV\443::+B5WY^T=<;4cov,HQojm{622#J=_QV\647::+C_X8<0ocz LUknaw:>6'OS\R^[_135?fhs'E^bah|39?,F\UYW\V;::6mat.NWmhcu404%IU^PPU]167=df}%GXdcjr=;=*@^WW}ybakaalgg[kcsWo1hby!CThofv9?9&X;:7n`{/MVji`t;17$YIJ?j;blw+IRnelx753Q{sho`v`gsmmUscQl;blw+IRnelx_Oh5lnu-OPlkbz]I%Hk5lnu-OPlkbz]I%H0:amp*JSadoyXN ]EF0f?fhs'E^bah|P1^llp5679&iex"B[ilgq[4Yig}:;<ei|&F_e`k}_0]mkq6788%hby!CThofvZ7Xff~;<=?PD3,G57`?1^F1*A44<2iex"B[ilgq[4Yig}:;<#J=_QV\562?1^F1*A4XX]U9?95lnu-OPlkbzV;Tbbz?013,gkr(D]cfiQ>_omw4566WM8%H?Q_T^100>ei|&F_e`k}_0]mkq6788%hby!CThofvZ7Xff~;<=?PD3,G6ZVSW=9?7n`{/MVji`tX9Vddx=>?1.amp*JSadoyS_omw4566'jd#AZfmdp\5Zhh|9:;=RJ=.E0\TQY1:l1hby!CThofvZ7Xff~;<=? cov,HQojm{U:Sca{0122[A4)Y::0ocz LUknawY6Wge<=>>/blw+IRnelxT=R``t1235ZB5&\CFo6mat.Vji`t;87l0ocz Thofv969&JOn7n`{/Uknaw:76'Nm7n`{/Uknaw:76'N:j6mat.Vji`t;87$O>h5lnu-Wmhcu494%]<>4cov,Plkbz5:5"_KH1e9`jq)Sadoy0=0Ptrkngwcf|lnTtb|Pc:amp*Rnelx7=3h4cov,Plkbz5;5"NKj;blw+Qojm{6:2#Ji;blw+Qojm{6:2#J>f:amp*Rnelx7=3 K2068gkr(\`gn~1?1.E0\TQY79=1hby![ilgq848)L;U[XR?>4:amp*Rnelx7=3 K2^RW[7c2:+TBOj1hby![ilgq878a3jd#Ygbes>1:+EBm2iex"Zfmdp?6;(Cn2iex"Zfmdp?6;(C9o1hby![ilgq878)L;;?7n`{/Uknaw:56'N9S]ZP0068gkr(\`gn~1<1.E0\TQY69=1hby![ilgq878)L;U[XR<>4:amp*Rnelx7>3 K2^RW[6c1:+TBOj1hby![ilgq868a3jd#Ygbes>0:+EBm2iex"Zfmdp?7;(Cn2iex"Zfmdp?7;(C9o1hby![ilgq868)L;;?7n`{/Uknaw:46'N9S]ZP0068gkr(\`gn~1=1.E0\TQY69=1hby![ilgq868)L;U[XR<>4:amp*Rnelx7?3 K2^RW[6c0:+TBO8n0ocz Thofv959W}yban|jaugg[}iuWk1hby![ilgqPFcei|&^bah|[C/PFC<=df}%\_1>1b:amp*QT494%Hn5lnu-TW969&M;h7n`{/VQ?4;(C:m1hby!XS=2=*@^W9;1hby!XS=2=*@^WWY^T<<<4cov,SV:76'OS\R^[_03f?fhs'^Y7<3 JXQ]wwlkumgkfiiQaeu]a?fhs'^Y7<3 ^d:amp*QT494%^HI>a:amp*QT494Tx~gbcsgbp`bXpfxT56mat.UP848e3jd#Z]31?,Gg>ei|&]X0<0!D0a8gkr(_Z6:2#J=109`jq)P[5;5"I6mat.UP848)MQZT\YQ?139`jq)P[5;5"HV__QV\544>/G[TZVSW;;n7n`{/VQ?5;(BPYUdc}eocnaaYim}Ui7n`{/VQ?5;(Vl2iex"Y\<0<-V@A>3jd#Z]32?`8gkr(_Z692#Jl;blw+RU;:7$O=n5lnu-TW949&M8:=6mat.UP878)L;U[XR>>1:amp*QT4;4%H?Q_T^3g?fhs'^Y7>3 JXQ31?fhs'^Y7>3 JXQ]SPZ66:2iex"Y\<3<-A]VXX]U:=?5lnu-TW949&LR[S]ZP2008gkr(_Z692#KWP^RW[67b3jd#Z]32?,F\UYs{`gyicobee]maqYe3jd#Z]32?,R`>ei|&]X0?0!RDE2e>ei|&]X0?0Ptrkngwcf|lnTtb|P9:amp*QT4:4i7n`{/VQ?7;(Ck2iex"Y\<2<-@4e/F154=df}%\_1=1.E0\TQY7981hby!XS=1=*A4XX]U:=<5lnu-TW959&M8T\YQ=d:amp*QT4:4%IU^>e:amp*QT4:4%IU^Ptrknv`hfelnTbhzPb:amp*QT4:4%]i5lnu-TW959&[OL=l5lnu-TW959W}yban|jaugg[}iuWh1hby!aIQN1vf=df}%eE]B=r/Fg?fhs'gC[@?|!D0f8gkr(f@ZG> K2b9`jq)iAYF9~#_j;blw+kOWD;x%^HIm;bq,jJpbzekrh6m|/oMuawjfq'Nn7n} nNtfvig~&M;n7n} nNtfvig~&M8:?6m|/oMuawjfq'N9S]ZP0018gv)iGoy`lw!D3]SPZ7a3jy$bBxjrmcz*@^W9=1h"`@vdpoe|(BPYU[XR>>4:ap+kIqm{fju#KWP^RW[4733jy$bBxjrmcz*@^WWY^T><:4cr-mKscudhs%IU^PPU]065=d{&dDzh|cax,F\UYs{`gyicobee]maqYc3jy$bBxjrmcz*T`Jz'N:o6g`bl-@3:+Bc3`eia"K\<1<-@4b2:klfh)B[5:5"Iohjd%N_1>1.Pg8mjdj'LY7<3 ]EF3a?liee&OX0=0Ptrkngwcf|lnTtb|Pa:klfh)B[5;5o6g`bl-FW979&Mn0eblb/DQ?5;(C9m1bcoc ER>2:+B59;1bcoc ER>2:+B5WY^T<<<4in`n+@U;97$O>R^[_031?liee&OX0<0!D3]SPZ46:2cdn`!JS=3=*A4XX]U8o6g`bl-FW979&Xo0eblb/DQ?5;(UMNk0eblb/DQ?6;eohjd%N_1<1.E3g?liee&OX0?0!D331?liee&OX0?0!D3]SPZ66:2cdn`!JS=0=*A4XX]U:=?5foco,AV:56'N9S]ZP2b9jkgk(MZ692#_j;hmai*CT4;4%^HI>b:klfh)B[585Sy}fmbpfeqccWqeySl5foco,AV:46j1bcoc ER>0:+Bc3`eia"K\<2<-@4b2:klfh)B[595"I/F1[URX;j1bcoc ER>0:+Wb3`eia"K\<2<-V@A6j2cdn`!JS=1=[qunejxnmykk_ymq[2=ngkg$I74in`n+@t)Lh1bcoc Es,G5d=ngkg$I K289jkgk(M{$Zn6g`bl-Fv+TBOm1bcoc INPGV@Wun2cdn`!FOSFQATt)L8:0eblb/HMQ@WCVz'N:==5foco,MJTCZL[y"Iohjd%BC^>m;hmai*OH[9$Oo6g`bl-JKV6)L8i0eblb/HMP4+B5981bcoc INQ3*A4XX]U;=<5foco,MJU7&M8T\YQ>109jkgk(AFY;"I5foco,MJUXNZGTJKj>.E37?liee&CD_RH\M^DE`4(C98>0eblb/HMP[CUJWOLo=#J=129jkgk(AFYTJ^CPFGf2*T723`eia"G@S^DPIZ@Al8$YIJ?>;hmai*OH[VLXARHId330?liee&CD_RH\M^DE`7(C9=1bcoc INQ\BVKXNOn9"I?>4:klfh)NGZUM_@QIFe0-@77>3`eia"G@S^DPIZ@Al;$O>R^[_13:?liee&CD_RH\M^DE`7(C:VZ_S%H??<;hmai*OH[VLXARHId5,R50=ngkg$EB]PFRO\BCb3&[OL=<5foco,MJUXNZGTJKj:129jkgk(AFYTJ^CPFGf6*A733`eia"G@S^DPIZ@Al<$O=<:4in`n+LITWOYFSKHk5/F156=ngkg$EB]PFRO\BCb2&X;>7damm.KLWZ@TEVLMh8 ]EF32?liee&CD_RH\M^DE`3743`eia"G@S^DPIZ@Al?$O=95foco,MJUXNZGTJKj9.E320>ohjd%BC^QISL]EBa0)L;;27damm.KLWZ@TEVLMh; K2^RW[57>3`eia"G@S^DPIZ@Al?$O>R^[_030?liee&CD_RH\M^DE`3(V9<1bcoc INQ\BVKXNOn="_KH109jkgk(AFYTJ^CPFGf456=ngkg$EB]PFRO\BCb0&M;?7damm.KLWZ@TEVLMh: K1068mjdj'@EXSK]B_GDg3+B5901bcoc INQ\BVKXNOn<"I189jkgk(AFYTJ^CPFGf4*A4XX]U9=>5foco,MJUXNZGTJKj8.P36?liee&CD_RH\M^DE`2(UMNn0eblb/HMTVWCVzo1bcoc INUQV@Wu&M;;7damm.KLSWTBY{$O=<>4in`n+LIPZ[OZ~#J=f:klfh)NG^XYI\|!Q038mjdj'@E\^_K^r/PFC<=ngkg$Z]O}b:klfh)QXHx%Hn5foco,RUGu&M;h7damm.TSEw(C:k1bcoc VQCq*Tb.DZS[URX88>0ebl|/Bnfew7)MQZT\YQ>159jkgu(Keoj~< JXQ]SPZ4582cdn~!Lldcq5+C_XV~xe`|jn`of`Zhb|Vn0ebl|/Bnfew7)Yo1bco} Cmgbv4(UMNh0ebl|/HMBGQtc3`ei"G@ABVq*Acohjz%BCIAAEs,Gb>ohjz%BCIAAEs,G5c=ngky$EBJ@NDp-@7cohjz%BCA?!CD`8mjdt'@EG=#Jl;hmaw*OHD8$O=n5focq,MJJ6&M8i7dams.KLH4(Vl2cdn~!FOM3-V@Af3`ei"G@RV3`?lie{&CD^Z?!De9jkgu(AFX\=#J>d:klfv)NG[]:"I<>2:klfv)NG[]:"Iohjz%BC_Y>.E0\TQY3m2cdn~!FOSU2*@^W9o1bco} INPT5+C_XV~xe`|jn`of`Zhb|Vi0ebl|/HMQS4(Vm2cdn~!FOSU2*WC@9k1bco} INPT5Zrtadiyilzjd^zlvZ?.SGDe>ohjz%Gclj>c:klfv)Kghn:"Ij4in`p+Iifl8$O=i5focq,Hjgc9'N9=?5focq,Hjgc9'N9S]ZP0008mjdt'Eejh< K2^RW[4753`ei"B`ae3-@7YW\V8:>6g`br-Okdb6&M8T\YQ<139jkgu(Dfko=#J=_QV\0443:klfv)S[VLXARHId0,G64>6g`br-WWZ@TEVLMh< ^159jkgu(\ZUM_@QIFe3-V@A682cdn~![S^DPIZ@Al;;97dams.VP[CUJWOLo>#J>3:klfv)S[VLXARHId3,G545#KWP^RW[4423`ei"Z\_GQN[C@c:'OS\Rz|ilpfjdkblVdnxR?=;hmaw*RTWOYFSKHk2/S20>ohjz%__RH\M^DE`7(UMN30bLJ`uuNF4a=iIMe~xAK?.QCGa>hFLf@H>POCWE55=iIMe~xAK?_N@VB+B692dJHb{{LD2\KGSA&M;:=6`NDnwwH@6XGK_M"I<>0:lB@jssDL:TCO[I.P;8jDBh}}FN=i5aAEmvpIC6&YKOi6`NDnwwH@7XGK_M==5aAEmvpIC6WFH^J#J>1:lB@jssDL;TCO[I.E325>hFLf@H?POCWE*A46>2dJHb{{LD3\KGSA&M8T\YQ?179mEAir|EO:SBLZF/F1[URX98:0bLJ`uuNF5ZIE]O$Z=?5aAEmvpIC6WFH^J#\JG69mEVYA[Kh0bL]PFR@-TDBd3gKXSK]M_H@VB2=iIZUBCOl4n@Q\MJD)XHNj7cO\_HMAkprc3gKXSDAMotv'K@ehF[VCDNb{{.SGDb>hF[VCDNb{{.UPPP2=iIfCHl4n@mvpJC)XHNh7cO`uuMF[JDRNl1eMb{{OD]LFP@)Lo1eMb{{OD]LFP@)L8l0bLaztNG\KGSA&M8:<6`NotvLAZIE]O$NT]?:;oClqqIBWFH^J#KWP^RW[5723gKdyyAJ_N@VB+C_XVZ_S?:;oClqqIBWFH^J#KWP^RW[1463gKdyyAJ_N@VB+C_XV~xe`|jn`of`Zhb|Vo0bLaztNG\KGSA&X;;7cO`uuMF[JDRN'XNK45aBDPQ[CUEl2dII_\PFR@-TDBb3gHN^_QISC]LFP@682dII_\PFR@\KGSA&M;:7cLJRS]EWGYHJ\L%H;o@FVWYA[KUDNXH!D335?kDBZ[UM_OQ@BTD-@7YW\V:::6`MESP\BVDXGK_M"I<<4nCGQVZ@TJVEIYK JXQ34?kDBZ[UM_OQ@BTD-A]VXX]U;=:5aBDPQ[CUEWFH^J#KWP^RW[4703gHN^_QISC]LFP@)MQZT\YQ=229mF@TUWOYISBLZF/G[TZrtadxnblcjd^lfpZ773gHN^_QISC]LFP@)Y880bOK]R^DPFZIE]O$YIJ?=;o@FVWYA[KUDNXH!UHO:?kDBZ[UBCOj4nCGQVZOHJ'ZJHh5aBDPQ[LIEW@H^J:5aBS]JKGdhEZVCDNRAMUG,G6ZVSW9;?7cL]_HMA[JDRN'N9S]ZP1028jGTXAFHTCO[I.DZS50=iJ[UBCOQ@BTD-A]VXX]U;=85aBS]JKGYHJ\L%IU^PPU]250=iJ[UBCOQ@BTD-A]VXX]U9><5aBS]JKGYHJ\L%IU^Ptrknv`hfelnTbhzPe:lAVZOHJVEIYK ^119mFWYNGKUDNXH!RDE2`>hEZVCDNRAMUG]wwlkdzlkiiQwos]7?kEF[11eOL]!P@F:?kEF[VEIYKl4nBCP[JDRN'Nh7cMNS^MAQC(C9j1eOL]POCWE*A4692dHM^Q@BTD-@7YW\V::=6`LAR]LFP@)L;U[XR?>1:l@EVYHJ\L%H?Q_T^025>hDIZUDNXH!D3]SPZ5692dHM^Q@BTD-@7YW\V>:=6`LAR]LFP@)L;U[XR;>1:l@EVYHJ\L%H?Q_T^4a?kEF[VEIYK ^d:l@EVYHJ\L%^HIn;oFWEFMXNZHn7cJ[ABI\BVD)XHNm7cJ[ABI\BVDXGK_M=<5aDUC@OZ@TJVEIYK*^109m@QGDCVLXNRAMUG,G57=iL]KHGRH\B^MAQC(C9880bIZNCJ]EWGYHJ\L%H??8;oFWEFMXNZHTCO[I.E0\TQY79>1eHYOLK^DPFZIE]O$O>R^[_034?kBSIJATJ^LPOCWE*A4XX]U9=:5aDUC@OZ@TJVEIYK K2^RW[6703gN_MNEPFR@\KGSA&M8T\YQ;169m@QGDCVLXNRAMUG,G6ZVSW<;:7cJ[ABI\BVDXGK_M"\?<;oFWEFMXNZHTCO[I.SGDe>hC\HI@SDAMe:lGPDELW@EI"]OKf:lGPDELW@EISDLZF79m@lhKMh1eHd`CE/RB@g=iL`dGIRAMUGf8jAoiDLUDNXH!Dd9m@lhKMVEIYK K1d9m@lhKMVEIYK K2g9m@lhKMVEIYK JXQ37?kBnfEOTCO[I.DZS[URX88>0bIgaLD]LFP@)MQZT\YQ>159m@lhKMVEIYK JXQ]SPZ4582dOecBJ_N@VB+C_XV~xe`|jn`of`Zhb|Vn0bIgaLD]LFP@)Yo1eHd`CE^MAQC(UMN<0bIgaODc8jAoiGL$[MIl4nEkmK@YHJ\Lo7cJfnNG\KGSA&Mo0bIgaOD]LFP@)L8o0bIgaOD]LFP@)L;;87cJfnNG\KGSA&M8T\YQ?129m@lhHMVEIYK K2^RW[4743gNbbBKPOCWE*A4XX]U9h6`KioMF[JDRN'[<7cJ`uuMFf>hCg|~DI#^NDb9m@jssGLUDNXHj;oFlqqIBWFH^J#Ji;oFlqqIBWFH^J#J>f:lGkprHMVEIYK K2068jAir|FOTCO[I.E0\TQY79=1eHb{{OD]LFP@)L;U[XR?j;oFlqqIBWFH^J#_:;oKSH7?#^ND`9mMUJ5W@H^J55aLEQ\BVDd3gFO_RH\B/RB@a=iDMYTJ^LPICWE<>hKLZUBCOm4nMFP[LIE&YKOn6`CDR]JKGir|l1e@I]PIN@lqq"HMm1e@I]PIN@lqq"V9<1e@I]PIN@lqq(E]OEN"B[[d:lO@VYNGKe~x#Jj;oNGWZOHJf"I?j;oNGWZOHJf"I<>3:lO@VYNGKe~x#J=_QV\4454nMFP[LIEg|~%X_][3:lLA2=iGL$[MI64nNG\KGSAi2dDIRAMUG,Gf>hHMVEIYK K1c9mK@YHJ\L%H?m4nNG\KGSA&LR[=<5aOD]LFP@)MQZT\YQ?109mK@YHJ\L%IU^PPU]254=iGLUDNXH!EYR\TQY5981eCHQ@BTD-A]VXX]U8=<5aOD]LFP@)MQZT\YQ;1e9mK@YHJ\L%IU^Ptrknv`hfelnTbhzPa:lLAZIE]O$Zo6`@E^MAQC(UMN=0b_OBLVGa?kTFEE]N"]OKc:lQEHJPMVEIYKk4nSCNHRCXGK_M"Ih4nSCNHRCXGK_M"I?i;oPBIIQBWFH^J#J=119mVDKK_LUDNXH!EYR21>hUIDF\IRAMUG,F\UYW\V::96`]ALNTAZIE]O$NT]Q_T^321>hUIDF\IRAMUG,F\UYW\V89=6`]ALNTAZIE]O$NT]Q{shoqakgjmmUeiyQj;oPBIIQBWFH^J#_8;oPBIPQBj2dYM@[XE/RB@f=iZHG^[HQ@BTDf?kTFE\]NSBLZF/Fe?kTFE\]NSBLZF/F2b>hUID_\IRAMUG,G646hUID_\IRAMUG,R0>hUIZ20b_O\.QCG=>hUIZUDNXHm;oPBWZIE]O$Oo6`]AR]LFP@)L8i0b_O\_N@VB+B5l2dYM^Q@BTD-A]V6:2dYM^Q@BTD-A]VXX]U;=?5aR@Q\KGSA&LR[S]ZP1008jWGTWFH^J#KWP^RW[7753gXJ_RAMUG,F\UYW\V9:>6`]AR]LFP@)MQZT\YQ;1d9mVDUXGK_M"HV__uqjiwciidooSck{_c9mVDUXGK_M"\:4nSGP<>hUMZ$[MI94nSGPkpr>3gXN_b{{.Ec8jWCTg|~%HhUGDIXSBLZF/G[TZVSW9;?7c\@MBQ\KGSA&LR[S]ZP1068jWIJKZUDNXH!EYR\TQY59=1e^BCLS^MAQC(BPYU[XR=>4:lQKHETWFH^J#KWP^RW[1473gXDAN]POCWE*@^WW}ybakaalgg[kcsWm1e^BCLS^MAQC(V>2dYC@YJa:lQKHQB&YKOn6`]OLUF[JDRNm1e^BCXE^MAQC(Cm2dYC@YJ_N@VB+B6m2dYC@YJ_N@VB+B5n2dYC@YJ_N@VB+C_X8>0b_ABWD]LFP@)MQZT\YQ?159mVJKPMVEIYK JXQ]SPZ76<2dYC@YJ_N@VB+C_XVZ_S??;;oPLIRCXGK_M"HV__QV\776>3:lV@VYNGKe~x#J=_QV\545hQEHUBCO _AE`8jSKFW@EIcxzj;oTNEZOHJf(BKk;oTNEZOHJf(\?:;oTNEZOHJf"O[IOD,LQQbtfe&HJOY|!D3`8vdk(JHI_~#_k;scn+GGD\{$YIJo4r`o,@QGDC{i0~lc DUC@Ow(Cl2xja"J[ABIq*A7c3{kf#IZNCJp-@7etfe&N_MNE}.SGD=>tfe&XJ_HBm;scn+WGTME$Oo6|nm.PBW@J)L8i0~lc R@QFH+B5981ym`!]ARGO*A4XX]U;=<5}al-QEVCK&M8T\YQ>109qeh)UIZOG"Itfe&XJ_HB!EYR26>tfe&XJ_HB!EYR\TQY79;1ym`!]ARGO*@^WWY^T=c:pbi*TF[LD%H??>;scn+WGTMG$O>R^[_132?wgj'[KXIC K2^RW[4763{kf#_O\EO,G6ZVSW;;:7ob/SCPAK(C:VZ_S>?>;scn+WGTMG$O>R^[_532?wgj'[KXIC K2^RW[0d;scn+WGTz{$O>R^[_3f8vdk(ZHYy~#KWP008vdk(ZHYy~#KWP^RW[5753{kf#_O\rs,F\UYW\V;:>6|nm.PBWwt)MQZT\YQ=1d9qeh)UIZxy"HV__uqjiwciidooSck{_c9qeh)UIZxy"\j4r`o,VDUuz'XNKk5}al-QWZ@TEVLMh;scn+WUXNZGTJKj>.E31?wgj'[YTJ^CPFGf2*A76:2xja"\\_GQN[C@c9'N9=<5}al-QWZ@TEVLMh< ^129qeh)U[VLXARHId0,QAB`6|nm.PP[CUJWOLo>#J>139qeh)U[VLXARHId3,G647>8:pbi*TTWOYFSKHk3/G[TZVSW8;37ob/SQ\BVKXNOn8"HV__QV\64>6|nm.PP[CUJWOLo8#J=129qeh)U[VLXARHId5,F\U7?3{kf#_]PFRO\BCb3&LR[S]ZP00:8vdk(ZZUM_@QIFe6-A]VXX]U:=55}al-QWZ@TEVLMh9 JXQ]SPZ4602xja"\\_GQN[C@c<'OS\R^[_207?wgj'[YTJ^CPFGf7*@^WW}ybakaalgg[kcsW8;0~lc RR]EWHYANm>%]<=4r`o,VVYA[DUMJi:!RDEe?wgj'[YTJ^CPFGf654=uid%Y_RH\M^DE`0(C9;1ym`!]S^DPIZ@Al<$O=<<4r`o,VVYA[DUMJi;!D334?wgj'[YTJ^CPFGf6*A4XX]U;=:5}al-QWZ@TEVLMh8 K2^RW[4763{kf#_]PFRO\BCb2&X;87ob/SQ\BVKXNOn>"_KHf:pbi*TTWOYFSKHk6038vdk(ZZUM_@QIFe4-@447:pbi*TTWOYFSKHk7/F1[URX88=0~lc RR]EWHYANm=%H?Q_T^323>tfe&XXSK]B_GDg3+B5WY^T><94r`o,VVYA[DUMJi9!D3]SPZ56?2xja"\\_GQN[C@c?'N9S]ZP4058vdk(ZZUM_@QIFe5-@7YW\V?:=6|nm.PP[CUJWOLo;#_>3:pbi*TTWOYFSKHk7/PFCc=uid%Y_RH\M^DE`=763{kf#_]PFRO\BCb?&M;97ob/SQ\BVKXNOn3"I?>2:pbi*TTWOYFSKHk8/F152=uid%Y_RH\M^DE`=(C:VZ_S=?8;scn+WUXNZGTJKj7.E0\TQY69>1ym`!]S^DPIZ@Al1$O>R^[_334?wgj'[YTJ^CPFGf;*A4XX]U8=:5}al-QWZ@TEVLMh5 K2^RW[1703{kf#_]PFRO\BCb?&M8T\YQ:169qeh)U[VLXARHId9,G6ZVSW?;:7ob/SQ\BVKXNOn3"\?<;scn+WUXNZGTJKj7.SGDf>tfe&XnjIaaee9qeh)UmoNdbh Ke:pbi*TbnMeei#J>e:pbi*TbnMeei#J=129qeh)UmoNdbh K2^RW[5743{kf#_kiDnlf*A4XX]U:h6|nm.PfbAiim'[m7ob/Sge@jhb&[OL96xnltg~DEv?=1>0LMv=a58E>7<6sZn86?o8:`8271d68o0::5<7{o0a5?70j6<=;b02e>40?:11]>lj52zw2`6<63|;o87>4}%0b5?7c:2.:i>4=a79a6d5=83>=689n:474M4f82.95k4=a29Yfc<5s;k1=h4ri8694?"6m?02?6`>e483?>o>93:1(;2d:i84=;:k;`?6=,8o=64=4n0g6>6=e78:7>h6m<0?76g77;29 4c12090b5$0g5><56:54i9394?"6m?02?6`>e48;?>o0l3:1(;2d:i84m;:k`f?6=3`i;6=44i6d94?"6m?02?6`>e48:?>o013:1(;2d:i84k;:k206<722c=>=4?::k7b2<722cj>7>5$0g5><56k54i`694?"6m?02?6`>e48f?>o2:00;66g:5c83>>o2080;66g:eg83>>oc03:17d;8e;29?l06l3:17d6m:18'5`0=1:1e=h;51798md6=83.:i;463:l2a0<6821b5;4?:%3f2??43g;n97?:;:k:42<3`3j6=4+1d49=6=i9l?1=>54i8a94?"6m?02?6`>e4826>=n1l0;6)?j6;;0?k7b=3;:76a90283>>i3nh0;66a:8583>>i2n;0;66a:1983>>i19;0;66a:bd83>>i2:j0;66a=0083>!7b>3;mm6`>e48;?>i2f783>!7b>3;mm6`>e48a?>i6n10;6)?j6;3ee>h6m<0:76a>fe83>!7b>3;mm6`>e48b?>i6no0;6)?j6;3ee>h6m<0276a=0283>!7b>3;mm6`>e484?>i58<0;6)?j6;3ee>h6m<0=76a=0683>!7b>3;mm6`>e486?>i5800;6)?j6;3ee>h6m<0?76a=0c83>!7b>3;mm6`>e480?>i58m0;6)?j6;3ee>h6m<0976a:e383>>i3m?0;66a:3e83>>i2=l0;66a:9483>>-2kj0;66a>fc83>!7b>3;mm6`>e483?>i3mm0;66a:0883>>i2>k0;66a:7483>>i2i=0;66a:b183>>i2l=0;66al4;29?g?2290:6=4?{%0bg?7b:2B9m=5f1d094?"5ij0:i?5+1e396g=#9l>1>o54}c`7>5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+637951=#>;>1=95r}|8yg?5290:6=4?{%0bg?7b:2B9m=5f1d094?"5ij0:i?5+1e3962=#9l>1>:54}c`0>5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+637951=#>;>1=95r}|8yg?7290:6=4?{%0bg?7b:2B9m=5f1d094?"5ij0:i?5+1e3960=#9l>1>854}c`1>5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+637951=#>;>1=95r}|8yg>b290:6=4?{%0bg?7b:2B9m=5f1d094?"5ij0:i?5+1e3961=#9l>1>954}c`2>5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+637951=#>;>1=95r}|8yg>f290:6=4?{%0bg?7b:2B9m=5f1d094?"5ij0:i?5+1e3966=#9l>1>>54}cce>5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+637951=#>;>1=95r}|8yg>?290:6=4?{%0bg?7b:2B9m=5f1d094?"5ij0:i?5+1e3967=#9l>1>?54}ccf>5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+637951=#>;>1=95r}|8yg>1290:6=4?{%0bg?7b:2B9m=5f1d094?"5ij0:i?5+1e3964=#9l>1><54}ccg>5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+637951=#>;>1=95r}|8yg>3290:6=4?{%0bg?7b:2B9m=5f1d094?"5ij0:i?5+1e3965=#9l>1>=54}cc`>5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+637951=#>;>1=95r}|8yg>5290:6=4?{%0bg?7b:2B9m=5f1d094?"5ij0:i?5+1e395c=#9l>1=k54}cca>5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+637951=#>;>1=95r}|8yg1b290:6=4?{%0bg?7b:2B9m=5f1d094?"5ij0:i?5+1e395f=#9l>1=n54}cc:>5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+637951=#>;>1=95r}|8yg1d290:6=4?{%0bg?7b:2B9m=5f1d094?"5ij0:i?5+1e395g=#9l>1=o54}cc;>5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+637951=#>;>1=95r}|8yged290:6=4?{%0bg?7b:2B9m=5f1d094?"5ij0:i?5+1e396<=#9l>1>454}cag>5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+637951=#>;>1=95r}|8ygeb29096=4?{%0bg?db3A8j<6g>4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=991/:?:5119~yx{e09K6dd<^;kn6:3:8 4c32;207pll2;296?6=8r.9mn4me:J1e5=n9=31<7*=ab820<=N5i91b=9750;&1ef<6<010c:18'6de=9l;0D?om;W0ba?7|D;h;64381!05=3;;7)8=4;33?x{zu2wi4=4?:083>5}#:hi1=h<4H3c3?l7b:3:1(?ol:0g1?!7c93;o7)?j4;3g?>{eih0;6?4?:1y'6de=jl1C>l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3;?7)8=4;37?x{zu2wi;l4?:083>5}#:hi1=h<4H3c3?l7b:3:1(?ol:0g1?!7c93?j7)?j4;7b?>{ei>0;6?4?:1y'6de=jl1C>l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3;?7)8=4;37?x{zu2wi;54?:083>5}#:hi1=h<4H3c3?l7b:3:1(?ol:0g1?!7c93?97)?j4;71?>{ei?0;6?4?:1y'6de=jl1C>l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3;?7)8=4;37?x{zu2wi=9:50;394?6|,;kh6o6m;0;6)"6l809h6*>e581`>=zj8>>6=4=:183!4fk3hn7Ee09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1==5+636955=zutw0qo?;6;296?6=8r.9mn4me:J1e5=n9=31<7*=ab820<={e>;;1<7?50;2x 7gd28o97E4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=9=1/:?:5159~yx{m47>51;294~"5ij0:i?5G2`28m4c5290/>lm51d08 4b62:80(l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3;?7)8=4;37?x{zu2wim>4?:083>5}#:hi1=h<4H3c3?l7b:3:1(?ol:0g1?!7c93>>7)?j4;66?>{ejk0;6?4?:1y'6de=jl1C>l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3;?7)8=4;37?x{zu2wim84?:083>5}#:hi1=h<4H3c3?l7b:3:1(?ol:0g1?!7c93>j7)?j4;6b?>{ejj0;6?4?:1y'6de=jl1C>l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3;?7)8=4;37?x{zu2wi9?o50;394?6|,;kh6o6m;0;6)"6l80?i6*>e587a>=zj<8i6=4=:183!4fk3hn7Ee09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1=95+636951=zutw0qo?kd;296?6=8r.9mn4me:J1e5=n9=31<7*=ab820<=0qpsr;|`61f<7280;6=u+2`a95`4<@;k;7d?j2;29 7gd28o97)?k1;73?!7b<3?;76sm54f94?4=83:p(?ol:cg8L7g73`;?57>5$3c`>42>32e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*924820>"1:=0:86sr}|9~f0>5290:6=4?{%0bg?7b:2B9m=5f1d094?"5ij0:i?5+1e3974=#9l>1?<54}c7;7?6=:3:1N5i91b=9750;&1ef<6<010c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>742<,?8?6<:4}|~?xd2n90;6<4?:1y'6de=9l80D?o?;h3f6?6=,;kh6t$3c`>gc<@;k;7d?;9;29 7gd28>276a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560<6<2.=>94>4:~yx=zjm31<7?50;2x 7gd28o97E4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=9=1/:?:5159~yx{51;294~"5ij0:i?5G2`28m4c5290/>lm51d08 4b62l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3;?7)8=4;37?x{zu2wi:o6m;0;6)"6l80?j6*>e587b>=zj?;m6=4=:183!4fk3hn7Ee09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1=95+636951=zutw0qo6l:182>5<7s-8jo7?j2:J1e5=n9l81<7*=ab82a7=#9m;19k5+1d691c=e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1=95+636951=zutw0qoo>:182>5<7s-8jo7?j2:J1e5=n9l81<7*=ab82a7=#9m;1?55+1d697==e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1=95+636951=zutw0qo78:182>5<7s-8jo7?j2:J1e5=n9l81<7*=ab82a7=#9m;1:=5+1d6925=6=4=:183!4fk3hn7Ee09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1=95+636951=zutw0qo76:182>5<7s-8jo7?j2:J1e5=n9l81<7*=ab82a7=#9m;1:<5+1d6924=e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1=95+636951=zutw0qo7m:182>5<7s-8jo7?j2:J1e5=n9l81<7*=ab82a7=#9m;1:?5+1d6927=e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1=95+636951=zutw0qo7k:182>5<7s-8jo7?j2:J1e5=n9l81<7*=ab82a7=#9m;1>;5+1d6963=e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1=95+636951=zutw0qo7i:182>5<7s-8jo7?j2:J1e5=n9l81<7*=ab82a7=#9m;1?=5+1d6975=e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1=95+636951=zutw0qo8?4;295?6=8r.9mn4>e39K6d61b<3th=<>4?:283>5}#:hi1>4k4H3c3?l7313:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;9:T207<5s-<997?=;%410?753twvq6gk4;29 7gd2m>0Z?oj:0yO6g6=9r\o;7a2<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th?jo4?:083>5}#:hi1=h<4H3c3?j7b:3:1(?ol:0g1?!7c93??7)?j4;77?>{e6*925826>{zut1bh94?:%0bg?b33_8ji7?tL3`3>4}Ql>09w)?j8;f7?S73:38p(;<::c9'272=j2wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e=1?1<7?50;2x 7gd28o97E329086=4?{%0bg?4>m2B9m=5f15;94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=30Z<:=:3y'273=9;1/:?:5139~yx{1<7*=ab8g0>P5il0:wAg=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f0`4290:6=4?{%0bg?7b:2B9m=5`1d094?"5ij0:i?5+1e3916=#9l>19>54}c7e6?6=;3:142>3_;?>744<,?8?6<<4}|~?lb3290/>lm5d59U6dc=9rF9n=4>{Wf4>7}#9l21h95Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c72=?6=93:1:5`8 4c32=h07pl:1983>7<729q/>lm5bd9K6d626=4+2`a951?<3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;31?!05<3;97psr}:a245=83;1<7>t$3c`>4c53A8j<6a>e383>!4fk3;n>6*>d086a>"6m=0>i65rb731>5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+637957=#>;>1=?5r}|8yg3en3:1=7>50z&1ef<6m;1C>l>4o0g1>5<#:hi1=h<4$0f2>11<,8o?6994;|`6f`<72;0;6=u+2`a9f`=O:h:0e<:6:18'6de=9=307b?j1;29 7gd28o:7[{e=;n1<7?50;2x 7gd28o97E4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=9;1/:?:5139~yx{7>51;294~"5ij0:i?5G2`28k4c5290/>lm51d08 4b62:k0(l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3;97)8=4;31?x{zu2wi99j50;394?6|,;kh6i6m;0;6)"6l809i6*>e581a>=zj<>h6=4=:183!4fk3hn7Ee09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1=?5+636957=zutw0qo?i7;295?6=8r.9mn4>e39K6d64$0g7>16<3th:j;4?:383>5}#:hi1nh5G2`28m42>290/>lm515;8?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997?=;%410?753twvq6sm1g;94?7=83:p(?ol:0g1?M4f82e:i?4?:%0bg?7b:2.:h<4;2:&2a1<3:21vn5<7s-8jo7lj;I0b4>o6<00;6)=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5139'272=9;1vqps4}c3ea?6=93:1:2g8 4c32:o07pl>fe83>7<729q/>lm5bd9K6d626=4+2`a951?<3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;31?!05<3;97psr}:a656=83;1<7>t$3c`>4c53A8j<6a>e383>!4fk3;n>6*>d080g>"6m=08o65rb0de>5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+637957=#>;>1=?5r}|8yg47<3:1=7>50z&1ef<6m;1C>l>4o0g1>5<#:hi1=h<4$0f2>6?<,8o?6>74;|`146<72;0;6=u+2`a9f`=O:h:0e<:6:18'6de=9=307b?j1;29 7gd28o:7[{e:9<1<7?50;2x 7gd28o97E4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=9;1/:?:5139~yx{51;294~"5ij0:i?5G2`28k4c5290/>lm51d08 4b62:n0(0;6?4?:1y'6de=jl1C>l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3;97)8=4;31?x{zu2wi>=o50;394?6|,;kh6i6m;0;6)"6l808j6*>e580b>=zj;:26=4=:183!4fk3hn7Ee09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1=?5+636957=zutw0qoe39K6d617<3th95}#:hi1nh5G2`28m42>290/>lm515;8?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997?=;%410?753twvq6sm21g94?7=83:p(?ol:0g1?M4f82e:i?4?:%0bg?7b:2.:h<4;3:&2a1<3;21vn?>k:181>5<7s-8jo7lj;I0b4>o6<00;6)=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5139'272=9;1vqps4}c7f7?6=93:1:268 4c32:>07pl:e383>7<729q/>lm5bd9K6d626=4+2`a951?<3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;31?!05<3;97psr}:a0`1=83;1<7>t$3c`>4c53A8j<6a>e383>!4fk3;n>6*>d0862>"6m=0>:65rb5g5>5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+637957=#>;>1=?5r}|8yg34m3:1=7>50z&1ef<6m;1C>l>4o0g1>5<#:hi1=h<4$0f2>60<,8o?6>84;|`67a<72;0;6=u+2`a9f`=O:h:0e<:6:18'6de=9=307b?j1;29 7gd28o:7[{e=4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=9;1/:?:5139~yx{51;294~"5ij0:i?5G2`28k4c5290/>lm51d08 4b62:=0(l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3;97)8=4;31?x{zu2wi9nj50;394?6|,;kh6-6m;0;6)"6l80>o6*>e586g>=zj489U6dc=9rF9n=4>{Wf4>7}#9l21=974V061>7}#>;?1=?5+636957=zutw0ei:50;&1ef{M0a4?7|^m=1>v*>e98g0>P6<;09w)8=5;`8 3432k1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj8lh6=4>:183!4fk3;n>6F=a19l5`4=83.9mn4>e39'5a7=7>50z&1ef5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422880(;<;:008yx{z3th?ih4?:083>5}#:hi1=h<4H3c3?j7b:3:1(?ol:0g1?!7c93?>7)?j4;76?>{e6*925826>{zut1vn8>n:182>5<7s-8jo7?j2:J1e5=h9l81<7*=ab82a7=#9m;1955+1d691==52;294~"5ij0ii6F=a19j51?=83.9mn4>4898k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6<<4$707>444<729q/>lm51d08L7g73f;n>7>5$3c`>4c53-;o=7;m;%3f0?3e32wi9;l50;094?6|,;kh6ok4H3c3?l7313:1(?ol:06:?>i6m80;6)P5il0:wAe09U514=:r.=>84>2:&561<6:2wvqp5rb455>5<6290;w)N5i91d=h<50;&1ef<6m;1/=i?5589'5`2==010qo;85;296?6=8r.9mn4me:J1e5=n9=31<7*=ab820<=376sm5`694?4=83:p(?ol:cg8L7g73`;?57>5$3c`>42>32e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*924826>"1:=0:>6sr}|9~f0d6290:6=4?{%0bg?7b:2B9m=5`1d094?"5ij0:i?5+1e390<=#9l>18454}c7a4?6=:3:1N5i91b=9750;&1ef<6<010c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>744<,?8?6<<4}|~?xd2l<0;6<4?:1y'6de=9l80D?o?;n3f6?6=,;kh6t$3c`>gc<@;k;7d?;9;29 7gd28>276a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560<6:2.=>94>2:~yx=zjj?1<7?50;2x 7gd28o97Et$3c`>gc<@;k;7d?;9;29 7gd28>276a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560<6:2.=>94>2:~yx=zj?:<6=4=:183!4fk3hn7Ee09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi:=;50;094?6|,;kh6ok4H3c3?l7313:1(?ol:06:?>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d7<729q/>lm5bd9K6d626=4+2`a951?<3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c43N5i91b=9750;&1ef<6<010c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f37629086=4?{%0bg?4f12B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4c9U514=:r.=>84>4:&561<6<2wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e>9l1<7850;2x 7gd28><7E{M0a4?7|^8n;6?u+1d:951?<^8>96?u+6379f>"1:=0i7psr}:kg4?6=,;kh6i>4V3cf>4}K:k:1=vX>d181!7b03n;7[?;2;0x 3422k1/:?:5b:~yx=nl80;6)a=zutF9mk4;{W0:`?5|^m?1>v*9228g5>"4m00=>85rVe496~"1::0:845+3d;92736?u+6319`4=#;l31:?;4}Wf5>7}#>;91=974$2g:>3433t.=n54>3:U6a7<,:o26;<:;|Tg2?4|,?886i>4$2g:>3423t.=n54l;|T2a2<5s_n>6?u+6319`4=#;l31:?;4}%4a52z&2a=<51j1]=9<52z&560<6?2.=>94>7:~yI4fn3;pZ?o::3yU`0<5s-<9?7<6c:&0a<<1:<1v(;l7:058yx{P6<;09w)8=5;34?!05<3;<7psrL3ce>4}Q:h?1>vXk5;0x 3442;2o7)=j9;411>{#>k21=:5r}|9l5`7=83.9mn4>e098yg7303:1=7>50z&1ef<5j:1C>l>4o0g2>5<#:hi1=h?4;|`1e7<7280;6=u+2`a95a`<@;k;7b?j1;29 7gd28o:76sm61;94?5=83:p(?ol:3c:?M4f82c:8l4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820d=Q9=81>v*9248a?!05<3h0qpsr;h37f?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{5<6290;w)N5i91d=h?50;&1ef<6m810qo8?c;292?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742f3_;?>740<,?8?6<84}|~?l73j3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924822>"1:=0::6sr}|9j500=83.9mn4>489K6dd<^;kn6P5il0:wA609U514=:r.=>84>6:&561<6>2wvqp5f17694?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<8;;W376?4|,?8>6<84$707>4050z&1ef<0=2B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=9?1/:?:5179~yx{i6=4+2`a951?<^;kn6:6X>4381!05=3;=7)8=4;35?x{zu2c::<4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9?;0Z<:=:3y'273=9?1/:?:5179~yx{o6><0;6)P5il0:wA649U514=:r.=>84>6:&561<6>2wvqp5f17494?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?<0Z<:=:3y'273=9?1/:?:5179~yx{5<4290;w)N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+6379f>"1:=0i7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c424?6=;3:1N5i91b=9o50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422l1/:?:5e:~yx=n9=h1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742e3_;?>7`=#>;>1i6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi8kk50;094?6|,;kh6ok4H3c3?l7313:1(?ol:06:?>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d7<729q/>lm5bd9K6d626=4+2`a951?<3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c6e`?6=:3:1N5i91b=9750;&1ef<6<010c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f1`a29096=4?{%0bg?db3A8j<6g>4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e=9=1<7850;2x 7gd28><7E{M0a4?7|^8n;6?u+1d:951?<^8>96?u+6379f>"1:=0i7psr}:kg4?6=,;kh6i>4V3cf>4}K:k:1=vX>d181!7b03n;7[?;2;0x 3422k1/:?:5b:~yx=nl80;6)a=zutF9mk4;{W0:`?5|^m?1>v*9228g5>"4m00=>85rVe496~"1::0:845+3d;92736?u+6319`4=#;l31:?;4}Wf5>7}#>;91=974$2g:>3433t.=n54>3:U6a7<,:o26;<:;|Tg2?4|,?886i>4$2g:>3423t.=n54l;|T2a2<5s_n>6?u+6319`4=#;l31:?;4}%4a52z&2a=<51j1]=9<52z&560<6?2.=>94>7:~yI4fn3;pZ?o::3yU`0<5s-<9?7<6c:&0a<<1:<1v(;l7:058yx{P6<;09w)8=5;34?!05<3;<7psrL3ce>4}Q:h?1>vXk5;0x 3442;2o7)=j9;411>{#>k21=:5r}|9l5`7=83.9mn4>e098yg3783:1?7>50z&1ef<5i01C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422k1/:?:5b:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560;:a155=83<1<7>t$3c`>23<@;k;7d?;a;29 7gd28>27E{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637953=#>;>1=;5r}|8m431290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;35?!05<3;=7psr}:k224<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651738R4252;q/:?;5179'272=9?1vqps4i047>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?94:T207<5s-<997?9;%410?713twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06b>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?9;%410?713twvq6g>4c83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=;5+636953=zutw0e<;9:18'6de=9=30D?om;W0ba?7|D;h;6:6X>4381!05=3;=7)8=4;35?x{zu2c::<4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9?;0Z<:=:3y'273=9?1/:?:5179~yx{i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d2<729q/>lm5749K6d6j6=4+2`a951?<@;ki7[o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637953=#>;>1=;5r}|8m431290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;35?!05<3;=7psr}:k224<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651738R4252;q/:?;5179'272=9?1vqps4i047>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?94:T207<5s-<997?9;%410?713twvq6g>6483>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;;4V061>7}#>;?1=;5+636953=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f065290?6=4?{%0bg?7b82B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4c9U514=:r.=>84m;%410?d27[2\:8?4={%411?d<,?8?6o5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn8>7:180>5<7s-8jo79:;I0b4>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+6379a>"1:=0n7psr}:k20g<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5e:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{52;294~"5ij0ii6F=a19j51?=83.9mn4>4898k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn868:181>5<7s-8jo7lj;I0b4>o6<00;6)=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>32e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`6=6<72?0;6=u+2`a9511<@;k;7)?9a;3:?l7313:1(?ol:06:?S4fm3;p@?l?:0yU5a6=:r.:i54>489U514=:r.=>84m;%410?dlk51zN1f5<6s_;o<7a6<^8>96?u+6379f>"1:=0i7psr}:kg5?6=,;kh6i?4V3cf>7}K:k:1=vX>d181!7b03n:7[?;2;0x 3422m1/:?:5d:~yI4fn3>pZ?7k:2yU`0<5s-<9?7j>;%1f=?05=2w]h;4={%417?7312.8i449249~ 3d?2890q[<6d;1xRa3=:r.=>>4k1:&0a<<1:<1vZi852z&566<6<01/?h756368y!0e03;87pX=9e80Sb22;q/:?=5d09'7`?=>;?0q[j9:3y'275=l91/?h756378y!0e03i0q[?j7;0xRa3=:r.=>>4k1:&0a<<1:<1v(;l7:0:8yx{P6<;09w)8=5;34?!05<3;<7psrL3ce>4}Q:h?1>vXk5;0x 3442;3h7)=j9;411>{#>k21=:5r}|9j6=b=83.9mn4=8e9U6dc=:rF9n=4>{W3g4?4|,8o36?6k;W376?4|,?8>6<94$707>41lh51zT1e0<5s_n>6?u+63196=b<,:o26;<:;|&5f=<6?2wvq6a>e083>!4fk3;n=65rb4:b>5<4290;w)N5i91b=9o50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422k1/:?:5b:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560;:a1=b=8391<7>t$3c`>23<@;k;7d?;a;29 7gd28>27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a1=c=8391<7>t$3c`>23<@;k;7d?;a;29 7gd28>27[o6P5il0:wA4c9U514=:r.=>84>6:&561<6>2wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e=1l1<7=50;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3;=7)8=4;35?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924822>"1:=0::6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi94>50;194?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?9;%410?713twvq6g>4c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;35?!05<3;=7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0::6*925822>{zut1b=8850;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228<0(;<;:048yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c7;g?6=>3:142f3_;?>7g=#>;>1n6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1n6*9258a?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*9248a?!05<3h0qpsr;h355?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e=0>1<7=50;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;I0bf>P5il0:wA4`9U514=:r.=>84j;%410?c27E5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c7e2?6=:3:1N5i91b=9750;&1ef<6<010c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f0`329096=4?{%0bg?db3A8j<6g>4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e=o?1<7<50;2x 7gd2ko0D?o?;h37=?6=,;kh6<:6;:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th>j:4?:383>5}#:hi1nh5G2`28m42>290/>lm515;8?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo8?1;292?6=8r.9mn4>469K6d6<,85<#:hi1=974V3cf>4}K:k:1=vX>d181!7b03;?56X>4381!05=3h0(;<;:c9~yx{P5il0:wA84m;%410?d:18'6de=l81]>lk52zN1f5<6s_;o<7a7<^8>96?u+6379`>"1:=0o7psrL3ce>1}Q:0n1?vXk5;0x 3442m;0(>k6:706?xPc>38p(;<<:06:?!5b13<996s+6c:956=z^;3o6>uYd481!05;3n:7)=j9;411>{Ql?09w)8=3;37=>"4m00=>95r$7`;>45v*9228g4>"4m00=>85r$7`;>f=z^8o<6?uYd481!05;3n:7)=j9;411>{#>k21=55r}|9j6{W3g4?4|,8o36?7l;W376?4|,?8>6<94$707>41lh51zT1e0<5s_n>6?u+63196!4fk383h6X=ad81I4e83;pZ=:1n0Z<:=:3y'273=9>1/:?:5169~yxJ5io0:w[>4=8e9'7`?=>;?0q)8m8;34?x{z3f;n=7>5$3c`>4c632wi9k650;194?6|,;kh6?o6;I0b4>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+6379f>"1:=0i7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c7e=?6=93:1:18'6de=9l;07pl:fc83>6<729q/>lm5749K6d6j6=4+2`a951?<^;kn6=9=h0Z<:=:3y'273=j2.=>94m;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d6<729q/>lm5749K6d6j6=4+2`a951?<^;kn64381!05=3;=7)8=4;35?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`6ba<72:0;6=u+2`a930=O:h:0e<:n:18'6de=9=30Z?oj:0yO6g6=9r\o;742f3_;?>740<,?8?6<84}|~?l73j3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?9;%410?713twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&5604?:1y'6de=?<1C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228<0(;<;:048yx{z3`;?n7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;35?!05<3;=7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th>jk4?:583>5}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<84$707>4027[o6=?0;6)N5ik1]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+637953=#>;>1=;5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn8hn:185>5<7s-8jo7?j0:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5b:&5614c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<63:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997l4$707>g=zutw0e<8>:18'6de=9=30Z?oj:0yO6g6=9r\o;74063_;?>7g=#>;>1n6sr}|9j532=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;:4V061>7}#>;?1n6*9258a?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`547<72:0;6=u+2`a930=O:h:0e<:n:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3o0(;<;:d9~yx{i6=4+2`a951?<@;ki7[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn?j9:181>5<7s-8jo7lj;I0b4>o6<00;6)=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5619:k20<<72-8jo7?;9:T1e`<6sE8i<7?tV0f3>7}#9l21=974V061>7}#>;?1n6*9258a?x{zu2co<7>5$3c`>a6<^;kn6!4fk3n:7[52z&2a=v*922820<=#;l31:?;4}%4a7}#>;91h<5+3d;92734j53zTg1?4|,?886i?4$2g:>3423t\o:7a6<,:o26;<:;|&5f=7}#>;91h<5+3d;9273k3:1(?ol:3;`?S4fm38p@?l?:0yU5a6=:r.:i54=9b9U514=:r.=>84>7:&561<6?2wvqAk2.8i449249~ 3d?28=0qps4i3:g>5<#:hi1>5j4V3cf>7}K:k:1=vX>d181!7b0383h6X>4381!05=3;<7)8=4;34?x{zD;km6j6=4+2`a951?<^;kn6=9=h0Z<:=:3y'273=j2.=>94m;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d4<729q/>lm52c18L7g73f;n=7>5$3c`>4c632wi>io50;194?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi>il50;194?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi>im50;194?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?9;%410?713twvq6g>4c83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=;5+636953=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f7bc290?6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=;5+636953=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>740<,?8?6<84}|~?l72>3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924822>"1:=0::6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi>ik50;694?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820d=Q9=81>v*924822>"1:=0::6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=;5+636953=zutw0e<;9:18'6de=9=30Z?oj:0yO6g6=9r\o;74313_;?>740<,?8?6<84}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo42f3_;?>740<,?8?6<84}|~?l73j3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?9;%410?713twvq6g>5783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560<6>2.=>94>6:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5179'272=9?1vqps4i075>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228<0(;<;:048yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c0g=?6=03:142f3_;?>7g=#>;>1n6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1n6*9258a?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*9248a?!05<3h0qpsr;h355?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{=9??0Z<:=:3y'273=j2.=>94m;|~y>o6>?0;6)P5il0:wA679U514=:r.=>84m;%410?d7>50z&1ef5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj::86=4=:183!4fk3hn7Ee09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi?=650;494?6|,;kh6<:8;I0b4>"6>h0:56g>4883>!4fk3;?56X=ad82I4e83;pZ=9=30Z<:=:3y'273=j2.=>94m;|~y>oc83:1(?ol:e28R7gb28qG>o>51zT2`5<5s-;n47j?;W376?4|,?8>6o5+6369f>{zut1bh<4?:%0bg?b63_8ji74}Q9m:1>v*>e98g5>P6<;09w)8=5;f8 3432m1vqpB=ag87S4>l39pZi;52z&566>4>489'7`?=>;?0q)8m8;30?xP51m08w[j::3y'275=l81/?h756378ySb12;q/:?=515;8 6c>2?8?7p*9b9827>{Q:0n1?vXk5;0x 3442m;0(>k6:706?xPc>38p(;<<:e28 6c>2?8>7p*9b98`?xP6m>09w[j::3y'275=l81/?h756378y!0e03;37psr;h0:g?6=,;kh6?7l;W0ba?4|D;h;6{zuE8jj7?tV3c6>7}Ql<09w)8=3;0:g>"4m00=>85r$7`;>41l;52zTg1?4|,?886?6k;%1f=?05=2w/:o65169~yx=h9l;1<7*=ab82a4=53;294~"5ij09m45G2`28m42f290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615<7s-8jo79:;I0b4>o6P5il0:wA4`9U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn>>?:180>5<7s-8jo79:;I0b4>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637953=#>;>1=;5r}|8m42e290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;35?!05<3;=7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th8<<4?:283>5}#:hi1;85G2`28m42f290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;35?!05<3;=7psr}:k20g<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5179'272=9?1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj::96=4;:183!4fk3=>7E{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637953=#>;>1=;5r}|8m431290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>6<84$707>4050z&1ef<6m91C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422k1/:?:5b:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5b:&5615783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f6632909=7>50z&1ef<0=2B9m=5f15c94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>64727E{zut1b=8850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+637954=#>;>1=<5r}|8m406290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>64727[;|~y>o6><0;6)P5il0:wA649U514=:r.=>84>1:&561<692wvqp5f17494?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?<0Z<:=:3y'273=981/:?:5109~yx{4381!05=3;:7)8=4;32?x{zu2c::44?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9822<=Q9=81>v*924825>"1:=0:=6sr}|9j51e=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9m4V061>7}#>;?1=<5+636954=zutw0e<:k:18'6de=9=30Z?oj:0yO6g6=9r\o;742c3_;?>747<,?8?6;%410?763twvq6g>4g83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9<:1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74373_;?>747<,?8?6{M0a4?7|^m=1>v*>e98214=Q9=81>v*924825>"1:=0:=6sr}|9j504=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=8<4V061>7}#>;?1=<5+636954=zutw0e<;<:18'6de=9=30Z?oj:0yO6g6=9r\o;74343_;?>741<,?8?6<94}|~?l72<3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?:4:T207<5s-<997?8;%410?703twvq6g>5483>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=8;4V061>7}#>;?1=:5+636952=zutw0e<;8:18'6de=9=30Z?oj:0yO6g6=9r\o;74303_;?>7g=#>;>1n6sr}|9j50>=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=864V061>7}#>;?1n6*9258a?x{zu2c:944?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9821<=Q9=81>v*9248a?!05<3h0qpsr;h36e?6=,;kh6<:6;W0ba?7|D;h;6m6X>4381!05=3h0(;<;:c9~yx{=994m;|~y>o6=m0;6)P5il0:wA5e9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;i;W376?4|,?8>6o5+6369f>{zut1b=;>50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9536<^8>96?u+6379f>"1:=0i7psr}:k227<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::?5Y15096~"1:<0i7)8=4;`8yx{z3`;=?7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;357>P6<;09w)8=5;`8 3432k1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj::>6=4=1;294~"5ij0<96F=a19j51g=83.9mn4>489K6dd<^;kn6P5il0:wA4c9U514=:r.=>84>1:&561<692wvqp5f14494?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9<<0Z<:=:3y'273=981/:?:5109~yx{4381!05=3;:7)8=4;32?x{zu2c::84?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98220=Q9=81>v*924825>"1:=0:=6sr}|9j530=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;84V061>7}#>;?1=<5+636954=zutw0e<88:18'6de=9=30Z?oj:0yO6g6=9r\o;74003_;?>747<,?8?6;%410?763twvq6g>6883>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>01]=9<52z&560<692.=>94>1:~yx=n9=i1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742d3_;?>747<,?8?6{M0a4?7|^m=1>v*>e9820a=Q9=81>v*924825>"1:=0:=6sr}|9j51c=83.9mn4>489K6dd<^;kn6P5il0:wA4g9U514=:r.=>84>1:&561<692wvqp5f14294?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<;?;W376?4|,?8>64727E{zut1b=8<50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9504<^8>96?u+637954=#>;>1=<5r}|8m434290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<;<;W376?4|,?8>6<94$707>4127[o6=<0;6)N5ik1]>lk51zN1f5<6s_n<6?u+1d:9503<^8>96?u+637952=#>;>1=:5r}|8m430290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<;8;W376?4|,?8>6o5+6369f>{zut1b=8650;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:950><^8>96?u+6379f>"1:=0i7psr}:k21<<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:945Y15096~"1:<0i7)8=4;`8yx{z3`;>m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;36e>P6<;09w)8=5;`8 3432k1vqps4i07a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?i7[?;2;0x 3422k1/:?:5b:~yx=n95e83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=m1]=9<52z&560g=zutw0e<;i:18'6de=9=30Z?oj:0yO6g6=9r\o;743a3_;?>7g=#>;>1n6sr}|9j536=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;>4V061>7}#>;?1n6*9258a?x{zu2c::?4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98227=Q9=81>v*9248a?!05<3h0qpsr;h357?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{5<4290;w)N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+6379f>"1:=0i7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c144?6=:3:1N5i91b=9750;&1ef<6<010c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f61029086=4?{%0bg?4f12B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4c9U514=:r.=>84>4:&561<6<2wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e;><1<7850;2x 7gd28><7E{M0a4?7|^8n;6?u+1d:951?<^8>96?u+6379f>"1:=0i7psr}:kg4?6=,;kh6i>4V3cf>4}K:k:1=vX>d181!7b03n;7[?;2;0x 3422k1/:?:5b:~yx=nl80;6)a=zutF9mk4;{W0:`?5|^m?1>v*9228g5>"4m00=>85rVe496~"1::0:845+3d;92736?u+6319`4=#;l31:?;4}Wf5>7}#>;91=974$2g:>3433t.=n54>3:U6a7<,:o26;<:;|Tg2?4|,?886i>4$2g:>3423t.=n54>3:U5`1=:r\o97a7<,:o26;<:;|&5f=<602wvq6g=9b83>!4fk382o6X=ad81I4e83;pZ=:0i0Z<:=:3y'273=9>1/:?:5169~yxJ5io0:w[>4=9b9'7`?=>;?0q)8m8;34?x{z3`83h7>5$3c`>7>c3_8ji74}Q9m:1>v*>e981v*924823>"1:=0:;6sr}M0bb?7|^;k>6?uYd481!05;383h6*lm51d38?xd4?;0;6>4?:1y'6de=:h30D?o?;h37e?6=,;kh6<:6;I0bf>P5il0:wA4`9U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn>9<:182>5<7s-8jo755;294~"5ij0<96F=a19j51g=83.9mn4>489K6dd<^;kn64381!05=3;=7)8=4;35?x{zu2c:9;4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9<<0Z<:=:3y'273=9?1/:?:5179~yx{;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{53;294~"5ij0<96F=a19j51g=83.9mn4>489K6dd<^;kn64381!05=3;=7)8=4;35?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`03=<72;0;6=u+2`a9f`=O:h:0e<:6:18'6de=9=307b?j1;29 7gd28o:7[50z&1ef<6<>1C>l>4$04b>4?26=4+2`a951?<^;kn6P6<;09w)8=5;`8 3432k1vqps4ie294?"5ij0o<6X=ad82I4e83;pZ=l91]=9<52z&560lm5d09U6dc=:rF9n=4>{W3g4?4|,8o36i?4V061>7}#>;?1h6*9258g?x{zD;km69uY28f97~Pc=38p(;<<:e38 6c>2?8>7pXk6;0x 34428>27)=j9;411>{#>k21=>5rV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3;?56*v*9228g5>"4m00=>85rVe496~"1::0o<6*v*9228g5>"4m00=>85r$7`;>4>k2\:8?4={%411?703-<987?8;|~H7ga28q]>l;52zTg1?4|,?886?7l;%1f=?05=2w/:o65169~yx=n:1n1<7*=ab81vB=b182S7c838p(k6:706?x"1j10:;6sr}:m2a4<72-8jo7?j1:9~f61f29086=4?{%0bg?4f12B9m=5f15c94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6o5+6369f>{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th8;o4?:083>5}#:hi1>o=4H3c3?j7b93:1(?ol:0g2?>{e;>n1<7=50;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3;=7)8=4;35?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924822>"1:=0::6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi?:k50;194?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820d=Q9=81>v*924822>"1:=0::6sr}|9j51d=83.9mn4>489K6dd<^;kn6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{54;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=;5+636953=zutw0e<:m:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;=7)8=4;35?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924822>"1:=0::6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi?:m50;694?6|,;kh6o6P5il0:wA4`9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a72?=8391<7>t$3c`>23<@;k;7d?;a;29 7gd28>27E{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637953=#>;>1=;5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn>6=:181>5<7s-8jo7lj;I0b4>o6<00;6)=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5619:k20<<72-8jo7?;9:T1e`<6sE8i<7?tV0f3>7}#9l21=974V061>7}#>;?1n6*9258a?x{zu2co<7>5$3c`>a6<^;kn6!4fk3n:7[52z&2a=v*922820<=#;l31:?;4}%4a7}#>;91h<5+3d;92734j53zTg1?4|,?886i?4$2g:>3423t\o:7a6<,:o26;<:;|&5f=<6;2w]=h952zTg1?4|,?886i?4$2g:>3423t.=n54>8:~y>o51j0;6)P5il09wA1vqpB=ag82S4f=38pZi;52z&566<51j1/?h756378y!0e03;<7psr;h0;`?6=,;kh6?6k;W0ba?4|D;h;6{zuE8jj7?tV3c6>7}Ql<09w)8=3;0;`>"4m00=>85r$7`;>41:18'6de=9l;07pl<8583>6<729q/>lm52`;8L7g73`;?m7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f6>2290:6=4?{%0bg?4e;2B9m=5`1d394?"5ij0:i<54}c1;3?6=;3:1N5i91b=9o50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228<0(;<;:048yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9=h1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742e3_;?>740<,?8?6<84}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo=79;290?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5179'272=9?1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228<0(;<;:048yx{z3`;>:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;35?!05<3;=7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th84l4?:583>5}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<84$707>4027E{zut1b=8850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+637953=#>;>1=;5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn>69:186>5<7s-8jo7?j0:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5b:&5614c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<63:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997l4$707>g=zutw0e<8>:18'6de=9=30Z?oj:0yO6g6=9r\o;74063_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi?5=50;194?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820d=Q9=81>v*924822>"1:=0::6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=;5+636953=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f1e529096=4?{%0bg?db3A8j<6g>4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;37?!05<3;?7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th?oh4?:783>5}#:hi1=994H3c3?!71i3;27d?;9;29 7gd28>27[52z&2a=<6<01]=9<52z&560lm5d19U6dc=9rF9n=4>{W3g4?4|,8o36i>4V061>7}#>;?1n6*9258a?x{zu2co=7>5$3c`>a7<^;kn6?uC2c295~P6l909w)?j8;f2?S73:38p(;<::e9'272=l2wvqAl39pZi;52z&566>4>489'7`?=>;>0q)8m8;30?xP51m08w[j::3y'275=l81/?h756378ySb12;q/:?=5d19'7`?=>;?0q)8m8;a8yS7b?38pZi;52z&5665<#:hi1>4m4V3cf>7}K:k:1=vX>d181!7b0382o6X>4381!05=3;<7)8=4;34?x{zD;km65j50;&1ef<50m1]>lk52zN1f5<6s_;o<77>c3_;?>741<,?8?6<94}|O6d`=9r\9m84={Wf6>7}#>;91>5j4$2g:>3423t.=n54>7:~y>i6m80;6)=zj=i86=4<:183!4fk38j56F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1n6*9258a?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{h87>51;294~"5ij09n>5G2`28k4c6290/>lm51d38?xd3k?0;6>4?:1y'6de=?<1C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422k1/:?:5b:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&5600;6>4?:1y'6de=?<1C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422k1/:?:5b:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228:0(;<;:028yx{z3`;?n7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;35?!05<3;=7psr}:k213<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5179'272=9?1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj=i26=48:183!4fk3=>7E{zut1b=9l50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228:0(;<;:028yx{z3`;>:7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560<6>2.=>94>6:~yx=n9?;1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74063_;?>740<,?8?6<84}|~?l71<3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98221=Q9=81>v*924822>"1:=0::6sr}|9j533=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;;4V061>7}#>;?1=;5+636953=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f1ef290<6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>0:~yx=n9=h1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742e3_;?>740<,?8?6<84}|~?l72>3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997?9;%410?713twvq6g>6083>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1=;5+636953=zutw0e<8;:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;=7)8=4;35?x{zu2c::84?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98220=Q9=81>v*924822>"1:=0::6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi8nl50;:94?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997??;%410?773twvq6g>4c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>0:~yx=n9<<1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74313_;?>746<,?8?6<>4}|~?l7193:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*924822>"1:=0::6sr}|9j532=83.9mn4>489K6dd<^;kn6P5il0:wA649U514=:r.=>84>6:&561<6>2wvqp5f17494?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?<0Z<:=:3y'273=9?1/:?:5179~yx{5{M0a4?7|^m=1>v*>e9820d=Q9=81>v*924824>"1:=0:<6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1==5+636955=zutw0e<;9:18'6de=9=30D?om;W0ba?7|D;h;6:6X>4381!05=3;;7)8=4;33?x{zu2c::<4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9?;0Z<:=:3y'273=9?1/:?:5179~yx{o6><0;6)N5ik1]>lk51zN1f5<6s_n<6?u+1d:9533<^8>96?u+637953=#>;>1=;5r}|8m401290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<89;W376?4|,?8>6<84$707>4050z&1ef<6m91C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422k1/:?:5b:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5b:&5615783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560g=zutw0e<8;:18'6de=9=30Z?oj:0yO6g6=9r\o;74033_;?>7g=#>;>1n6sr}|9j533=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;;4V061>7}#>;?1n6*9258a?x{zu2c::;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98223=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{52;294~"5ij0ii6F=a19j51?=83.9mn4>4898k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn<9j:180>5<7s-8jo7?j0:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5b:&5614c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0qo?8c;292?6=8r.9mn4>469K6d6<,85<#:hi1=974V3cf>4}K:k:1=vX>d181!7b03;?56X>4381!05=3h0(;<;:c9~yx{P5il0:wA84m;%410?d:18'6de=l81]>lk52zN1f5<6s_;o<7a7<^8>96?u+6379`>"1:=0o7psrL3ce>1}Q:0n1?vXk5;0x 3442m;0(>k6:706?xPc>38p(;<<:06:?!5b13<996s+6c:956=z^;3o6>uYd481!05;3n:7)=j9;411>{Ql?09w)8=3;37=>"4m00=>95r$7`;>45v*9228g4>"4m00=>85r$7`;>f=z^8o<6?uYd481!05;3n:7)=j9;411>{#>k21=55r}|9j6{W3g4?4|,8o36?7l;W376?4|,?8>6<94$707>41lh51zT1e0<5s_n>6?u+63196!4fk383h6X=ad81I4e83;pZ=:1n0Z<:=:3y'273=9>1/:?:5169~yxJ5io0:w[>4=8e9'7`?=>;?0q)8m8;34?x{z3f;n=7>5$3c`>4c632wi=:650;194?6|,;kh6?o6;I0b4>o6P5il0:wA4`9U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn<96:182>5<7s-8jo753;294~"5ij0<96F=a19j51g=83.9mn4>489K6dd<^;kn6P5il0:wA4c9U514=:r.=>84>0:&561<682wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e9>n1<7=50;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3;=7)8=4;35?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924822>"1:=0::6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi=:h50;094?6|,;kh6ok4H3c3?l7313:1(?ol:06:?>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d8783>7<729q/>lm5bd9K6d626=4+2`a951?<3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c3;f?6=;3:142f3_;?>7g=#>;>1n6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1n6*9258a?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`2<<<72?0;6=u+2`a9511<@;k;7)?9a;3:?l7313:1(?ol:06:?S4fm3;p@?l?:0yU5a6=:r.:i54>489U514=:r.=>84m;%410?dlk51zN1f5<6s_;o<7a6<^8>96?u+6379f>"1:=0i7psr}:kg5?6=,;kh6i?4V3cf>7}K:k:1=vX>d181!7b03n:7[?;2;0x 3422m1/:?:5d:~yI4fn3>pZ?7k:2yU`0<5s-<9?7j>;%1f=?05=2w]h;4={%417?7312.8i449249~ 3d?2890q[<6d;1xRa3=:r.=>>4k1:&0a<<1:<1vZi852z&566<6<01/?h756368y!0e03;87pX=9e80Sb22;q/:?=5d09'7`?=>;?0q[j9:3y'275=l91/?h756378y!0e03i0q[?j7;0xRa3=:r.=>>4k1:&0a<<1:<1v(;l7:0:8yx{P6<;09w)8=5;34?!05<3;<7psrL3ce>4}Q:h?1>vXk5;0x 3442;3h7)=j9;411>{#>k21=:5r}|9j6=b=83.9mn4=8e9U6dc=:rF9n=4>{W3g4?4|,8o36?6k;W376?4|,?8>6<94$707>41lh51zT1e0<5s_n>6?u+63196=b<,:o26;<:;|&5f=<6?2wvq6a>e083>!4fk3;n=65rb0:3>5<4290;w)N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+6379f>"1:=0i7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c3;5?6=93:1:18'6de=9l;07pl>8283>6<729q/>lm5749K6d6j6=4+2`a951?<@;ki7[o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637955=#>;>1==5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn<6;:180>5<7s-8jo79:;I0b4>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637955=#>;>1==5r}|8m42e290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;33?!05<3;;7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th:484?:783>5}#:hi1;85G2`28m42f290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;35?!05<3;=7psr}:k20g<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5179'272=9?1vqps4i075>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997?9;%410?713twvq6g>6083>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1=;5+636953=zutw0e<8;:18'6de=9=30Z?oj:0yO6g6=9r\o;74033_;?>740<,?8?6<84}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo?72;290?6=8r.9mn4>e19K6d6j6=4+2`a951?<^;kn6=9=h0Z<:=:3y'273=j2.=>94m;|~y>o6=?0;6)P5il0:wA579U514=:r.=>84m;%410?d50z&1ef<0=2B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=9?1/:?:5179~yx{i6=4+2`a951?<^;kn6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{55;294~"5ij0<96F=a19j51g=83.9mn4>489K6dd<^;kn64381!05=3;<7)8=4;34?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924823>"1:=0:;6sr}|9j537=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1=:5+636952=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f7?229096=4?{%0bg?db3A8j<6g>4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e:0h1<7850;2x 7gd28><7E{M0a4?7|^8n;6?u+1d:951?<^8>96?u+6379f>"1:=0i7psr}:kg4?6=,;kh6i>4V3cf>4}K:k:1=vX>d181!7b03n;7[?;2;0x 3422k1/:?:5b:~yx=nl80;6)a=zutF9mk4;{W0:`?5|^m?1>v*9228g5>"4m00=>85rVe496~"1::0:845+3d;92736?u+6319`4=#;l31:?;4}Wf5>7}#>;91=974$2g:>3433t.=n54>3:U6a7<,:o26;<:;|Tg2?4|,?886i>4$2g:>3423t.=n54>3:U5`1=:r\o97a7<,:o26;<:;|&5f=<602wvq6g=9b83>!4fk382o6X=ad81I4e83;pZ=:0i0Z<:=:3y'273=9>1/:?:5169~yxJ5io0:w[>4=9b9'7`?=>;?0q)8m8;34?x{z3`83h7>5$3c`>7>c3_8ji74}Q9m:1>v*>e981v*924823>"1:=0:;6sr}M0bb?7|^;k>6?uYd481!05;383h6*lm51d38?xd51>0;6>4?:1y'6de=:h30D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{i6=4+2`a951?<^;kn6=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e:021<7?50;2x 7gd2;h87E290?6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=;5+636953=zutw0e<:m:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;=7)8=4;35?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924822>"1:=0::6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi>4850;194?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820d=Q9=81>v*924822>"1:=0::6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=;5+636953=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f7c429096=4?{%0bg?db3A8j<6g>4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e:lk1<7<50;2x 7gd2ko0D?o?;h37=?6=,;kh6<:6;:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th9j=4?:283>5}#:hi1>l74H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>742<,?8?6<:4}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo469K6d6<,85<#:hi1=974V3cf>4}K:k:1=vX>d181!7b03;?56X>4381!05=3h0(;<;:c9~yx{P5il0:wA84m;%410?d:18'6de=l81]>lk52zN1f5<6s_;o<7a7<^8>96?u+6379`>"1:=0o7psrL3ce>1}Q:0n1?vXk5;0x 3442m;0(>k6:706?xPc>38p(;<<:06:?!5b13<996s+6c:956=z^;3o6>uYd481!05;3n:7)=j9;411>{Ql?09w)8=3;37=>"4m00=>95r$7`;>45v*9228g4>"4m00=>85r$7`;>f=z^8o<6?uYd481!05;3n:7)=j9;411>{#>k21=55r}|9j6{W3g4?4|,8o36?7l;W376?4|,?8>6<94$707>41lh51zT1e0<5s_n>6?u+63196!4fk383h6X=ad81I4e83;pZ=:1n0Z<:=:3y'273=9>1/:?:5169~yxJ5io0:w[>4=8e9'7`?=>;?0q)8m8;34?x{z3f;n=7>5$3c`>4c632wi>h:50;194?6|,;kh6?o6;I0b4>o6P5il0:wA4`9U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn?k::182>5<7s-8jo754;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=;5+636953=zutw0e<:m:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;=7)8=4;35?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924822>"1:=0::6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi>h650;32>5<7s-8jo79:;I0b4>o6P5il0:wA4`9U514=:r.=>84>6:&561<6>2wvqp5f15`94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<84$707>4027[2\:8?4={%411?713-<987?9;|~y>o6>80;6)P5il0:wA609U514=:r.=>84>6:&561<6>2wvqp5f17694?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?>0Z<:=:3y'273=9?1/:?:5179~yx{6=4+2`a951?<@;ki7[o6>?0;6)P5il0:wA679U514=:r.=>84>6:&561<6>2wvqp5f17594?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?=0Z<:=:3y'273=9?1/:?:5179~yx{4381!05=3;=7)8=4;35?x{zu2c:8n4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820f=Q9=81>v*924822>"1:=0::6sr}|9j51b=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9j4V061>7}#>;?1=;5+636953=zutw0e<:j:18'6de=9=30Z?oj:0yO6g6=9r\o;742b3_;?>740<,?8?6<84}|~?l73n3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;f:T207<5s-<997?9;%410?713twvq6g>5183>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=91]=9<52z&560g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f7c>290:=7>50z&1ef<0=2B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=9?1/:?:5179~yx{i6=4+2`a951?<^;kn6:6X>4381!05=3;=7)8=4;35?x{zu2c::<4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*924822>"1:=0::6sr}|9j532=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;:4V061>7}#>;?1=;5+636953=zutw0e<8::18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;=7)8=4;35?x{zu2c::;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98223=Q9=81>v*924822>"1:=0::6sr}|9j531=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;94V061>7}#>;?1=;5+636953=zutw0e<87:18'6de=9=30Z?oj:0yO6g6=9r\o;740?3_;?>740<,?8?6<84}|~?l7113:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?99:T207<5s-<997?9;%410?713twvq6g>4b83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9=n1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515f8R4252;q/:?;5179'272=9?1vqps4i06f>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>n7[?;2;0x 34228<0(;<;:048yx{z3`;?j7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37b>P6<;09w)8=5;35?!05<3;=7psr}:k215<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9=5Y15096~"1:<0i7)8=4;`8yx{z3`;>=7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;365>P6<;09w)8=5;`8 3432k1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj;o=6=4;:183!4fk3;n<6F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1n6*9258a?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*9248a?!05<3h0qpsr;h362?6=,;kh6<:6;W0ba?7|D;h;6:6X>4381!05=3h0(;<;:c9~yx{5<593:1N5i91b=9o50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228;0(;<;:038yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5109'272=981vqps4i042>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<:7[?;2;0x 34228;0(;<;:038yx{z3`;=87>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;350>P6<;09w)8=5;32?!05<3;:7psr}:k220<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::85Y15096~"1:<0:=6*925825>{zut1b=;850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9530<^8>96?u+637954=#>;>1=<5r}|8m400290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<88;W376?4|,?8>64727[;|~y>o6>00;6)P5il0:wA689U514=:r.=>84>1:&561<692wvqp5f15a94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=i0Z<:=:3y'273=981/:?:5109~yx{o6=4+2`a951?<^;kn64381!05=3;:7)8=4;32?x{zu2c:8k4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820c=Q9=81>v*924825>"1:=0:=6sr}|9j506=83.9mn4>489K6dd<^;kn6=6X>4381!05=3;:7)8=4;32?x{zu2c:9?4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98217=Q9=81>v*924823>"1:=0:;6sr}|9j505=83.9mn4>489K6dd<^;kn686X>4381!05=3;<7)8=4;34?x{zu2c:984?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98210=Q9=81>v*9248a?!05<3h0qpsr;h363?6=,;kh6<:6;W0ba?7|D;h;6;6X>4381!05=3h0(;<;:c9~yx{=9<30Z<:=:3y'273=j2.=>94m;|~y>o6=h0;6)P5il0:wA5`9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;l;W376?4|,?8>6o5+6369f>{zut1b=8j50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:950b<^8>96?u+6379f>"1:=0i7psr}:k21`<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9h5Y15096~"1:<0i7)8=4;`8yx{z3`;>j7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;36b>P6<;09w)8=5;`8 3432k1vqps4i043>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<;7[?;2;0x 3422k1/:?:5b:~yx=n9?81<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651708R4252;q/:?;5b:&5616283>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>:1]=9<52z&560g=zutw0qolm5749K6d6j6=4+2`a951?<@;ki7[;|~y>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637954=#>;>1=<5r}|8m431290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>64727[;|~y>o6>=0;6)P5il0:wA659U514=:r.=>84>1:&561<692wvqp5f17794?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9??0Z<:=:3y'273=981/:?:5109~yx{4381!05=3;:7)8=4;32?x{zu2c::54?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9822==Q9=81>v*924825>"1:=0:=6sr}|9j53?=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;74V061>7}#>;?1=<5+636954=zutw0e<:l:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:8i4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9=n0Z<:=:3y'273=981/:?:5109~yx{n6=4+2`a951?<@;ki7[;|~y>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951`<^8>96?u+637954=#>;>1=<5r}|8m437290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;364>P6<;09w)8=5;32?!05<3;:7psr}:k214<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651438R4252;q/:?;5109'272=981vqps4i071>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?97[?;2;0x 34228;0(;<;:038yx{z3`;>?7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;367>P6<;09w)8=5;34?!05<3;<7psr}:k211<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651468R4252;q/:?;5169'272=9>1vqps4i076>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?>7[?;2;0x 3422k1/:?:5b:~yx=n9<=1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651458R4252;q/:?;5b:&5615983>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=11]=9<52z&560g=zutw0e<;n:18'6de=9=30Z?oj:0yO6g6=9r\o;743f3_;?>7g=#>;>1n6sr}|9j50d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=8l4V061>7}#>;?1n6*9258a?x{zu2c:9n4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9821f=Q9=81>v*9248a?!05<3h0qpsr;h36`?6=,;kh6<:6;W0ba?7|D;h;6h6X>4381!05=3h0(;<;:c9~yx{=994m;|~y>o6>90;6)P5il0:wA619U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<8<;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a6`b=8391<7>t$3c`>4c73A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f66>29096=4?{%0bg?db3A8j<6g>4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e;881<7=50;2x 7gd2;k27E5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;37?!05<3;?7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th8=<4?:783>5}#:hi1=994H3c3?!71i3;27d?;9;29 7gd28>27[52z&2a=<6<01]=9<52z&560lm5d19U6dc=9rF9n=4>{W3g4?4|,8o36i>4V061>7}#>;?1n6*9258a?x{zu2co=7>5$3c`>a7<^;kn6?uC2c295~P6l909w)?j8;f2?S73:38p(;<::e9'272=l2wvqAl39pZi;52z&566>4>489'7`?=>;>0q)8m8;30?xP51m08w[j::3y'275=l81/?h756378ySb12;q/:?=5d19'7`?=>;?0q)8m8;a8yS7b?38pZi;52z&5665<#:hi1>4m4V3cf>7}K:k:1=vX>d181!7b0382o6X>4381!05=3;<7)8=4;34?x{zD;km65j50;&1ef<50m1]>lk52zN1f5<6s_;o<77>c3_;?>741<,?8?6<94}|O6d`=9r\9m84={Wf6>7}#>;91>5j4$2g:>3423t.=n54>7:~y>i6m80;6)=zj::j6=4<:183!4fk38j56F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1n6*9258a?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{51;294~"5ij09n>5G2`28k4c6290/>lm51d38?xd48m0;694?:1y'6de=?<1C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228<0(;<;:048yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5179'272=9?1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj::n6=48:183!4fk3=>7E{zut1b=9l50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228<0(;<;:048yx{z3`;>:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;35?!05<3;=7psr}:k224<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::<5Y15096~"1:<0::6*925822>{zut1b=;:50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?285$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;351>P6<;09w)8=5;35?!05<3;=7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th85}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<84$707>4027[o6=?0;6)P5il0:wA579U514=:r.=>84>6:&561<6>2wvqp5f17394?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?;0Z<:=:3y'273=9?1/:?:5179~yx{o6><0;6)P5il0:wA649U514=:r.=>84>6:&561<6>2wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e;9i1<7:50;2x 7gd28o;7E5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;`8 3432k1vqps4i075>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>32e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`05f<72:0;6=u+2`a96d?<@;k;7d?;a;29 7gd28>27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<:4$707>4250z&1ef<6<>1C>l>4$04b>4?26=4+2`a951?<^;kn6P6<;09w)8=5;`8 3432k1vqps4ie294?"5ij0o<6X=ad82I4e83;pZ=l91]=9<52z&560lm5d09U6dc=:rF9n=4>{W3g4?4|,8o36i?4V061>7}#>;?1h6*9258g?x{zD;km69uY28f97~Pc=38p(;<<:e38 6c>2?8>7pXk6;0x 34428>27)=j9;411>{#>k21=>5rV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3;?56*v*9228g5>"4m00=>85rVe496~"1::0o<6*7}Ql<09w)8=3;f2?!5b13<996s+6c:95==zut1b>4m50;&1ef<51j1]>lk52zN1f5<6s_;o<77?d3_;?>741<,?8?6<94}|O6d`=9r\9m84={Wf6>7}#>;91>4m4$2g:>3423t.=n54>7:~y>o50m0;6)P5il09wA1vqpB=ag82S4f=38pZi;52z&566<50m1/?h756378y!0e03;<7psr;n3f5?6=,;kh6;:a742=8391<7>t$3c`>7g>3A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f672290:6=4?{%0bg?4e;2B9m=5`1d394?"5ij0:i<54}c123?6=<3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637953=#>;>1=;5r}|8m42e290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;35?!05<3;=7psr}:k213<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9;5Y15096~"1:<0::6*925822>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a74>=8321<7>t$3c`>23<@;k;7d?;a;29 7gd28>27[o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637953=#>;>1=;5r}|8m431290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>6<84$707>4027[o6>=0;6)P5il0:wA659U514=:r.=>84>6:&561<6>2wvqp5f17794?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<8:;W376?4|,?8>6<84$707>4027[2\:8?4={%411?713-<987?9;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d=<729q/>lm5749K6d6j6=4+2`a951?<^;kn64381!05=3;=7)8=4;35?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924822>"1:=0::6sr}|9j537=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1=;5+636953=zutw0e<8;:18'6de=9=30Z?oj:0yO6g6=9r\o;74033_;?>740<,?8?6<84}|~?l71=3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98220=Q9=81>v*924822>"1:=0::6sr}|9j530=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;84V061>7}#>;?1=;5+636953=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f671290?6=4?{%0bg?7b82B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4c9U514=:r.=>84m;%410?d27[2\:8?4={%411?d<,?8?6o5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn>?k:181>5<7s-8jo7lj;I0b4>o6<00;6)=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&561{M0a4?7|^m=1>v*>e9820d=Q9=81>v*9248a?!05<3h0qpsr;h37f?6=,;kh6<:6;W0ba?7|D;h;64381!05=3;?7)8=4;37?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`060<72?0;6=u+2`a9511<@;k;7)?9a;3:?l7313:1(?ol:06:?S4fm3;p@?l?:0yU5a6=:r.:i54>489U514=:r.=>84m;%410?dlk51zN1f5<6s_;o<7a6<^8>96?u+6379f>"1:=0i7psr}:kg5?6=,;kh6i?4V3cf>7}K:k:1=vX>d181!7b03n:7[?;2;0x 3422m1/:?:5d:~yI4fn3>pZ?7k:2yU`0<5s-<9?7j>;%1f=?05=2w]h;4={%417?7312.8i449249~ 3d?2890q[<6d;1xRa3=:r.=>>4k1:&0a<<1:<1vZi852z&566<6<01/?h756368y!0e03;87pX=9e80Sb22;q/:?=5d09'7`?=>;?0q[j9:3y'275=l91/?h756378y!0e03i0q[?j7;0xRa3=:r.=>>4k1:&0a<<1:<1v(;l7:0:8yx{P6<;09w)8=5;34?!05<3;<7psrL3ce>4}Q:h?1>vXk5;0x 3442;3h7)=j9;411>{#>k21=:5r}|9j6=b=83.9mn4=8e9U6dc=:rF9n=4>{W3g4?4|,8o36?6k;W376?4|,?8>6<94$707>41lh51zT1e0<5s_n>6?u+63196=b<,:o26;<:;|&5f=<6?2wvq6a>e083>!4fk3;n=65rb23f>5<4290;w)N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+6379f>"1:=0i7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c12b?6=93:1:18'6de=9l;07pl<2083>1<729q/>lm5749K6d6j6=4+2`a951?<^;kn6P5il0:wA4c9U514=:r.=>84>6:&561<6>2wvqp5f14494?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9<<0Z<:=:3y'273=9?1/:?:5179~yx{5<>290;w){M0a4?7|^m=1>v*>e9820d=Q9=81>v*924822>"1:=0::6sr}|9j51d=83.9mn4>489K6dd<^;kn6:6X>4381!05=3;=7)8=4;35?x{zu2c::<4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*924822>"1:=0::6sr}|9j532=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;:4V061>7}#>;?1=;5+636953=zutw0e<8::18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;=7)8=4;35?x{zu2c::;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98223=Q9=81>v*924822>"1:=0::6sr}|9j531=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;94V061>7}#>;?1=;5+636953=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f64429026=4?{%0bg?123A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5179'272=9?1vqps4i075>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228<0(;<;:048yx{z3`;==7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;355>P6<;09w)8=5;35?!05<3;=7psr}:k221<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::95Y15096~"1:<0::6*925822>{zut1b=;;50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28<>7[?;2;0x 34228<0(;<;:048yx{z3`;=:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;352>P6<;09w)8=5;35?!05<3;=7psr}:k222<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:::5Y15096~"1:<0::6*925822>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a776=83>1<7>t$3c`>4c73A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0e<;9:18'6de=9=30Z?oj:0yO6g6=9r\o;74313_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi??950;094?6|,;kh6ok4H3c3?l7313:1(?ol:06:?>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d6<729q/>lm52`;8L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228>0(;<;:068yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c11b?6=>3:1290/>lm515;8R7gb28qG>o>51zT2`5<5s-;n47?;9:T207<5s-<997l4$707>g=zutw0ei>50;&1ef{M0a4?7|^8n;6?u+1d:9`5=Q9=81>v*9248a?!05<3h0qpsr;hf2>5<#:hi1h<5Y2`g96~J5j90:w[?k0;0x 4c?2m;0Z<:=:3y'273=l2.=>94k;|~H7ga2=q]>4j53zTg1?4|,?886i?4$2g:>3423t\o:742>3-9n578=5:'2g>=9:1vZ?7k:2yU`0<5s-<9?7j>;%1f=?05=2w]h;4={%417?7312.8i449259~ 3d?2890q[<6d;1xRa3=:r.=>>4k1:&0a<<1:<1vZi852z&566;%1f=?05=2w/:o65199~yx=n:0i1<7*=ab81=f=Q:ho1>vB=b182S7c838p(k6:706?x"1j10:;6sr}:k17}#9l21>5j4V061>7}#>;?1=:5+636952=zutF9mk4>{W0b1?4|^m?1>v*92281{M0a4?7|^m=1>v*>e9820d=Q9=81>v*9248a?!05<3h0qpsr;h37f?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{5<6290;w)N5i91d=h?50;&1ef<6m810qo==b;290?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5179'272=9?1vqps4i06a>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?9;%410?713twvq6g>5783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560<6>2.=>94>6:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&561{M0a4?7|^m=1>v*>e9820d=Q9=81>v*924822>"1:=0::6sr}|9j51d=83.9mn4>489K6dd<^;kn6:6X>4381!05=3;=7)8=4;35?x{zu2c::<4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*924822>"1:=0::6sr}|9j532=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;:4V061>7}#>;?1=;5+636953=zutw0e<8::18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;=7)8=4;35?x{zu2c::;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98223=Q9=81>v*924822>"1:=0::6sr}|9j531=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;94V061>7}#>;?1=;5+636953=zutw0e<87:18'6de=9=30Z?oj:0yO6g6=9r\o;740?3_;?>740<,?8?6<84}|~?l7113:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?99:T207<5s-<997l4$707>g=zutw0e<:l:18'6de=9=30Z?oj:0yO6g6=9r\o;742d3_;?>7g=#>;>1n6sr}|9j51b=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9j4V061>7}#>;?1n6*9258a?x{zu2c:8h4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820`=Q9=81>v*9248a?!05<3h0qpsr;h37b?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{=9<;0Z<:=:3y'273=j2.=>94m;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d47=83:p(?ol:678L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;35?!05<3;=7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0::6*925822>{zut1b=8850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+637953=#>;>1=;5r}|8m406290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6<84$707>4027[o6><0;6)N5ik1]>lk51zN1f5<6s_n<6?u+1d:9533<^8>96?u+637953=#>;>1=;5r}|8m401290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<89;W376?4|,?8>6<84$707>4027[o6>10;6)P5il0:wA699U514=:r.=>84>6:&561<6>2wvqp5f17;94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?30Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4b9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<:j;W376?4|,?8>6o5+6369f>{zut1b=9h50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951`<^8>96?u+6379f>"1:=0i7psr}:k215<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9=5Y15096~"1:<0i7)8=4;`8yx{z3`;>=7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;365>P6<;09w)8=5;`8 3432k1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj:8j6=4;:183!4fk3;n<6F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1n6*9258a?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*9248a?!05<3h0qpsr;h362?6=,;kh6<:6;W0ba?7|D;h;6:6X>4381!05=3h0(;<;:c9~yx{5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a76g=8391<7>t$3c`>7g>3A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&5603`;?57>5$3c`>42>3_8ji7?tL3`3>4}Q9m:1>v*>e9820<=Q9=81>v*9248a?!05<3h0qpsr;hf3>5<#:hi1h=5Y2`g95~J5j90:w[?k0;0x 4c?2m:0Z<:=:3y'273=j2.=>94m;|~y>oc93:1(?ol:e38R7gb2;qG>o>51zT2`5<5s-;n47j>;W376?4|,?8>6i5+6369`>{zuE8jj7:tV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3;?56*v*9228g5>"4m00=>85rVe496~"1::0:845+3d;92726?u+6319`4=#;l31:?;4}Wf5>7}#>;91h=5+3d;9273v*9228g5>"4m00=>85r$7`;>4>k2\:8?4={%411?703-<987?8;|~H7ga28q]>l;52zTg1?4|,?886?7l;%1f=?05=2w/:o65169~yx=n:1n1<7*=ab81vB=b182S7c838p(k6:706?x"1j10:;6sr}:m2a4<72-8jo7?j1:9~f65529086=4?{%0bg?4f12B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4c9U514=:r.=>84m;%410?d50z&1ef<5j:1C>l>4o0g2>5<#:hi1=h?4;|`070<72=0;6=u+2`a930=O:h:0e<:n:18'6de=9=30Z?oj:0yO6g6=9r\o;742f3_;?>740<,?8?6<84}|~?l73j3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924822>"1:=0::6sr}|9j500=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1=;5+636953=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f651290:=7>50z&1ef<0=2B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=9?1/:?:5179~yx{i6=4+2`a951?<@;ki7[o6=?0;6)P5il0:wA579U514=:r.=>84>6:&561<6>2wvqp5f17394?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?;0Z<:=:3y'273=9?1/:?:5179~yx{P5il0:wA649U514=:r.=>84>6:&561<6>2wvqp5f17494?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?<0Z<:=:3y'273=9?1/:?:5179~yx{4381!05=3;=7)8=4;35?x{zu2c::44?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9822<=Q9=81>v*924822>"1:=0::6sr}|9j51e=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9m4V061>7}#>;?1n6*9258a?x{zu2c:8i4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820a=Q9=81>v*9248a?!05<3h0qpsr;h37a?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{m6=4+2`a951?<^;kn6=9<:0Z<:=:3y'273=j2.=>94m;|~y>o6=80;6)P5il0:wA509U514=:r.=>84m;%410?dl>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228<0(;<;:048yx{z3`;?n7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;35?!05<3;=7psr}:k213<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9;5Y15096~"1:<0::6*925822>{zut1b=;?50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9537<^8>96?u+637953=#>;>1=;5r}|8m403290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8;;W376?4|,?8>6<84$707>4027E{zut1b=;850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9530<^8>96?u+637953=#>;>1=;5r}|8m400290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<88;W376?4|,?8>6<84$707>4027[o6>00;6)P5il0:wA689U514=:r.=>84>6:&561<6>2wvqp5f15a94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=i0Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4e9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<:i;W376?4|,?8>6o5+6369f>{zut1b=8>50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9506<^8>96?u+6379f>"1:=0i7psr}:k214<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9<5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c100?6=<3:142f3_;?>7g=#>;>1n6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1n6*9258a?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{52;294~"5ij0ii6F=a19j51?=83.9mn4>4898k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn>:;:180>5<7s-8jo74c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>4:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5619:k20<<72-8jo7?;9:T1e`<6sE8i<7?tV0f3>7}#9l21=974V061>7}#>;?1n6*9258a?x{zu2co<7>5$3c`>a6<^;kn6!4fk3n:7[52z&2a=v*922820<=#;l31:?;4}%4a7}#>;91h<5+3d;92734j53zTg1?4|,?886i?4$2g:>3423t\o:7a6<,:o26;<:;|&5f=7}#>;91h<5+3d;9273k3:1(?ol:3;`?S4fm38p@?l?:0yU5a6=:r.:i54=9b9U514=:r.=>84>7:&561<6?2wvqAk2.8i449249~ 3d?28=0qps4i3:g>5<#:hi1>5j4V3cf>7}K:k:1=vX>d181!7b0383h6X>4381!05=3;<7)8=4;34?x{zD;km6j6=4+2`a951?<^;kn6=9=h0Z<:=:3y'273=j2.=>94m;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d4<729q/>lm52c18L7g73f;n=7>5$3c`>4c632wi?>h50;694?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?9;%410?713twvq6g>4c83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=;5+636953=zutw0e<;9:18'6de=9=30Z?oj:0yO6g6=9r\o;74313_;?>740<,?8?6<84}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo=;0;2954<729q/>lm5749K6d6j6=4+2`a951?<^;kn6P5il0:wA4c9U514=:r.=>84>6:&561<6>2wvqp5f14494?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9<<0Z<:=:3y'273=9?1/:?:5179~yx{4381!05=3;=7)8=4;35?x{zu2c::84?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9??0Z<:=:3y'273=9?1/:?:5179~yx{4381!05=3;=7)8=4;35?x{zu2c::54?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9822==Q9=81>v*924822>"1:=0::6sr}|9j53?=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;74V061>7}#>;?1=;5+636953=zutw0e<:l:18'6de=9=30Z?oj:0yO6g6=9r\o;742d3_;?>740<,?8?6<84}|~?l73l3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;d:T207<5s-<997l4$707>g=zutw0e<:j:18'6de=9=30Z?oj:0yO6g6=9r\o;742b3_;?>7g=#>;>1n6sr}|9j51`=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9h4V061>7}#>;?1n6*9258a?x{zu2c:9=4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98215=Q9=81>v*9248a?!05<3h0qpsr;h365?6=,;kh6<:6;W0ba?7|D;h;6=6X>4381!05=3h0(;<;:c9~yx{5<693:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637953=#>;>1=;5r}|8m42e290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<84$707>4027[2\:8?4={%411?713-<987?9;|~y>o6>80;6)P5il0:wA609U514=:r.=>84>6:&561<6>2wvqp5f17694?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?>0Z<:=:3y'273=9?1/:?:5179~yx{6=4+2`a951?<@;ki7[o6>?0;6)P5il0:wA679U514=:r.=>84>6:&561<6>2wvqp5f17594?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?=0Z<:=:3y'273=9?1/:?:5179~yx{4381!05=3;=7)8=4;35?x{zu2c:8n4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820f=Q9=81>v*924822>"1:=0::6sr}|9j51b=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9j4V061>7}#>;?1n6*9258a?x{zu2c:8h4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820`=Q9=81>v*9248a?!05<3h0qpsr;h37b?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{=9<;0Z<:=:3y'273=j2.=>94m;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d1<729q/>lm51d28L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{53;294~"5ij09m45G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6o5+6369f>{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637951=#>;>1=95r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn>:k:185>5<7s-8jo7?;7:J1e5=#9?k1=45f15;94?"5ij0:845Y2`g95~J5j90:w[?k0;0x 4c?28>27[?;2;0x 3422k1/:?:5b:~yx=nl90;6)g=zutw0ei?50;&1efv*9248g?!05<3n0qpsC2`d90~P51m08w[j::3y'275=l81/?h756378ySb12;q/:?=515;8 6c>2?8>7p*9b9827>{Q:0n1?vXk5;0x 3442m;0(>k6:706?xPc>38p(;<<:06:?!5b13<986s+6c:956=z^;3o6>uYd481!05;3n:7)=j9;411>{Ql?09w)8=3;f3?!5b13<996s+6c:9g>{Q9l=1>vXk5;0x 3442m;0(>k6:706?x"1j10:46sr}:k1=f<72-8jo7<6c:T1e`<5sE8i<7?tV0f3>7}#9l21>4m4V061>7}#>;?1=:5+636952=zutF9mk4>{W0b1?4|^m?1>v*92281=f=#;l31:?;4}%4a52z&2a=<50m1]=9<52z&560<6?2.=>94>7:~yI4fn3;pZ?o::3yU`0<5s-<9?7<7d:&0a<<1:<1v(;l7:058yx{5}#:hi1>l74H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi?9950;394?6|,;kh6?l<;I0b4>i6m80;6)=zj:>26=4;:183!4fk3=>7E{zut1b=9l50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228<0(;<;:048yx{z3`;>:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;35?!05<3;=7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th88l4?:0394?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?9;%410?713twvq6g>4c83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=;5+636953=zutw0e<;9:18'6de=9=30Z?oj:0yO6g6=9r\o;74313_;?>740<,?8?6<84}|~?l7193:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?91:T207<5s-<997?9;%410?713twvq6g>6583>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>=1]=9<52z&560<6>2.=>94>6:~yx=n9??1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74023_;?>740<,?8?6<84}|~?l71>3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?96:T207<5s-<997?9;%410?713twvq6g>6683>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>>1]=9<52z&560<6>2.=>94>6:~yx=n9?21<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6517:8R4252;q/:?;5179'272=9?1vqps4i04:>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<27[?;2;0x 34228<0(;<;:048yx{z3`;?o7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37g>P6<;09w)8=5;35?!05<3;=7psr}:k20a<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8i5Y15096~"1:<0::6*925822>{zut1b=9k50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951c<^8>96?u+6379f>"1:=0i7psr}:k20c<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8k5Y15096~"1:<0i7)8=4;`8yx{z3`;><7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;364>P6<;09w)8=5;`8 3432k1vqps4i072>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?:7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&561{M0a4?7|^m=1>v*>e9820d=Q9=81>v*924822>"1:=0::6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=;5+636953=zutw0e<;9:18'6de=9=30Z?oj:0yO6g6=9r\o;74313_;?>740<,?8?6<84}|~?l7193:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?91:T207<5s-<997?9;%410?713twvq6g>6583>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>=1]=9<52z&560<6>2.=>94>6:~yx=n9??1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74023_;?>740<,?8?6<84}|~?l71>3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?96:T207<5s-<997?9;%410?713twvq6g>6683>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>>1]=9<52z&560<6>2.=>94>6:~yx=n9?21<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6517:8R4252;q/:?;5179'272=9?1vqps4i04:>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<27[?;2;0x 34228<0(;<;:048yx{z3`;?o7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37g>P6<;09w)8=5;35?!05<3;=7psr}:k20a<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8i5Y15096~"1:<0::6*925822>{zut1b=9k50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951c<^8>96?u+6379f>"1:=0i7psr}:k20c<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8k5Y15096~"1:<0i7)8=4;`8yx{z3`;><7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;364>P6<;09w)8=5;`8 3432k1vqps4i072>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?:7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&561{M0a4?7|^m=1>v*>e9820d=Q9=81>v*9248a?!05<3h0qpsr;h37f?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e;=l1<7<50;2x 7gd2ko0D?o?;h37=?6=,;kh6<:6;:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th89;4?:383>5}#:hi1nh5G2`28m42>290/>lm515;8?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo=:d;297?6=8r.9mn4=a89K6d6j6=4+2`a951?<^;kn6=9=h0Z<:=:3y'273=9=1/:?:5159~yx{5<1290;w)N5i91/=;o5189j51?=83.9mn4>489U6dc=9rF9n=4>{W3g4?4|,8o36<:6;W376?4|,?8>6o5+6369f>{zut1bh=4?:%0bg?b73_8ji7?tL3`3>4}Q9m:1>v*>e98g4>P6<;09w)8=5;`8 3432k1vqps4ie394?"5ij0o=6X=ad81I4e83;pZ=l81]=9<52z&5607}#>;91h<5+3d;92734j53zTg1?4|,?886i?4$2g:>3423t\o:742>3-9n578=4:'2g>=9:1vZ?7k:2yU`0<5s-<9?7j>;%1f=?05=2w]h;4={%417?b73-9n578=5:'2g>=k2w]=h952zTg1?4|,?886i?4$2g:>3423t.=n54>8:~y>o51j0;6)P5il09wA1vqpB=ag82S4f=38pZi;52z&566<51j1/?h756378y!0e03;<7psr;h0;`?6=,;kh6?6k;W0ba?4|D;h;6{zuE8jj7?tV3c6>7}Ql<09w)8=3;0;`>"4m00=>85r$7`;>41:18'6de=9l;07pl<5183>6<729q/>lm52`;8L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&561;<:180>5<7s-8jo79:;I0b4>o6P5il0:wA4`9U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn>;;:187>5<7s-8jo79:;I0b4>o6P5il0:wA4`9U514=:r.=>84>6:&561<6>2wvqp5f15`94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<84$707>4027[2\:8?4={%411?713-<987?9;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d47=83:p(?ol:678L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;35?!05<3;=7psr}:k20g<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5179'272=9?1vqps4i075>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228<0(;<;:048yx{z3`;==7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;355>P6<;09w)8=5;35?!05<3;=7psr}:k221<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::95Y15096~"1:<0::6*925822>{zut1b=;;50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28<>7[?;2;0x 34228<0(;<;:048yx{z3`;=:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;352>P6<;09w)8=5;35?!05<3;=7psr}:k222<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:::5Y15096~"1:<0::6*925822>{zut1b=;650;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:953><^8>96?u+637953=#>;>1=;5r}|8m40>290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<86;W376?4|,?8>6<84$707>4027[o6P5il0:wA4e9U514=:r.=>84>6:&561<6>2wvqp5f15g94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=o0Z<:=:3y'273=9?1/:?:5179~yx{m6=4+2`a951?<^;kn6=9<:0Z<:=:3y'273=j2.=>94m;|~y>o6=80;6)P5il0:wA509U514=:r.=>84m;%410?d50z&1ef<6m91C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422k1/:?:5b:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5b:&5615783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560g=zutw0qo=:7;2964<729q/>lm5749K6d6j6=4+2`a951?<@;ki7[;|~y>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637954=#>;>1=<5r}|8m431290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>64727[;|~y>o6>=0;6)P5il0:wA659U514=:r.=>84>1:&561<692wvqp5f17794?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9??0Z<:=:3y'273=981/:?:5109~yx{4381!05=3;:7)8=4;32?x{zu2c::54?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9822==Q9=81>v*924825>"1:=0:=6sr}|9j53?=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;74V061>7}#>;?1=<5+636954=zutw0e<:l:18'6de=9=30Z?oj:0yO6g6=9r\o;742d3_;?>747<,?8?6;%410?763twvq6g>4d83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9=l1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515d8R4252;q/:?;5109'272=981vqps4i073>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?:0:T207<5s-<997?>;%410?763twvq6g>5083>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=81]=9<52z&560<692.=>94>1:~yx=n9<81<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651408R4252;q/:?;5169'272=9>1vqps4i070>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?:3:T207<5s-<997?8;%410?703twvq6g>5583>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6==1]=9<52z&560<6?2.=>94>7:~yx=n95683>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=>1]=9<52z&560g=zutw0e<;6:18'6de=9=30Z?oj:0yO6g6=9r\o;743>3_;?>7g=#>;>1n6sr}|9j50g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=8o4V061>7}#>;?1n6*9258a?x{zu2c:9o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9821g=Q9=81>v*9248a?!05<3h0qpsr;h36g?6=,;kh6<:6;W0ba?7|D;h;6o6X>4381!05=3h0(;<;:c9~yx{=994m;|~y>o6=o0;6)P5il0:wA5g9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<8=;W376?4|,?8>6o5+6369f>{zut1b=;=50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9535<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th8954?:3394?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820d=Q9=81>v*924825>"1:=0:=6sr}|9j51d=83.9mn4>489K6dd<^;kn6:6X>4381!05=3;:7)8=4;32?x{zu2c::<4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*924825>"1:=0:=6sr}|9j532=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;:4V061>7}#>;?1=<5+636954=zutw0e<8::18'6de=9=30Z?oj:0yO6g6=9r\o;74023_;?>747<,?8?63:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?96:T207<5s-<997?>;%410?763twvq6g>6683>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>>1]=9<52z&560<692.=>94>1:~yx=n9?21<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6517:8R4252;q/:?;5109'272=981vqps4i04:>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<27[?;2;0x 34228;0(;<;:038yx{z3`;?o7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9=n1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742c3_;?>747<,?8?6{M0a4?7|^m=1>v*>e9820`=Q9=81>v*924825>"1:=0:=6sr}|9j51`=83.9mn4>489K6dd<^;kn6P5il0:wA519U514=:r.=>84>1:&561<692wvqp5f14394?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9<;0Z<:=:3y'273=981/:?:5109~yx{P5il0:wA529U514=:r.=>84>7:&561<6?2wvqp5f14694?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9<>0Z<:=:3y'273=9>1/:?:5169~yx{6=4+2`a951?<^;kn6=9<=0Z<:=:3y'273=j2.=>94m;|~y>o6=10;6)P5il0:wA599U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;n;W376?4|,?8>6o5+6369f>{zut1b=8l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:950d<^8>96?u+6379f>"1:=0i7psr}:k21f<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9n5Y15096~"1:<0i7)8=4;`8yx{z3`;>h7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;36`>P6<;09w)8=5;`8 3432k1vqps4i07f>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?n7[?;2;0x 3422k1/:?:5b:~yx=n96183>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>91]=9<52z&560g=zutw0e<8<:18'6de=9=30Z?oj:0yO6g6=9r\o;74043_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi?8750;02>5<7s-8jo79:;I0b4>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637954=#>;>1=<5r}|8m42e290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;32?!05<3;:7psr}:k213<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9;5Y15096~"1:<0:=6*925825>{zut1b=;?50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9537<^8>96?u+637954=#>;>1=<5r}|8m403290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8;;W376?4|,?8>64727[;|~y>o6>?0;6)P5il0:wA679U514=:r.=>84>1:&561<692wvqp5f17594?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?=0Z<:=:3y'273=981/:?:5109~yx{4381!05=3;:7)8=4;32?x{zu2c:8n4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9=i0Z<:=:3y'273=981/:?:5109~yx{o6=4+2`a951?<@;ki7[;|~y>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951c<^8>96?u+637954=#>;>1=<5r}|8m42a290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37b>P6<;09w)8=5;32?!05<3;:7psr}:k215<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651428R4252;q/:?;5109'272=981vqps4i072>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?:7[?;2;0x 34228;0(;<;:038yx{z3`;>>7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;366>P6<;09w)8=5;34?!05<3;<7psr}:k216<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651418R4252;q/:?;5169'272=9>1vqps4i077>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28??7[?;2;0x 34228=0(;<;:058yx{z3`;>97>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;361>P6<;09w)8=5;`8 3432k1vqps4i074>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?<7[?;2;0x 3422k1/:?:5b:~yx=n9<21<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6514:8R4252;q/:?;5b:&5615883>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=01]=9<52z&560g=zutw0e<;m:18'6de=9=30Z?oj:0yO6g6=9r\o;743e3_;?>7g=#>;>1n6sr}|9j50e=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=8m4V061>7}#>;?1n6*9258a?x{zu2c:9i4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9821a=Q9=81>v*9248a?!05<3h0qpsr;h36a?6=,;kh6<:6;W0ba?7|D;h;6i6X>4381!05=3h0(;<;:c9~yx{=9?:0Z<:=:3y'273=j2.=>94m;|~y>o6>;0;6)P5il0:wA639U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn>;n:187>5<7s-8jo7?j0:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5b:&5614c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<63:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997l4$707>g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f6>c29096=4?{%0bg?db3A8j<6g>4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e;021<7=50;2x 7gd2;k27E5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;37?!05<3;?7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th85:4?:783>5}#:hi1=994H3c3?!71i3;27d?;9;29 7gd28>27[52z&2a=<6<01]=9<52z&560lm5d19U6dc=9rF9n=4>{W3g4?4|,8o36i>4V061>7}#>;?1n6*9258a?x{zu2co=7>5$3c`>a7<^;kn6?uC2c295~P6l909w)?j8;f2?S73:38p(;<::e9'272=l2wvqAl39pZi;52z&566>4>489'7`?=>;>0q)8m8;30?xP51m08w[j::3y'275=l81/?h756378ySb12;q/:?=5d19'7`?=>;?0q)8m8;30?xP6m>09w[j::3y'275=l81/?h756378y!0e03;37psr;h0:g?6=,;kh6?7l;W0ba?4|D;h;6{zuE8jj7?tV3c6>7}Ql<09w)8=3;0:g>"4m00=>85r$7`;>41l;52zTg1?4|,?886?6k;%1f=?05=2w/:o65169~yx=h9l;1<7*=ab82a4=53;294~"5ij09m45G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6o5+6369f>{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th85=4?:083>5}#:hi1>o=4H3c3?j7b93:1(?ol:0g2?>{e;081<7;50;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3;=7)8=4;35?x{zu2c:8o4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=9?1/:?:5179~yx{4381!05=3;=7)8=4;35?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`0=6<72<0;6=u+2`a930=O:h:0e<:n:18'6de=9=30Z?oj:0yO6g6=9r\o;742f3_;?>740<,?8?6<84}|~?l73j3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?9;%410?713twvq6g>5783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560<6>2.=>94>6:~yx=n9?;1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651738R4252;q/:?;5179'272=9?1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj:3?6=4::183!4fk3=>7E{zut1b=9l50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228<0(;<;:048yx{z3`;>:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;35?!05<3;=7psr}:k224<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::<5Y15096~"1:<0::6*925822>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a7<3=83?1<7>t$3c`>23<@;k;7d?;a;29 7gd28>27[o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637953=#>;>1=;5r}|8m431290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>6<84$707>4027[i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d0<729q/>lm51d28L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5b:&5616083>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>81]=9<52z&560g=zutw0qo=7e;297?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742f3_;?>740<,?8?6<84}|~?l73j3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?9;%410?713twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{56;294~"5ij0:8:5G2`28 40f2830e<:6:18'6de=9=30Z?oj:0yO6g6=9r\:h=4={%3f7}#9l21h=5Y15096~"1:<0i7)8=4;`8yx{z3`n:6=4+2`a9`4=Q:ho1>vB=b182S7c838p(a7<,:o26;<:;|Tg2?4|,?886<:6;%1f=?05=2w/:o65129~R7?c2:q]h84={%417?b63-9n578=5:U`3<5s-<9?7?;9:&0a<<1:=1v(;l7:018yS4>l39pZi;52z&566>4k0:&0a<<1:<1v(;l7:018yS7b?38pZi;52z&5665<#:hi1>4m4V3cf>7}K:k:1=vX>d181!7b0382o6X>4381!05=3;<7)8=4;34?x{zD;km65j50;&1ef<50m1]>lk52zN1f5<6s_;o<77>c3_;?>741<,?8?6<94}|O6d`=9r\9m84={Wf6>7}#>;91>5j4$2g:>3423t.=n54>7:~y>i6m80;6)=zj;3;6=4<:183!4fk38j56F=a19j51g=83.9mn4>489K6dd<^;kn6=9=h0Z<:=:3y'273=j2.=>94m;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d4<729q/>lm52c18L7g73f;n=7>5$3c`>4c632wi>4<50;794?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820d=Q9=81>v*924822>"1:=0::6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=;5+636953=zutw0e<;9:18'6de=9=30D?om;W0ba?7|D;h;6:6X>4381!05=3;=7)8=4;35?x{zu2c::<4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*924822>"1:=0::6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi>5h50;194?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820d=Q9=81>v*924822>"1:=0::6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=;5+636953=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f7`629096=4?{%0bg?db3A8j<6g>4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e:ok1<7=50;2x 7gd2;k27E5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;37?!05<3;?7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th9j44?:783>5}#:hi1=994H3c3?!71i3;27d?;9;29 7gd28>27[52z&2a=<6<01]=9<52z&560lm5d19U6dc=9rF9n=4>{W3g4?4|,8o36i>4V061>7}#>;?1n6*9258a?x{zu2co=7>5$3c`>a7<^;kn6?uC2c295~P6l909w)?j8;f2?S73:38p(;<::e9'272=l2wvqAl39pZi;52z&566>4>489'7`?=>;>0q)8m8;30?xP51m08w[j::3y'275=l81/?h756378ySb12;q/:?=5d19'7`?=>;?0q)8m8;a8yS7b?38pZi;52z&5665<#:hi1>4m4V3cf>7}K:k:1=vX>d181!7b0382o6X>4381!05=3;<7)8=4;34?x{zD;km65j50;&1ef<50m1]>lk52zN1f5<6s_;o<77>c3_;?>741<,?8?6<94}|O6d`=9r\9m84={Wf6>7}#>;91>5j4$2g:>3423t.=n54>7:~y>i6m80;6)=zj;l96=4<:183!4fk38j56F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1n6*9258a?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{51;294~"5ij09n>5G2`28k4c6290/>lm51d38?xd5n<0;694?:1y'6de=?<1C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228<0(;<;:048yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5179'272=9?1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj;l=6=4>1;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=;5+636953=zutw0e<:m:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;=7)8=4;35?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924822>"1:=0::6sr}|9j537=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1=;5+636953=zutw0e<8;:18'6de=9=30Z?oj:0yO6g6=9r\o;74033_;?>740<,?8?6<84}|~?l71=3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98220=Q9=81>v*924822>"1:=0::6sr}|9j530=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;84V061>7}#>;?1=;5+636953=zutw0e<88:18'6de=9=30Z?oj:0yO6g6=9r\o;74003_;?>740<,?8?6<84}|~?l7103:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?98:T207<5s-<997?9;%410?713twvq6g>6883>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>01]=9<52z&560<6>2.=>94>6:~yx=n9=i1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515a8R4252;q/:?;5179'272=9?1vqps4i06g>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>o7[?;2;0x 34228<0(;<;:048yx{z3`;?i7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37a>P6<;09w)8=5;35?!05<3;=7psr}:k20c<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8k5Y15096~"1:<0::6*925822>{zut1b=8>50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9506<^8>96?u+637953=#>;>1=;5r}|8m436290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<;>;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a6c1=83;:6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5179'272=9?1vqps4i075>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228<0(;<;:048yx{z3`;==7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;355>P6<;09w)8=5;35?!05<3;=7psr}:k221<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::95Y15096~"1:<0::6*925822>{zut1b=;;50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28<>7[?;2;0x 34228<0(;<;:048yx{z3`;=:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;352>P6<;09w)8=5;35?!05<3;=7psr}:k222<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:::5Y15096~"1:<0::6*925822>{zut1b=;650;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:953><^8>96?u+637953=#>;>1=;5r}|8m40>290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<86;W376?4|,?8>6<84$707>4027[o6P5il0:wA4e9U514=:r.=>84>6:&561<6>2wvqp5f15g94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=o0Z<:=:3y'273=9?1/:?:5179~yx{m6=4+2`a951?<^;kn6<6X>4381!05=3;=7)8=4;35?x{zu2c:9<4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98214=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{54;294~"5ij0:i=5G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6o5+6369f>{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+6379f>"1:=0i7psr}:k213<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9;5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c1:=?6=:3:1N5i91b=9750;&1ef<6<010c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f6?a290=6=4?{%0bg?73?2B9m=5+17c95<=n9=31<7*=ab820<=Q:ho1=vB=b182S7c838p(!4fk3n;7[52z&2a=7}#9l21h<5Y15096~"1:<0o7)8=4;f8yx{K:hl18vX=9e80Sb22;q/:?=5d09'7`?=>;?0q[j9:3y'275=9=30(>k6:706?x"1j10:?6sY28f97~Pc=38p(;<<:e38 6c>2?8>7pXk6;0x 34428>27)=j9;410>{#>k21=>5rV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3n;7)=j9;411>{#>k21=>5rV0g4>7}Ql<09w)8=3;f2?!5b13<996s+6c:95==zut1b>4m50;&1ef<51j1]>lk52zN1f5<6s_;o<77?d3_;?>741<,?8?6<94}|O6d`=9r\9m84={Wf6>7}#>;91>4m4$2g:>3423t.=n54>7:~y>o50m0;6)P5il09wA1vqpB=ag82S4f=38pZi;52z&566<50m1/?h756378y!0e03;<7psr;n3f5?6=,;kh6;:a7t$3c`>7g>3A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f6?d290:6=4?{%0bg?4e;2B9m=5`1d394?"5ij0:i<54}c1:`?6==3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637953=#>;>1=;5r}|8m42e290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;35?!05<3;=7psr}:k213<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5179'272=9?1vqps4i042>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<:7[?;2;0x 34228<0(;<;:048yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c1:e?6=;3:1N5i91b=9o50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228<0(;<;:048yx{z3`;?n7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;35?!05<3;=7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th8hl4?:383>5}#:hi1nh5G2`28m42>290/>lm515;8?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo=j0;296?6=8r.9mn4me:J1e5=n9=31<7*=ab820<=5<4290;w)N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+6379f>"1:=0i7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0:86*925820>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a7`1=83<1<7>t$3c`>4203A8j<6*>6`82=>o6<00;6)P5il0:wA7g=#>;>1n6sr}|9j`4<72-8jo7j>;W0ba?4|D;h;64381!05=3n0(;<;:e9~yxJ5io0?w[<6d;1xRa3=:r.=>>4k1:&0a<<1:<1vZi852z&566<6<01/?h756378y!0e03;87pX=9e80Sb22;q/:?=5d09'7`?=>;?0q[j9:3y'275=9=30(>k6:707?x"1j10:?6sY28f97~Pc=38p(;<<:e38 6c>2?8>7pXk6;0x 3442m:0(>k6:706?x"1j10h7pX>e681Sb22;q/:?=5d09'7`?=>;?0q)8m8;3;?x{z3`82o7>5$3c`>7?d3_8ji74}Q9m:1>v*>e981=f=Q9=81>v*924823>"1:=0:;6sr}M0bb?7|^;k>6?uYd481!05;382o6*c290/>lm529f8R7gb2;qG>o>51zT2`5<5s-;n47<7d:T207<5s-<997?8;%410?703twv@?oi:0yU6d3=:r\o977>c3-9n578=5:'2g>=9>1vqp5`1d394?"5ij0:i<54}c1gf?6=;3:142f3_;?>7g=#>;>1n6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1n6*9258a?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`0`f<7280;6=u+2`a96g5<@;k;7b?j1;29 7gd28o:76sm3eg94?5=83:p(?ol:678L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;33?!05<3;;7psr}:k20g<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5179'272=9?1vqps4i075>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997??;%410?773twvq6g>6083>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>81]=9<52z&560<6>2.=>94>6:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&561{M0a4?7|^m=1>v*>e9820d=Q9=81>v*9248a?!05<3h0qpsr;h37f?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{5<3290;w)=9=k0Z<:=:3y'273=981/:?:5109~yx{i6=4+2`a951?<@;ki7[o6=?0;6)N5ik1]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+637952=#>;>1=:5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn>k=:18:>5<7s-8jo79:;I0b4>o6P5il0:wA4`9U514=:r.=>84>1:&561<692wvqp5f15`94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=981/:?:5109~yx{4381!05=3;:7)8=4;32?x{zu2c::94?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98221=Q9=81>v*924825>"1:=0:=6sr}|9j533=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;;4V061>7}#>;?1=<5+636954=zutw0e<89:18'6de=9=30Z?oj:0yO6g6=9r\o;74013_;?>747<,?8?6;%410?763twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560?0D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924825>"1:=0:=6sr}|9j500=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1=<5+636954=zutw0e<8>:18'6de=9=30Z?oj:0yO6g6=9r\o;74063_;?>747<,?8?6;%410?763twvq6g>6483>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6><1]=9<52z&560<692.=>94>1:~yx=n9?<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651748R4252;q/:?;5109'272=981vqps4i044>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?97:T207<5s-<997?>;%410?763twvq6g>6983>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;64V061>7}#>;?1=:5+636952=zutw0e<86:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;<7)8=4;34?x{zu2c:8n4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820f=Q9=81>v*9248a?!05<3h0qpsr;h37`?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{n6=4+2`a951?<^;kn6=9=l0Z<:=:3y'273=j2.=>94m;|~y>o6=90;6)P5il0:wA519U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn>k;:1825?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5109'272=981vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228;0(;<;:038yx{z3`;>:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;32?!05<3;:7psr}:k224<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::<5Y15096~"1:<0:=6*925825>{zut1b=;:50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9532<^8>96?u+637954=#>;>1=<5r}|8m402290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8:;W376?4|,?8>64727[2\:8?4={%411?763-<987?>;|~y>o6>>0;6)N5ik1]>lk51zN1f5<6s_n<6?u+1d:9531<^8>96?u+637954=#>;>1=<5r}|8m40?290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;35<>P6<;09w)8=5;34?!05<3;<7psr}:k22<<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6517;8R4252;q/:?;5169'272=9>1vqps4i06`>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>h7[?;2;0x 3422k1/:?:5b:~yx=n9=n1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515f8R4252;q/:?;5b:&5614d83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0e<;?:18'6de=9=30Z?oj:0yO6g6=9r\o;74373_;?>7g=#>;>1n6sr}|9j507=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=8?4V061>7}#>;?1n6*9258a?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`0a0<72<0;6=u+2`a95`6<@;k;7d?;a;29 7gd28>27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6o5+6369f>{zut1b=8850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+6379f>"1:=0i7psr}:k224<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::<5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c6b5?6=:3:1N5i91b=9750;&1ef<6<010c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f1gf29096=4?{%0bg?db3A8j<6g>4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;37?!05<3;?7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th?mi4?:783>5}#:hi1=994H3c3?!71i3;27d?;9;29 7gd28>27[52z&2a=<6<01]=9<52z&560lm5d19U6dc=9rF9n=4>{W3g4?4|,8o36i>4V061>7}#>;?1n6*9258a?x{zu2co=7>5$3c`>a7<^;kn6?uC2c295~P6l909w)?j8;f2?S73:38p(;<::e9'272=l2wvqAl39pZi;52z&566>4>489'7`?=>;>0q)8m8;30?xP51m08w[j::3y'275=l81/?h756378ySb12;q/:?=5d19'7`?=>;?0q)8m8;a8yS7b?38pZi;52z&5665<#:hi1>4m4V3cf>7}K:k:1=vX>d181!7b0382o6X>4381!05=3;<7)8=4;34?x{zD;km65j50;&1ef<50m1]>lk52zN1f5<6s_;o<77>c3_;?>741<,?8?6<94}|O6d`=9r\9m84={Wf6>7}#>;91>5j4$2g:>3423t.=n54>7:~y>i6m80;6)=zj=k96=4<:183!4fk38j56F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1n6*9258a?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{j?7>51;294~"5ij09n>5G2`28k4c6290/>lm51d38?xd3i<0;6>4?:1y'6de=?<1C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422k1/:?:5b:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560?0D?o?;h37e?6=,;kh6<:6;I0bf>P5il0:wA4`9U514=:r.=>84>0:&561<682wvqp5f15`94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<>4$707>4627[2\:8?4={%411?773-<987??;|~y>o6>80;6)P5il0:wA609U514=:r.=>84>0:&561<682wvqp5f17694?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?>0Z<:=:3y'273=991/:?:5119~yx{6=4+2`a951?<^;kn64381!05=3;;7)8=4;33?x{zu2c:::4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98222=Q9=81>v*924824>"1:=0:<6sr}|9j53>=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;64V061>7}#>;?1==5+636955=zutw0e<86:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;;7)8=4;33?x{zu2c:8n4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9=i0Z<:=:3y'273=991/:?:5119~yx{o6=4+2`a951?<@;ki7[o6P5il0:wA4d9U514=:r.=>84>6:&561<6>2wvqp5f15d94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=l0Z<:=:3y'273=9?1/:?:5179~yx{=6X>4381!05=3h0(;<;:c9~yx{5<693:1N5i91b=9o50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228:0(;<;:028yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>0:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5119'272=991vqps4i042>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<:7[?;2;0x 34228:0(;<;:028yx{z3`;=87>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;350>P6<;09w)8=5;33?!05<3;;7psr}:k220<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::85Y15096~"1:<0:<6*925824>{zut1b=;850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9530<^8>96?u+637955=#>;>1==5r}|8m400290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<88;W376?4|,?8>6<>4$707>4627[o6>00;6)N5ik1]>lk51zN1f5<6s_n<6?u+1d:953?<^8>96?u+637955=#>;>1==5r}|8m42d290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37g>P6<;09w)8=5;33?!05<3;;7psr}:k20a<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515f8R4252;q/:?;5179'272=9?1vqps4i06f>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>n7[?;2;0x 34228<0(;<;:048yx{z3`;?j7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37b>P6<;09w)8=5;35?!05<3;=7psr}:k215<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9=5Y15096~"1:<0::6*925822>{zut1b=8?50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9507<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th?m54?:0394?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820d=Q9=81>v*924824>"1:=0:<6sr}|9j51d=83.9mn4>489K6dd<^;kn6:6X>4381!05=3;;7)8=4;33?x{zu2c::<4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*924824>"1:=0:<6sr}|9j532=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;:4V061>7}#>;?1==5+636955=zutw0e<8::18'6de=9=30Z?oj:0yO6g6=9r\o;74023_;?>746<,?8?6<>4}|~?l71>3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?96:T207<5s-<997??;%410?773twvq6g>6683>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>>1]=9<52z&560<682.=>94>0:~yx=n9?21<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6517:8R4252;q/:?;5119'272=991vqps4i04:>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?99:T207<5s-<997??;%410?773twvq6g>4b83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9m4V061>7}#>;?1==5+636955=zutw0e<:k:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;=7)8=4;35?x{zu2c:8h4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820`=Q9=81>v*924822>"1:=0::6sr}|9j51`=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9h4V061>7}#>;?1=;5+636953=zutw0e<;?:18'6de=9=30Z?oj:0yO6g6=9r\o;74373_;?>740<,?8?6<84}|~?l7293:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?:1:T207<5s-<997l4$707>g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f1g>290:=7>50z&1ef<0=2B9m=5f15c94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<>4$707>4627E{zut1b=8850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+637955=#>;>1==5r}|8m406290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6<>4$707>4627[o6><0;6)P5il0:wA649U514=:r.=>84>0:&561<682wvqp5f17494?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?<0Z<:=:3y'273=991/:?:5119~yx{4381!05=3;;7)8=4;33?x{zu2c::44?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9?30Z<:=:3y'273=991/:?:5119~yx{h6=4+2`a951?<@;ki7[o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951b<^8>96?u+637953=#>;>1=;5r}|8m42b290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:j;W376?4|,?8>6<84$707>4027[o6=90;6)P5il0:wA519U514=:r.=>84>6:&561<6>2wvqp5f14394?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9<;0Z<:=:3y'273=j2.=>94m;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d3<729q/>lm51d28L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5b:&5616083>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>81]=9<52z&560g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f1ge290<6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=<5+636954=zutw0e<:m:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:9;4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9<<0Z<:=:3y'273=981/:?:5109~yx{;|~y>o6>=0;6)N5ik1]>lk51zN1f5<6s_n<6?u+1d:9532<^8>96?u+637952=#>;>1=:5r}|8m402290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8:;W376?4|,?8>6<94$707>417>50z&1ef5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj?h<6=49:183!4fk3;?;6F=a19'53g=901b=9750;&1ef<6<01]>lk51zN1f5<6s_;o<742>3_;?>7g=#>;>1n6sr}|9j`5<72-8jo7j?;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{P5il09wA84k;%410?blh54zT1=a<4s_n>6?u+6319`4=#;l31:?;4}Wf5>7}#>;91=974$2g:>3423t.=n54>3:U6a7<,:o26;<:;|Tg2?4|,?886<:6;%1f=?05<2w/:o65129~R7?c2:q]h84={%417?b63-9n578=5:U`3<5s-<9?7j?;%1f=?05=2w/:o65c:U5`1=:r\o97a7<,:o26;<:;|&5f=<602wvq6g=9b83>!4fk382o6X=ad81I4e83;pZ=:0i0Z<:=:3y'273=9>1/:?:5169~yxJ5io0:w[>4=9b9'7`?=>;?0q)8m8;34?x{z3`83h7>5$3c`>7>c3_8ji74}Q9m:1>v*>e981v*924823>"1:=0:;6sr}M0bb?7|^;k>6?uYd481!05;383h6*lm51d38?xd1j80;6>4?:1y'6de=:h30D?o?;h37e?6=,;kh6<:6;I0bf>P5il0:wA4`9U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn;l=:182>5<7s-8jo753;294~"5ij0<96F=a19j51g=83.9mn4>489K6dd<^;kn6P5il0:wA4c9U514=:r.=>84>6:&561<6>2wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e>k?1<7850;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;I0bf>P5il0:wA4`9U514=:r.=>84>6:&561<6>2wvqp5f15`94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<84$707>4027E{zut1b=;?50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28<:7[?;2;0x 34228<0(;<;:048yx{z3`;=87>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6>=1]=9<52z&560<6>2.=>94>6:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&561{M0a4?7|^m=1>v*>e9820d=Q9=81>v*9248a?!05<3h0qpsr;h37f?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a5t$3c`>gc<@;k;7d?;9;29 7gd28>276a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&5603`;?57>5$3c`>42>3_8ji7?tL3`3>4}Q9m:1>v*>e9820<=Q9=81>v*9248a?!05<3h0qpsr;hf3>5<#:hi1h=5Y2`g95~J5j90:w[?k0;0x 4c?2m:0Z<:=:3y'273=j2.=>94m;|~y>oc93:1(?ol:e38R7gb2;qG>o>51zT2`5<5s-;n47j>;W376?4|,?8>6i5+6369`>{zuE8jj7:tV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3;?56*v*9228g5>"4m00=>85rVe496~"1::0:845+3d;92726?u+6319`4=#;l31:?;4}Wf5>7}#>;91h=5+3d;9273v*9228g5>"4m00=>85r$7`;>4>k2\:8?4={%411?703-<987?8;|~H7ga28q]>l;52zTg1?4|,?886?7l;%1f=?05=2w/:o65169~yx=n:1n1<7*=ab81vB=b182S7c838p(k6:706?x"1j10:;6sr}:m2a4<72-8jo7?j1:9~f4?e29086=4?{%0bg?4f12B9m=5f15c94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6o5+6369f>{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th:5n4?:083>5}#:hi1>o=4H3c3?j7b93:1(?ol:0g2?>{e90n1<7=50;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{i6=4+2`a951?<^;kn6=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e90l1<7950;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:8o4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=981/:?:5109~yx{P5il0:wA609U514=:r.=>84>7:&561<6?2wvqp5f17694?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?>0Z<:=:3y'273=9>1/:?:5169~yx{6=4+2`a951?<@;ki7[i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?da183>2<729q/>lm5749K6d6j6=4+2`a951?<^;kn64381!05=3;<7)8=4;34?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924823>"1:=0:;6sr}|9j537=83.9mn4>489K6dd<^;kn64381!05=3;<7)8=4;34?x{zu2c::84?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9??0Z<:=:3y'273=9>1/:?:5169~yx{5<0290;w)=9=k0Z<:=:3y'273=981/:?:5109~yx{i6=4+2`a951?<@;ki7[;|~y>o6=?0;6)P5il0:wA579U514=:r.=>84>7:&561<6?2wvqp5f17394?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?;0Z<:=:3y'273=9>1/:?:5169~yx{4381!05=3;<7)8=4;34?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`2e7<72>0;6=u+2`a930=O:h:0e<:n:18'6de=9=30Z?oj:0yO6g6=9r\o;742f3_;?>747<,?8?6{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924825>"1:=0:=6sr}|9j500=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1=:5+636952=zutw0e<8>:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;<7)8=4;34?x{zu2c::94?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98221=Q9=81>v*924823>"1:=0:;6sr}|9j533=83.9mn4>489K6dd<^;kn6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{57;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=<5+636954=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>741<,?8?6<94}|~?l72>3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997?8;%410?703twvq6g>6083>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1=:5+636952=zutw0e<8;:18'6de=9=30Z?oj:0yO6g6=9r\o;74033_;?>741<,?8?6<94}|~?l71=3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98220=Q9=81>v*924823>"1:=0:;6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi=l:50;494?6|,;kh6o6P5il0:wA4`9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>6o5+6369f>{zut1b=;?50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9537<^8>96?u+6379f>"1:=0i7psr}:k221<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::95Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c6:e?6=:3:1N5i91b=9750;&1ef<6<010c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f1g7290=6=4?{%0bg?73?2B9m=5+17c95<=n9=31<7*=ab820<=Q:ho1=vB=b182S7c838p(!4fk3n;7[52z&2a=7}#9l21h<5Y15096~"1:<0o7)8=4;f8yx{K:hl18vX=9e80Sb22;q/:?=5d09'7`?=>;?0q[j9:3y'275=9=30(>k6:706?x"1j10:?6sY28f97~Pc=38p(;<<:e38 6c>2?8>7pXk6;0x 34428>27)=j9;410>{#>k21=>5rV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3n;7)=j9;411>{#>k21=>5rV0g4>7}Ql<09w)8=3;f2?!5b13<996s+6c:95==zut1b>4m50;&1ef<51j1]>lk52zN1f5<6s_;o<77?d3_;?>741<,?8?6<94}|O6d`=9r\9m84={Wf6>7}#>;91>4m4$2g:>3423t.=n54>7:~y>o50m0;6)P5il09wA1vqpB=ag82S4f=38pZi;52z&566<50m1/?h756378y!0e03;<7psr;n3f5?6=,;kh6;:a0t$3c`>7g>3A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f1?c290:6=4?{%0bg?4e;2B9m=5`1d394?"5ij0:i<54}c6:a?6=;3:1N5i91b=9o50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228:0(;<;:028yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>0:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;35?!05<3;=7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0::6*925822>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a0d`=8381<7>t$3c`>gc<@;k;7d?;9;29 7gd28>276a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&5603`;?57>5$3c`>42>3_8ji7?tL3`3>4}Q9m:1>v*>e9820<=Q9=81>v*9248a?!05<3h0qpsr;hf3>5<#:hi1h=5Y2`g95~J5j90:w[?k0;0x 4c?2m:0Z<:=:3y'273=j2.=>94m;|~y>oc93:1(?ol:e38R7gb2;qG>o>51zT2`5<5s-;n47j>;W376?4|,?8>6i5+6369`>{zuE8jj7:tV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3;?56*v*9228g5>"4m00=>85rVe496~"1::0:845+3d;92726?u+6319`4=#;l31:?;4}Wf5>7}#>;91h=5+3d;92736?u+6319`4=#;l31:?;4}%4a52z&2a=<51j1]=9<52z&560<6?2.=>94>7:~yI4fn3;pZ?o::3yU`0<5s-<9?7<6c:&0a<<1:<1v(;l7:058yx{P6<;09w)8=5;34?!05<3;<7psrL3ce>4}Q:h?1>vXk5;0x 3442;2o7)=j9;411>{#>k21=:5r}|9l5`7=83.9mn4>e098yg2e93:1?7>50z&1ef<5i01C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422k1/:?:5b:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560;:a0g5=8391<7>t$3c`>23<@;k;7d?;a;29 7gd28>27E{zut1b=9l50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228:0(;<;:028yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c6a4?6=;3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637953=#>;>1=;5r}|8m42e290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<84$707>407>50z&1ef5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj<836=4=:183!4fk3hn7Ee09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi9??50;094?6|,;kh6ok4H3c3?l7313:1(?ol:06:?>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d3<729q/>lm51558L7g73-;=m7?6;h37=?6=,;kh6<:6;W0ba?7|D;h;67a=#>;>1h6sr}M0bb?2|^;3o6>uYd481!05;3n:7)=j9;411>{Ql?09w)8=3;37=>"4m00=>85r$7`;>45v*922820<=#;l31:?:4}%4a7}#>;91h<5+3d;9273lm528a8R7gb2;qG>o>51zT2`5<5s-;n47<6c:T207<5s-<997?8;%410?703twv@?oi:0yU6d3=:r\o977?d3-9n578=5:'2g>=9>1vqp5f29f94?"5ij094i5Y2`g96~J5j90:w[?k0;0x 4c?2;2o7[?;2;0x 34228=0(;<;:058yx{K:hl1=vX=a481Sb22;q/:?=529f8 6c>2?8>7p*9b9823>{zu2e:i<4?:%0bg?7b921vn8?m:180>5<7s-8jo74c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0qo;>c;295?6=8r.9mn4=b29K6d6=h4?:283>5}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6o5+6369f>{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th>=k4?:283>5}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<>4$707>4627E{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a176=8391<7>t$3c`>23<@;k;7d?;a;29 7gd28>27E{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637953=#>;>1=;5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn8?k:187>5<7s-8jo7?j0:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5b:&5614c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<63:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997l4$707>g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f045290=6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=<5+636954=zutw0e<:m:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:9;4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9<<0Z<:=:3y'273=981/:?:5109~yx{o6>=0;6)P5il0:wA659U514=:r.=>84>7:&561<6?2wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e=;91<7950;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;I0bf>P5il0:wA4`9U514=:r.=>84>1:&561<692wvqp5f15`94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>64727E{zut1b=;?50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28<:7[?;2;0x 34228;0(;<;:038yx{z3`;=87>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6>=1]=9<52z&560<6?2.=>94>7:~yx=n9??1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651778R4252;q/:?;5169'272=9>1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj<8?6=48:183!4fk3=>7E5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?>;%410?763twvq6g>5783>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1=<5+636954=zutw0e<8>:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;<7)8=4;34?x{zu2c::94?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98221=Q9=81>v*924823>"1:=0:;6sr}|9j533=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;;4V061>7}#>;?1=:5+636952=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f042290?6=4?{%0bg?7b82B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4c9U514=:r.=>84m;%410?d27[2\:8?4={%411?d<,?8?6o5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn;?;:181>5<7s-8jo7lj;I0b4>o6<00;6)=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5619:k20<<72-8jo7?;9:T1e`<6sE8i<7?tV0f3>7}#9l21=974V061>7}#>;?1n6*9258a?x{zu2co<7>5$3c`>a6<^;kn6!4fk3n:7[52z&2a=v*922820<=#;l31:?;4}%4a7}#>;91h<5+3d;92734j53zTg1?4|,?886i?4$2g:>3423t\o:7a6<,:o26;<:;|&5f=7}#>;91h<5+3d;9273k3:1(?ol:3;`?S4fm38p@?l?:0yU5a6=:r.:i54=9b9U514=:r.=>84>7:&561<6?2wvqAk2.8i449249~ 3d?28=0qps4i3:g>5<#:hi1>5j4V3cf>7}K:k:1=vX>d181!7b0383h6X>4381!05=3;<7)8=4;34?x{zD;km65;297?6=8r.9mn4=a89K6d6j6=4+2`a951?<@;ki7[lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a240=83;1<7>t$3c`>7d43A8j<6a>e083>!4fk3;n=65rb73;>5<693:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637955=#>;>1==5r}|8m42e290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<>4$707>4627[2\:8?4={%411?773-<987??;|~y>o6>80;6)P5il0:wA609U514=:r.=>84>0:&561<682wvqp5f17694?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?>0Z<:=:3y'273=991/:?:5119~yx{6=4+2`a951?<^;kn64381!05=3;;7)8=4;33?x{zu2c:::4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98222=Q9=81>v*924824>"1:=0:<6sr}|9j53>=83.9mn4>489K6dd<^;kn64381!05=3;=7)8=4;35?x{zu2c:8n4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820f=Q9=81>v*9248a?!05<3h0qpsr;h37`?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{n6=4+2`a951?<^;kn6=9=l0Z<:=:3y'273=j2.=>94m;|~y>o6=90;6)P5il0:wA519U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn;?6:1825?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5119'272=991vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228:0(;<;:028yx{z3`;>:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;33?!05<3;;7psr}:k224<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::<5Y15096~"1:<0:<6*925824>{zut1b=;:50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9532<^8>96?u+637955=#>;>1==5r}|8m402290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8:;W376?4|,?8>6<>4$707>4627[2\:8?4={%411?773-<987??;|~y>o6>>0;6)P5il0:wA669U514=:r.=>84>0:&561<682wvqp5f17:94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?20Z<:=:3y'273=9?1/:?:5179~yx{4381!05=3h0(;<;:c9~yx{o6=4+2`a951?<^;kn6=9=o0Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4g9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;>;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a241=8391<7>t$3c`>4c73A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f37d29086=4?{%0bg?123A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>0:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5119'272=991vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zje09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi9n:50;094?6|,;kh6ok4H3c3?l7313:1(?ol:06:?>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d7<729q/>lm5bd9K6d626=4+2`a951?<3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;d8 3432o1vqps4}c7`5?6=;3:14381!05=3h0(;<;:c9~yx{i6=4+2`a951?<^;kn6=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e=j81<7?50;2x 7gd2;h87E4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f0e2290=6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=<5+636954=zutw0e<:m:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:9;4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9<<0Z<:=:3y'273=981/:?:5109~yx{o6>=0;6)P5il0:wA659U514=:r.=>84>7:&561<6?2wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e=j<1<7850;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;I0bf>P5il0:wA4`9U514=:r.=>84>1:&561<692wvqp5f15`94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>64727E{zut1b=;?50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28<:7[?;2;0x 34228=0(;<;:058yx{z3`;=87>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;350>P6<;09w)8=5;34?!05<3;<7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th>o:4?:783>5}#:hi1;85G2`28m42f290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;32?!05<3;:7psr}:k20g<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5109'272=981vqps4i075>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997?>;%410?763twvq6g>6083>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1=:5+636952=zutw0e<8;:18'6de=9=30Z?oj:0yO6g6=9r\o;74033_;?>741<,?8?6<94}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo;l8;293?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742f3_;?>747<,?8?6{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924825>"1:=0:=6sr}|9j500=83.9mn4>489K6dd<^;kn6P5il0:wA609U514=:r.=>84>1:&561<692wvqp5f17694?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<8;;W376?4|,?8>6<94$707>4127[i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d2<729q/>lm5749K6d6j6=4+2`a951?<@;ki7[;|~y>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637954=#>;>1=<5r}|8m431290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;32?!05<3;:7psr}:k224<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651738R4252;q/:?;5169'272=9>1vqps4i047>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?285$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;351>P6<;09w)8=5;34?!05<3;<7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th>ol4?:783>5}#:hi1=h>4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9j500=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1n6*9258a?x{zu2c::<4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*9248a?!05<3h0qpsr;h350?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a17c=8381<7>t$3c`>gc<@;k;7d?;9;29 7gd28>276a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{53;294~"5ij09m45G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6o5+6369f>{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637951=#>;>1=95r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn8=m:185>5<7s-8jo7?;7:J1e5=#9?k1=45f15;94?"5ij0:845Y2`g95~J5j90:w[?k0;0x 4c?28>27[?;2;0x 3422k1/:?:5b:~yx=nl90;6)g=zutw0ei?50;&1efv*9248g?!05<3n0qpsC2`d90~P51m08w[j::3y'275=l81/?h756378ySb12;q/:?=515;8 6c>2?8>7p*9b9827>{Q:0n1?vXk5;0x 3442m;0(>k6:706?xPc>38p(;<<:06:?!5b13<986s+6c:956=z^;3o6>uYd481!05;3n:7)=j9;411>{Ql?09w)8=3;f3?!5b13<996s+6c:9g>{Q9l=1>vXk5;0x 3442m;0(>k6:706?x"1j10:46sr}:k1=f<72-8jo7<6c:T1e`<5sE8i<7?tV0f3>7}#9l21>4m4V061>7}#>;?1=:5+636952=zutF9mk4>{W0b1?4|^m?1>v*92281=f=#;l31:?;4}%4a52z&2a=<50m1]=9<52z&560<6?2.=>94>7:~yI4fn3;pZ?o::3yU`0<5s-<9?7<7d:&0a<<1:<1v(;l7:058yx{?=4?:283>5}#:hi1>l74H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi9>?50;394?6|,;kh6?l<;I0b4>i6m80;6)=zj<986=4;:183!4fk3=>7E5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?9;%410?713twvq6g>5783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560<6>2.=>94>6:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5179'272=9?1vqps4i075>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997?9;%410?713twvq6g>6083>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1=;5+636953=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f05529086=4?{%0bg?7b82B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4c9U514=:r.=>84m;%410?d3:1:7>50z&1ef<0=2B9m=5f15c94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>64727E{zut1b=8850;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228;0(;<;:038yx{z3`;==7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6>81]=9<52z&560<6?2.=>94>7:~yx=n9?>1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651768R4252;q/:?;5169'272=9>1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj<9<6=49:183!4fk3=>7E5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?>;%410?763twvq6g>5783>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1=<5+636954=zutw0e<8>:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;<7)8=4;34?x{zu2c::94?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98221=Q9=81>v*924823>"1:=0:;6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi9>650;494?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820d=Q9=81>v*924825>"1:=0:=6sr}|9j51d=83.9mn4>489K6dd<^;kn6P5il0:wA579U514=:r.=>84>1:&561<692wvqp5f17394?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6<94$707>4127[i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d1<729q/>lm51d28L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{52;294~"5ij0ii6F=a19j51?=83.9mn4>4898k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn?=i:181>5<7s-8jo7lj;I0b4>o6<00;6)=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5f:&561{M0a4?7|^m=1>v*>e9820d=Q9=81>v*9248a?!05<3h0qpsr;h37f?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{5<6290;w)N5i91d=h?50;&1ef<6m810qo<<9;297?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5119'272=991vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228<0(;<;:048yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c00e?6=;3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637955=#>;>1==5r}|8m42e290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;35?!05<3;=7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th9?54?:283>5}#:hi1=h>4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi>>m50;194?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?>;%410?763twvq6g>4c83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=:5+636952=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f75c29086=4?{%0bg?123A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>7:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5109'272=981vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj;9n6=4<:183!4fk3;n<6F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1n6*9258a?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{52;294~"5ij0ii6F=a19j51?=83.9mn4>4898k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn8:j:181>5<7s-8jo7lj;I0b4>o6<00;6)=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5619:k20<<72-8jo7?;9:T1e`<6sE8i<7?tV0f3>7}#9l21=974V061>7}#>;?1n6*9258a?x{zu2co<7>5$3c`>a6<^;kn6!4fk3n:7[52z&2a=v*922820<=#;l31:?;4}%4a7}#>;91h<5+3d;92734j53zTg1?4|,?886i?4$2g:>3423t\o:7a6<,:o26;<:;|&5f=7}#>;91h<5+3d;9273k3:1(?ol:3;`?S4fm38p@?l?:0yU5a6=:r.:i54=9b9U514=:r.=>84>7:&561<6?2wvqAk2.8i449249~ 3d?28=0qps4i3:g>5<#:hi1>5j4V3cf>7}K:k:1=vX>d181!7b0383h6X>4381!05=3;<7)8=4;34?x{zD;km6j6=4+2`a951?<^;kn6=9=h0Z<:=:3y'273=j2.=>94m;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d4<729q/>lm52c18L7g73f;n=7>5$3c`>4c632wi98=50;194?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi98:50;194?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997??;%410?773twvq6g>4c83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=;5+636953=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f03229086=4?{%0bg?123A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>0:~yx=n9=h1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742e3_;?>740<,?8?6<84}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo;:6;297?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742f3_;?>740<,?8?6<84}|~?l73j3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924822>"1:=0::6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi98950;694?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997??;%410?773twvq6g>4c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>0:~yx=n9<<1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74313_;?>740<,?8?6<84}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo;:8;290?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5119'272=991vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228:0(;<;:028yx{z3`;>:7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560<6>2.=>94>6:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&561{M0a4?7|^m=1>v*>e9820d=Q9=81>v*9248a?!05<3h0qpsr;h37f?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{=9?;0Z<:=:3y'273=j2.=>94m;|~y>o6>=0;6)P5il0:wA659U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn;==:181>5<7s-8jo7lj;I0b4>o6<00;6)=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>32e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`57`<72?0;6=u+2`a9511<@;k;7)?9a;3:?l7313:1(?ol:06:?S4fm3;p@?l?:0yU5a6=:r.:i54>489U514=:r.=>84m;%410?dlk51zN1f5<6s_;o<7a6<^8>96?u+6379f>"1:=0i7psr}:kg5?6=,;kh6i?4V3cf>7}K:k:1=vX>d181!7b03n:7[?;2;0x 3422m1/:?:5d:~yI4fn3>pZ?7k:2yU`0<5s-<9?7j>;%1f=?05=2w]h;4={%417?7312.8i449249~ 3d?2890q[<6d;1xRa3=:r.=>>4k1:&0a<<1:<1vZi852z&566<6<01/?h756368y!0e03;87pX=9e80Sb22;q/:?=5d09'7`?=>;?0q[j9:3y'275=l91/?h756378y!0e03i0q[?j7;0xRa3=:r.=>>4k1:&0a<<1:<1v(;l7:0:8yx{P6<;09w)8=5;34?!05<3;<7psrL3ce>4}Q:h?1>vXk5;0x 3442;3h7)=j9;411>{#>k21=:5r}|9j6=b=83.9mn4=8e9U6dc=:rF9n=4>{W3g4?4|,8o36?6k;W376?4|,?8>6<94$707>41lh51zT1e0<5s_n>6?u+63196=b<,:o26;<:;|&5f=<6?2wvq6a>e083>!4fk3;n=65rb710>5<4290;w)N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+6379f>"1:=0i7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c400?6=93:1:18'6de=9l;07pl93783>6<729q/>lm5749K6d6j6=4+2`a951?<^;kn64381!05=3;=7)8=4;35?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`572<72>0;6=u+2`a930=O:h:0e<:n:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;;7)8=4;33?x{zu2c:8o4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=991/:?:5119~yx{2\:8?4={%411?773-<987??;|~y>o6>80;6)P5il0:wA609U514=:r.=>84>6:&561<6>2wvqp5f17694?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?>0Z<:=:3y'273=9?1/:?:5179~yx{6=4+2`a951?<^;kn6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{57;294~"5ij0<96F=a19j51g=83.9mn4>489K6dd<^;kn6P5il0:wA4c9U514=:r.=>84>0:&561<682wvqp5f14494?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9<<0Z<:=:3y'273=9?1/:?:5179~yx{4381!05=3;=7)8=4;35?x{zu2c::84?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98220=Q9=81>v*924822>"1:=0::6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi:>;50;694?6|,;kh6o6P5il0:wA4`9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a26g=83=1<7>t$3c`>23<@;k;7d?;a;29 7gd28>27E{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637954=#>;>1=<5r}|8m431290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;32?!05<3;:7psr}:k224<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651738R4252;q/:?;5109'272=981vqps4i047>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?94:T207<5s-<997?>;%410?763twvq6g>6483>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;;4V061>7}#>;?1=:5+636952=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f35e290<6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=<5+636954=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>747<,?8?63:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924825>"1:=0:=6sr}|9j537=83.9mn4>489K6dd<^;kn64381!05=3;<7)8=4;34?x{zu2c::84?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9??0Z<:=:3y'273=9>1/:?:5169~yx{5<4290;w)N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+6379f>"1:=0i7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c6g4?6=:3:1N5i91b=9750;&1ef<6<010c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f1b0290=6=4?{%0bg?73?2B9m=5+17c95<=n9=31<7*=ab820<=Q:ho1=vB=b182S7c838p(!4fk3n;7[52z&2a=7}#9l21h<5Y15096~"1:<0o7)8=4;f8yx{K:hl18vX=9e80Sb22;q/:?=5d09'7`?=>;?0q[j9:3y'275=9=30(>k6:706?x"1j10:?6sY28f97~Pc=38p(;<<:e38 6c>2?8>7pXk6;0x 34428>27)=j9;410>{#>k21=>5rV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3n;7)=j9;411>{#>k21o6sY1d596~Pc=38p(;<<:e38 6c>2?8>7p*9b982<>{zu2c95n4?:%0bg?4>k2\9mh4={M0a4?7|^8n;6?u+1d:9696?u+637952=#>;>1=:5r}|N1ec<6s_8j9784>7:&561<6?2wvqA5<#:hi1=h?4;|`7`4<72:0;6=u+2`a96d?<@;k;7d?;a;29 7gd28>27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a0a4=83;1<7>t$3c`>7d43A8j<6a>e083>!4fk3;n=65rb5f7>5<4290;w){M0a4?7|^m=1>v*>e9820d=Q9=81>v*924822>"1:=0::6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=;5+636953=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f1b229086=4?{%0bg?123A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5179'272=9?1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj=n86=4<:183!4fk3;n<6F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1n6*9258a?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{o47>52;294~"5ij0ii6F=a19j51?=83.9mn4>4898k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn9k?:181>5<7s-8jo7lj;I0b4>o6<00;6)=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5619:k20<<72-8jo7?;9:T1e`<6sE8i<7?tV0f3>7}#9l21=974V061>7}#>;?1n6*9258a?x{zu2co<7>5$3c`>a6<^;kn6!4fk3n:7[52z&2a=v*922820<=#;l31:?;4}%4a7}#>;91h<5+3d;92734j53zTg1?4|,?886i?4$2g:>3423t\o:7a6<,:o26;<:;|&5f=7}#>;91h<5+3d;9273k3:1(?ol:3;`?S4fm38p@?l?:0yU5a6=:r.:i54=9b9U514=:r.=>84>7:&561<6?2wvqAk2.8i449249~ 3d?28=0qps4i3:g>5<#:hi1>5j4V3cf>7}K:k:1=vX>d181!7b0383h6X>4381!05=3;<7)8=4;34?x{zD;km6j6=4+2`a951?<@;ki7[lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a0ag=83;1<7>t$3c`>7d43A8j<6a>e083>!4fk3;n=65rb5f`>5<4290;w){M0a4?7|^m=1>v*>e9820d=Q9=81>v*9248a?!05<3h0qpsr;h37f?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{5<4290;w){M0a4?7|^m=1>v*>e9820d=Q9=81>v*924822>"1:=0::6sr}|9j51d=83.9mn4>489K6dd<^;kn6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{oi7>53;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=;5+636953=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>740<,?8?6<84}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo:kf;290?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5179'272=9?1vqps4i06a>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?9;%410?713twvq6g>5783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560<6>2.=>94>6:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&561{M0a4?7|^m=1>v*>e9820d=Q9=81>v*9248a?!05<3h0qpsr;h37f?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{=9?;0Z<:=:3y'273=j2.=>94m;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d6<729q/>lm5749K6d6j6=4+2`a951?<^;kn64381!05=3;<7)8=4;34?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`7a7<72:0;6=u+2`a930=O:h:0e<:n:18'6de=9=30Z?oj:0yO6g6=9r\o;742f3_;?>747<,?8?6{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924823>"1:=0:;6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi8h=50;194?6|,;kh6o6P5il0:wA4`9U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn98j:181>5<7s-8jo7lj;I0b4>o6<00;6)=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&561a:k20<<72-8jo7?;9:T1e`<6sE8i<7?tV0f3>7}#9l21=974V061>7}#>;?1n6*9258a?x{zu2co<7>5$3c`>a6<^;kn6!4fk3n:7[52z&2a=v*922820<=#;l31:?;4}%4a7}#>;91h<5+3d;92734j53zTg1?4|,?886i?4$2g:>3423t\o:7a6<,:o26;<:;|&5f=7}#>;91h<5+3d;9273k3:1(?ol:3;`?S4fm38p@?l?:0yU5a6=:r.:i54=9b9U514=:r.=>84>7:&561<6?2wvqAk2.8i449249~ 3d?28=0qps4i3:g>5<#:hi1>5j4V3cf>7}K:k:1=vX>d181!7b0383h6X>4381!05=3;<7)8=4;34?x{zD;km6j6=4+2`a951?<@;ki7[lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a026=83;1<7>t$3c`>7d43A8j<6a>e083>!4fk3;n=65rb551>5<4290;w)=9=k0Z<:=:3y'273=9?1/:?:5179~yx{i6=4+2`a951?<@;ki7[i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d0<729q/>lm5749K6d6j6=4+2`a951?<@;ki7[o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637953=#>;>1=;5r}|8m431290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>6<84$707>4027E{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a022=83?1<7>t$3c`>23<@;k;7d?;a;29 7gd28>27E{zut1b=9l50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228<0(;<;:048yx{z3`;>:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;35?!05<3;=7psr}:k224<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651738R4252;q/:?;5179'272=9?1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj==:6=4;:183!4fk3;n<6F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1n6*9258a?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*9248a?!05<3h0qpsr;h362?6=,;kh6<:6;W0ba?7|D;h;6:6X>4381!05=3h0(;<;:c9~yx{5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a00?=83<1<7>t$3c`>4203A8j<6*>6`82=>o6<00;6)P5il0:wA7g=#>;>1n6sr}|9j`4<72-8jo7j>;W0ba?4|D;h;64381!05=3n0(;<;:e9~yxJ5io0?w[<6d;1xRa3=:r.=>>4k1:&0a<<1:<1vZi852z&566<6<01/?h756378y!0e03;87pX=9e80Sb22;q/:?=5d09'7`?=>;?0q[j9:3y'275=9=30(>k6:707?x"1j10:?6sY28f97~Pc=38p(;<<:e38 6c>2?8>7pXk6;0x 3442m:0(>k6:706?x"1j10h7pX>e681Sb22;q/:?=5d09'7`?=>;?0q)8m8;3;?x{z3`82o7>5$3c`>7?d3_8ji74}Q9m:1>v*>e981=f=Q9=81>v*924823>"1:=0:;6sr}M0bb?7|^;k>6?uYd481!05;382o6*c290/>lm529f8R7gb2;qG>o>51zT2`5<5s-;n47<7d:T207<5s-<997?8;%410?703twv@?oi:0yU6d3=:r\o977>c3-9n578=5:'2g>=9>1vqp5`1d394?"5ij0:i<54}c666?6=;3:142f3_;?>7g=#>;>1n6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1n6*9258a?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`716<7280;6=u+2`a96g5<@;k;7b?j1;29 7gd28o:76sm44794?5=83:p(?ol:678L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;35?!05<3;=7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0::6*925822>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a000=8391<7>t$3c`>23<@;k;7d?;a;29 7gd28>27[o6P5il0:wA4c9U514=:r.=>84>6:&561<6>2wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e<<=1<7:50;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3;=7)8=4;35?x{zu2c:8o4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=9?1/:?:5179~yx{2\:8?4={%411?713-<987?9;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d1<729q/>lm51d28L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{56;294~"5ij0:8:5G2`28 40f2830e<:6:18'6de=9=30Z?oj:0yO6g6=9r\:h=4={%3f7}#9l21h=5Y15096~"1:<0i7)8=4;`8yx{z3`n:6=4+2`a9`4=Q:ho1>vB=b182S7c838p(a7<,:o26;<:;|Tg2?4|,?886<:6;%1f=?05=2w/:o65129~R7?c2:q]h84={%417?b63-9n578=5:U`3<5s-<9?7?;9:&0a<<1:=1v(;l7:018yS4>l39pZi;52z&566>4k0:&0a<<1:<1v(;l7:b9~R4c02;q]h84={%417?b63-9n578=5:'2g>=911vqp5f28a94?"5ij095n5Y2`g96~J5j90:w[?k0;0x 4c?2;3h7[?;2;0x 34228=0(;<;:058yx{K:hl1=vX=a481Sb22;q/:?=528a8 6c>2?8>7p*9b9823>{zu2c94i4?:%0bg?4?l2\9mh4={M0a4?7|^8n;6?u+1d:96=b<^8>96?u+637952=#>;>1=:5r}|N1ec<6s_8j97{e;o;1<7=50;2x 7gd2;k27E5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;`8 3432k1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj:l96=4>:183!4fk38i?6F=a19l5`7=83.9mn4>e098yg5a;3:1?7>50z&1ef<0=2B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=991/:?:5119~yx{i6=4+2`a951?<^;kn6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{;?7>52;294~"5ij0ii6F=a19j51?=83.9mn4>4898k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn9>l:180>5<7s-8jo74c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>4:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5619:k20<<72-8jo7?;9:T1e`<6sE8i<7?tV0f3>7}#9l21=974V061>7}#>;?1n6*9258a?x{zu2co<7>5$3c`>a6<^;kn6!4fk3n:7[52z&2a=v*922820<=#;l31:?;4}%4a7}#>;91h<5+3d;92734j53zTg1?4|,?886i?4$2g:>3423t\o:7a6<,:o26;<:;|&5f=7}#>;91h<5+3d;9273k3:1(?ol:3;`?S4fm38p@?l?:0yU5a6=:r.:i54=9b9U514=:r.=>84>7:&561<6?2wvqAk2.8i449249~ 3d?28=0qps4i3:g>5<#:hi1>5j4V3cf>7}K:k:1=vX>d181!7b0383h6X>4381!05=3;<7)8=4;34?x{zD;km6j6=4+2`a951?<@;ki7[lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a053=83;1<7>t$3c`>7d43A8j<6a>e083>!4fk3;n=65rb524>5<3290;w){M0a4?7|^m=1>v*>e9820d=Q9=81>v*924822>"1:=0::6sr}|9j51d=83.9mn4>489K6dd<^;kn6:6X>4381!05=3;=7)8=4;35?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`74=<72?0;6=u+2`a930=O:h:0e<:n:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;=7)8=4;35?x{zu2c:8o4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=9?1/:?:5179~yx{2\:8?4={%411?713-<987?9;|~y>o6>80;6)N5ik1]>lk51zN1f5<6s_n<6?u+1d:9537<^8>96?u+637953=#>;>1=;5r}|8m403290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8;;W376?4|,?8>6<>4$707>4650z&1ef<0=2B9m=5f15c94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<84$707>4027E{zut1b=8850;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228<0(;<;:048yx{z3`;==7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6>81]=9<52z&560<6>2.=>94>6:~yx=n9?>1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74033_;?>740<,?8?6<84}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo:?6;290?6=8r.9mn4>e19K6d6j6=4+2`a951?<^;kn6=9=h0Z<:=:3y'273=j2.=>94m;|~y>o6=?0;6)P5il0:wA579U514=:r.=>84m;%410?d7>50z&1ef5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj=?m6=49:183!4fk3;?;6F=a19'53g=901b=9750;&1ef<6<01]>lk51zN1f5<6s_;o<742>3_;?>7g=#>;>1n6sr}|9j`5<72-8jo7j?;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{P5il09wA84k;%410?blh54zT1=a<4s_n>6?u+6319`4=#;l31:?;4}Wf5>7}#>;91=974$2g:>3423t.=n54>3:U6a7<,:o26;<:;|Tg2?4|,?886<:6;%1f=?05<2w/:o65129~R7?c2:q]h84={%417?b63-9n578=5:U`3<5s-<9?7j?;%1f=?05=2w/:o65c:U5`1=:r\o97a7<,:o26;<:;|&5f=<602wvq6g=9b83>!4fk382o6X=ad81I4e83;pZ=:0i0Z<:=:3y'273=9>1/:?:5169~yxJ5io0:w[>4=9b9'7`?=>;?0q)8m8;34?x{z3`83h7>5$3c`>7>c3_8ji74}Q9m:1>v*>e981v*924823>"1:=0:;6sr}M0bb?7|^;k>6?uYd481!05;383h6*lm51d38?xd3=k0;6>4?:1y'6de=:h30D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{i6=4+2`a951?<^;kn6=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e<4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5179'272=9?1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj=;<6=4=:183!4fk3hn7Ee09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi8?>50;194?6|,;kh6?o6;I0b4>o6P5il0:wA4`9U514=:r.=>84m;%410?d27[i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d3<729q/>lm51558L7g73-;=m7?6;h37=?6=,;kh6<:6;W0ba?7|D;h;67a=#>;>1h6sr}M0bb?2|^;3o6>uYd481!05;3n:7)=j9;411>{Ql?09w)8=3;37=>"4m00=>85r$7`;>45v*922820<=#;l31:?:4}%4a7}#>;91h<5+3d;9273lm528a8R7gb2;qG>o>51zT2`5<5s-;n47<6c:T207<5s-<997?8;%410?703twv@?oi:0yU6d3=:r\o977?d3-9n578=5:'2g>=9>1vqp5f29f94?"5ij094i5Y2`g96~J5j90:w[?k0;0x 4c?2;2o7[?;2;0x 34228=0(;<;:058yx{K:hl1=vX=a481Sb22;q/:?=529f8 6c>2?8>7p*9b9823>{zu2e:i<4?:%0bg?7b921vn9?7:180>5<7s-8jo74c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0qo:>9;295?6=8r.9mn4=b29K6d65}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<84$707>4027[o6=?0;6)P5il0:wA579U514=:r.=>84>0:&561<682wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e<8i1<7:50;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3;=7)8=4;35?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924822>"1:=0::6sr}|9j500=83.9mn4>489K6dd<^;kn6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{:h7>54;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=;5+636953=zutw0e<:m:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;;7)8=4;33?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924822>"1:=0::6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi8o6P5il0:wA4`9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a036=8381<7>t$3c`>gc<@;k;7d?;9;29 7gd28>276a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560<0;6;4?:1y'6de=9==0D?o?;%35e?7>3`;?57>5$3c`>42>3_8ji7?tL3`3>4}Q9m:1>v*>e9820<=Q9=81>v*9248a?!05<3h0qpsr;hf3>5<#:hi1h=5Y2`g95~J5j90:w[?k0;0x 4c?2m:0Z<:=:3y'273=j2.=>94m;|~y>oc93:1(?ol:e38R7gb2;qG>o>51zT2`5<5s-;n47j>;W376?4|,?8>6i5+6369`>{zuE8jj7:tV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3;?56*v*9228g5>"4m00=>85rVe496~"1::0:845+3d;92726?u+6319`4=#;l31:?;4}Wf5>7}#>;91h=5+3d;9273v*9228g5>"4m00=>85r$7`;>4>k2\:8?4={%411?703-<987?8;|~H7ga28q]>l;52zTg1?4|,?886?7l;%1f=?05=2w/:o65169~yx=n:1n1<7*=ab81vB=b182S7c838p(k6:706?x"1j10:;6sr}:m2a4<72-8jo7?j1:9~f10629086=4?{%0bg?4f12B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4c9U514=:r.=>84m;%410?d50z&1ef<5j:1C>l>4o0g2>5<#:hi1=h?4;|`726<72:0;6=u+2`a930=O:h:0e<:n:18'6de=9=30Z?oj:0yO6g6=9r\o;742f3_;?>740<,?8?6<84}|~?l73j3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?9;%410?713twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560?0;6?4?:1y'6de=jl1C>l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{=h7>56;294~"5ij0:8:5G2`28 40f2830e<:6:18'6de=9=30Z?oj:0yO6g6=9r\:h=4={%3f7}#9l21h=5Y15096~"1:<0i7)8=4;`8yx{z3`n:6=4+2`a9`4=Q:ho1>vB=b182S7c838p(a7<,:o26;<:;|Tg2?4|,?886<:6;%1f=?05=2w/:o65129~R7?c2:q]h84={%417?b63-9n578=5:U`3<5s-<9?7?;9:&0a<<1:=1v(;l7:018yS4>l39pZi;52z&566>4k0:&0a<<1:<1v(;l7:b9~R4c02;q]h84={%417?b63-9n578=5:'2g>=911vqp5f28a94?"5ij095n5Y2`g96~J5j90:w[?k0;0x 4c?2;3h7[?;2;0x 34228=0(;<;:058yx{K:hl1=vX=a481Sb22;q/:?=528a8 6c>2?8>7p*9b9823>{zu2c94i4?:%0bg?4?l2\9mh4={M0a4?7|^8n;6?u+1d:96=b<^8>96?u+637952=#>;>1=:5r}|N1ec<6s_8j97{e5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;`8 3432k1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj=<36=4>:183!4fk38i?6F=a19l5`7=83.9mn4>e098yg21i3:197>50z&1ef<0=2B9m=5f15c94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<84$707>4027E{zut1b=8850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+637953=#>;>1=;5r}|8m406290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6<84$707>4050z&1ef<0=2B9m=5f15c94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<84$707>4027E{zut1b=8850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+637953=#>;>1=;5r}|8m406290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6<84$707>4050z&1ef<6m91C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422k1/:?:5b:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{56;294~"5ij0:8:5G2`28 40f28k0e<:6:18'6de=9=30Z?oj:0yO6g6=9r\:h=4={%3f7}#9l21h=5Y15096~"1:<0i7)8=4;`8yx{z3`n:6=4+2`a9`4=Q:ho1>vB=b182S7c838p(a7<,:o26;<:;|Tg2?4|,?886<:6;%1f=?05=2w/:o65129~R7?c2:q]h84={%417?b63-9n578=5:U`3<5s-<9?7?;9:&0a<<1:=1v(;l7:018yS4>l39pZi;52z&566>4k0:&0a<<1:<1v(;l7:b9~R4c02;q]h84={%417?b63-9n578=5:'2g>=911vqp5f28a94?"5ij095n5Y2`g96~J5j90:w[?k0;0x 4c?2;3h7[?;2;0x 34228=0(;<;:058yx{K:hl1=vX=a481Sb22;q/:?=528a8 6c>2?8>7p*9b9823>{zu2c94i4?:%0bg?4?l2\9mh4={M0a4?7|^8n;6?u+1d:96=b<^8>96?u+637952=#>;>1=:5r}|N1ec<6s_8j97{e>h>1<7=50;2x 7gd2;k27E4c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0qo8n5;295?6=8r.9mn4=b29K6d65}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6o5+6369f>{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th=m54?:283>5}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6o5+6369f>{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th=m44?:583>5}#:hi1;85G2`28m42f290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;35?!05<3;=7psr}:k20g<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5179'272=9?1vqps4i075>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997?9;%410?713twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228:0(;<;:028yx{z3`;?n7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;35?!05<3;=7psr}:k213<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5179'272=9?1vqps4i042>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?91:T207<5s-<997?9;%410?713twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228:0(;<;:028yx{z3`;?n7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;35?!05<3;=7psr}:k213<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5179'272=9?1vqps4i042>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?91:T207<5s-<997?9;%410?713twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228<0(;<;:048yx{z3`;?n7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;35?!05<3;=7psr}:k213<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5179'272=9?1vqps4i042>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?91:T207<5s-<997?9;%410?713twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228<0(;<;:048yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9<<1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74313_;?>740<,?8?6<84}|~?l7193:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*924822>"1:=0::6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi:l850;:94?6|,;kh6o6P5il0:wA4`9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>6o5+6369f>{zut1b=;?50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9537<^8>96?u+6379f>"1:=0i7psr}:k221<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::95Y15096~"1:<0i7)8=4;`8yx{z3`;=97>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;351>P6<;09w)8=5;`8 3432k1vqps4i045>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<=7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>32e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`1g1<72;0;6=u+2`a9f`=O:h:0e<:6:18'6de=9=307b?j1;29 7gd28o:7[50z&1ef<5i01C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422k1/:?:5b:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5159'272=9=1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj;ii6=49:183!4fk3;?;6F=a19'53g=901b=9750;&1ef<6<01]>lk51zN1f5<6s_;o<742>3_;?>7g=#>;>1n6sr}|9j`5<72-8jo7j?;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{P5il09wA84k;%410?blh54zT1=a<4s_n>6?u+6319`4=#;l31:?;4}Wf5>7}#>;91=974$2g:>3423t.=n54>3:U6a7<,:o26;<:;|Tg2?4|,?886<:6;%1f=?05<2w/:o65129~R7?c2:q]h84={%417?b63-9n578=5:U`3<5s-<9?7j?;%1f=?05=2w/:o65c:U5`1=:r\o97a7<,:o26;<:;|&5f=<602wvq6g=9b83>!4fk382o6X=ad81I4e83;pZ=:0i0Z<:=:3y'273=9>1/:?:5169~yxJ5io0:w[>4=9b9'7`?=>;?0q)8m8;34?x{z3`83h7>5$3c`>7>c3_8ji74}Q9m:1>v*>e981v*924823>"1:=0:;6sr}M0bb?7|^;k>6?uYd481!05;383h6*lm51d38?xd5k80;6>4?:1y'6de=:h30D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{i6=4+2`a951?<^;kn6=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e:j81<7?50;2x 7gd2;h87E4`83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=;5+636953=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>740<,?8?6<84}|~?l72>3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997?9;%410?713twvq6g>6083>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1=;5+636953=zutw0e<8;:18'6de=9=30Z?oj:0yO6g6=9r\o;74033_;?>740<,?8?6<84}|~?l71=3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?95:T207<5s-<997?9;%410?713twvq6g>6783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>?1]=9<52z&560<6>2.=>94>6:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&561=9=k0Z<:=:3y'273=981/:?:5109~yx{i6=4+2`a951?<@;ki7[;|~y>o6=?0;6)P5il0:wA579U514=:r.=>84>1:&561<692wvqp5f17394?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?;0Z<:=:3y'273=981/:?:5109~yx{4381!05=3;:7)8=4;32?x{zu2c::;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98223=Q9=81>v*924825>"1:=0:=6sr}|9j531=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;94V061>7}#>;?1=<5+636954=zutw0e<87:18'6de=9=30Z?oj:0yO6g6=9r\o;740?3_;?>747<,?8?6{M0a4?7|^m=1>v*>e9822<=Q9=81>v*924825>"1:=0:=6sr}|9j51e=83.9mn4>489K6dd<^;kn64381!05=3;<7)8=4;34?x{zu2c:8h4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820`=Q9=81>v*924823>"1:=0:;6sr}|9j51`=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9h4V061>7}#>;?1=:5+636952=zutw0e<;?:18'6de=9=30Z?oj:0yO6g6=9r\o;74373_;?>741<,?8?6<94}|~?l7293:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?:1:T207<5s-<997l4$707>g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f7e1290:=7>50z&1ef<0=2B9m=5f15c94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>64727E{zut1b=8850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+637954=#>;>1=<5r}|8m406290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>64727[;|~y>o6><0;6)P5il0:wA649U514=:r.=>84>1:&561<692wvqp5f17494?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?<0Z<:=:3y'273=981/:?:5109~yx{4381!05=3;:7)8=4;32?x{zu2c::44?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9?30Z<:=:3y'273=981/:?:5109~yx{h6=4+2`a951?<@;ki7[o6P5il0:wA4e9U514=:r.=>84>7:&561<6?2wvqp5f15g94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=o0Z<:=:3y'273=9>1/:?:5169~yx{m6=4+2`a951?<^;kn6<6X>4381!05=3;<7)8=4;34?x{zu2c:9<4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98214=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{51083>5}#:hi1;85G2`28m42f290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;32?!05<3;:7psr}:k20g<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5109'272=981vqps4i075>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228;0(;<;:038yx{z3`;==7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;355>P6<;09w)8=5;32?!05<3;:7psr}:k221<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::95Y15096~"1:<0:=6*925825>{zut1b=;;50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9533<^8>96?u+637954=#>;>1=<5r}|8m401290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<89;W376?4|,?8>64727[;|~y>o6>10;6)P5il0:wA699U514=:r.=>84>1:&561<692wvqp5f17;94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<86;W376?4|,?8>64727E{zut1b=9j50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951b<^8>96?u+637952=#>;>1=:5r}|8m42b290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:j;W376?4|,?8>6<94$707>4127[o6=90;6)P5il0:wA519U514=:r.=>84>7:&561<6?2wvqp5f14394?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9<;0Z<:=:3y'273=j2.=>94m;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d47=83:p(?ol:678L7g73`;?m7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9=h1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742e3_;?>747<,?8?63:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997?>;%410?763twvq6g>6083>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>81]=9<52z&560<692.=>94>1:~yx=n9?>1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651768R4252;q/:?;5109'272=981vqps4i046>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<>7[?;2;0x 34228;0(;<;:038yx{z3`;=:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;352>P6<;09w)8=5;32?!05<3;:7psr}:k222<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:::5Y15096~"1:<0:=6*925825>{zut1b=;650;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:953><^8>96?u+637954=#>;>1=<5r}|8m40>290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;35=>P6<;09w)8=5;32?!05<3;:7psr}:k20f<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515a8R4252;q/:?;5169'272=9>1vqps4i06g>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>o7[?;2;0x 34228=0(;<;:058yx{z3`;?i7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37a>P6<;09w)8=5;34?!05<3;<7psr}:k20c<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8k5Y15096~"1:<0:;6*925823>{zut1b=8>50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9506<^8>96?u+637952=#>;>1=:5r}|8m436290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<;>;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a6f?=83?1<7>t$3c`>4c73A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0e<;9:18'6de=9=30Z?oj:0yO6g6=9r\o;74313_;?>7g=#>;>1n6sr}|9j537=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1n6*9258a?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`1ga<72;0;6=u+2`a9f`=O:h:0e<:6:18'6de=9=307b?j1;29 7gd28o:7[50z&1ef<5i01C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422k1/:?:5b:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5159'272=9=1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj;n?6=49:183!4fk3;?;6F=a19'53g=901b=9750;&1ef<6<01]>lk51zN1f5<6s_;o<742>3_;?>7g=#>;>1n6sr}|9j`5<72-8jo7j?;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{P5il09wA84k;%410?blh54zT1=a<4s_n>6?u+6319`4=#;l31:?;4}Wf5>7}#>;91=974$2g:>3423t.=n54>3:U6a7<,:o26;<:;|Tg2?4|,?886<:6;%1f=?05<2w/:o65129~R7?c2:q]h84={%417?b63-9n578=5:U`3<5s-<9?7j?;%1f=?05=2w/:o65c:U5`1=:r\o97a7<,:o26;<:;|&5f=<602wvq6g=9b83>!4fk382o6X=ad81I4e83;pZ=:0i0Z<:=:3y'273=9>1/:?:5169~yxJ5io0:w[>4=9b9'7`?=>;?0q)8m8;34?x{z3`83h7>5$3c`>7>c3_8ji74}Q9m:1>v*>e981v*924823>"1:=0:;6sr}M0bb?7|^;k>6?uYd481!05;383h6*lm51d38?xd5kl0;6>4?:1y'6de=:h30D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{i6=4+2`a951?<^;kn6=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e:jl1<7?50;2x 7gd2;h87E4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5179'272=9?1vqps4i075>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228<0(;<;:048yx{z3`;==7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6>81]=9<52z&560<6>2.=>94>6:~yx=n9?>1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651768R4252;q/:?;5179'272=9?1vqps4i046>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<>7[?;2;0x 34228<0(;<;:048yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c0g6?6=?3:1N5i91b=9o50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228<0(;<;:048yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5179'272=9?1vqps4i042>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?91:T207<5s-<997?9;%410?713twvq6g>6583>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>=1]=9<52z&560<6>2.=>94>6:~yx=n9??1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651778R4252;q/:?;5179'272=9?1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj;n;6=4<:183!4fk3;n<6F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1n6*9258a?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{;h7>52;294~"5ij0ii6F=a19j51?=83.9mn4>4898k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn9?9:185>5<7s-8jo7?;7:J1e5=#9?k1=45f15;94?"5ij0:845Y2`g95~J5j90:w[?k0;0x 4c?28>27[?;2;0x 3422k1/:?:5b:~yx=nl90;6)g=zutw0ei?50;&1efv*9248g?!05<3n0qpsC2`d90~P51m08w[j::3y'275=l81/?h756378ySb12;q/:?=515;8 6c>2?8>7p*9b9827>{Q:0n1?vXk5;0x 3442m;0(>k6:706?xPc>38p(;<<:06:?!5b13<986s+6c:956=z^;3o6>uYd481!05;3n:7)=j9;411>{Ql?09w)8=3;f3?!5b13<996s+6c:9g>{Q9l=1>vXk5;0x 3442m;0(>k6:706?x"1j10:46sr}:k1=f<72-8jo7<6c:T1e`<5sE8i<7?tV0f3>7}#9l21>4m4V061>7}#>;?1=:5+636952=zutF9mk4>{W0b1?4|^m?1>v*92281=f=#;l31:?;4}%4a52z&2a=<50m1]=9<52z&560<6?2.=>94>7:~yI4fn3;pZ?o::3yU`0<5s-<9?7<7d:&0a<<1:<1v(;l7:058yx{5}#:hi1>l74H3c3?l73i3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820d=Q9=81>v*9248a?!05<3h0qpsr;h37f?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{5<6290;w)N5i91d=h?50;&1ef<6m810qo:>1;297?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5179'272=9?1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228<0(;<;:048yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c626?6=;3:1N5i91b=9o50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228<0(;<;:048yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>0:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5179'272=9?1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj=;?6=4;:183!4fk3=>7E{zut1b=9l50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228<0(;<;:048yx{z3`;>:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;35?!05<3;=7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th?==4?:483>5}#:hi1=h>4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9j500=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1n6*9258a?x{zu2c::<4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{9=7>52;294~"5ij0ii6F=a19j51?=83.9mn4>4898k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn95<7s-8jo74c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>4:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5619:k20<<72-8jo7?;9:T1e`<6sE8i<7?tV0f3>7}#9l21=974V061>7}#>;?1n6*9258a?x{zu2co<7>5$3c`>a6<^;kn6!4fk3n:7[52z&2a=v*922820<=#;l31:?;4}%4a7}#>;91h<5+3d;92734j53zTg1?4|,?886i?4$2g:>3423t\o:7a6<,:o26;<:;|&5f=7}#>;91h<5+3d;9273k3:1(?ol:3;`?S4fm38p@?l?:0yU5a6=:r.:i54=9b9U514=:r.=>84>7:&561<6?2wvqAk2.8i449249~ 3d?28=0qps4i3:g>5<#:hi1>5j4V3cf>7}K:k:1=vX>d181!7b0383h6X>4381!05=3;<7)8=4;34?x{zD;km6j6=4+2`a951?<^;kn6=9=h0Z<:=:3y'273=j2.=>94m;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d4<729q/>lm52c18L7g73f;n=7>5$3c`>4c632wi8?;50;694?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?9;%410?713twvq6g>4c83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1==5+636955=zutw0e<;9:18'6de=9=30Z?oj:0yO6g6=9r\o;74313_;?>740<,?8?6<84}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo:=6;291?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5179'272=9?1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228<0(;<;:048yx{z3`;>:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;35?!05<3;=7psr}:k224<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::<5Y15096~"1:<0:<6*925824>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a071=83?1<7>t$3c`>23<@;k;7d?;a;29 7gd28>27[o6P5il0:wA4c9U514=:r.=>84>6:&561<6>2wvqp5f14494?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9<<0Z<:=:3y'273=9?1/:?:5179~yx{i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d0<729q/>lm5749K6d6j6=4+2`a951?<^;kn6P5il0:wA4c9U514=:r.=>84>6:&561<6>2wvqp5f14494?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>6<84$707>4027[i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d0<729q/>lm51d28L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5b:&5616083>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>81]=9<52z&560g=zutw0qo887;296?6=8r.9mn4me:J1e5=n9=31<7*=ab820<=5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a2=4=83<1<7>t$3c`>4203A8j<6*>6`82=>o6<00;6)P5il0:wA7g=#>;>1n6sr}|9j`4<72-8jo7j>;W0ba?4|D;h;64381!05=3n0(;<;:e9~yxJ5io0?w[<6d;1xRa3=:r.=>>4k1:&0a<<1:<1vZi852z&566<6<01/?h756378y!0e03;87pX=9e80Sb22;q/:?=5d09'7`?=>;?0q[j9:3y'275=9=30(>k6:707?x"1j10:?6sY28f97~Pc=38p(;<<:e38 6c>2?8>7pXk6;0x 3442m:0(>k6:706?x"1j10h7pX>e681Sb22;q/:?=5d09'7`?=>;?0q)8m8;3;?x{z3`82o7>5$3c`>7?d3_8ji74}Q9m:1>v*>e981=f=Q9=81>v*924823>"1:=0:;6sr}M0bb?7|^;k>6?uYd481!05;382o6*c290/>lm529f8R7gb2;qG>o>51zT2`5<5s-;n47<7d:T207<5s-<997?8;%410?703twv@?oi:0yU6d3=:r\o977>c3-9n578=5:'2g>=9>1vqp5`1d394?"5ij0:i<54}c4442f3_;?>7g=#>;>1n6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1n6*9258a?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`53<<7280;6=u+2`a96g5<@;k;7b?j1;29 7gd28o:76sm66c94?5=83:p(?ol:678L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;35?!05<3;=7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0::6*925822>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a22e=83>1<7>t$3c`>23<@;k;7d?;a;29 7gd28>27[;|~y>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637954=#>;>1=<5r}|8m431290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;34?!05<3;<7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th=;i4?:583>5}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>64727E{zut1b=8850;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228=0(;<;:058yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c44a?6==3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637954=#>;>1=<5r}|8m42e290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;32?!05<3;:7psr}:k213<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9;5Y15096~"1:<0:=6*925825>{zut1b=;?50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28<:7[?;2;0x 34228=0(;<;:058yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c44b?6=>3:1N5i91b=9o50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228=0(;<;:058yx{z3`;?n7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;34?!05<3;<7psr}:k213<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9;5Y15096~"1:<0:;6*925823>{zut1b=;?50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9537<^8>96?u+637952=#>;>1=:5r}|8m403290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8;;W376?4|,?8>6<94$707>4150z&1ef<6m91C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422k1/:?:5b:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5b:&5615783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f35a29096=4?{%0bg?db3A8j<6g>4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e>=k1<7850;2x 7gd28><7E{M0a4?7|^8n;6?u+1d:951?<^8>96?u+6379f>"1:=0i7psr}:kg4?6=,;kh6i>4V3cf>4}K:k:1=vX>d181!7b03n;7[?;2;0x 3422k1/:?:5b:~yx=nl80;6)a=zutF9mk4;{W0:`?5|^m?1>v*9228g5>"4m00=>85rVe496~"1::0:845+3d;92736?u+6319`4=#;l31:?;4}Wf5>7}#>;91=974$2g:>3433t.=n54>3:U6a7<,:o26;<:;|Tg2?4|,?886i>4$2g:>3423t.=n54l;|T2a2<5s_n>6?u+6319`4=#;l31:?;4}%4a52z&2a=<51j1]=9<52z&560<6?2.=>94>7:~yI4fn3;pZ?o::3yU`0<5s-<9?7<6c:&0a<<1:<1v(;l7:058yx{P6<;09w)8=5;34?!05<3;<7psrL3ce>4}Q:h?1>vXk5;0x 3442;2o7)=j9;411>{#>k21=:5r}|9l5`7=83.9mn4>e098yg0383:1?7>50z&1ef<5i01C>l>4i06b>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi:9?50;394?6|,;kh6?l<;I0b4>i6m80;6)=zj?>86=4<:183!4fk3=>7E5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;`8 3432k1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj?>?6=4;:183!4fk3=>7E5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?9;%410?713twvq6g>5783>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1=;5+636953=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f322290>6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>0:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5179'272=9?1vqps4i075>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997?9;%410?713twvq6g>6083>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1=;5+636953=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f321290>6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>0:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5179'272=9?1vqps4i075>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997?9;%410?713twvq6g>6083>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1=;5+636953=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f320290>6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9=h1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742e3_;?>740<,?8?6<84}|~?l72>3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924822>"1:=0::6sr}|9j537=83.9mn4>489K6dd<^;kn6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{55;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=;5+636953=zutw0e<:m:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;=7)8=4;35?x{zu2c:9;4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9<<0Z<:=:3y'273=9?1/:?:5179~yx{i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d2<729q/>lm51d28L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5b:&5616083>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>81]=9<52z&560g=zutw0e<8::18'6de=9=30Z?oj:0yO6g6=9r\o;74023_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi:8h50;094?6|,;kh6ok4H3c3?l7313:1(?ol:06:?>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d3<729q/>lm51558L7g73-;=m7?6;h37=?6=,;kh6<:6;W0ba?7|D;h;67a=#>;>1h6sr}M0bb?2|^;3o6>uYd481!05;3n:7)=j9;411>{Ql?09w)8=3;37=>"4m00=>85r$7`;>45v*922820<=#;l31:?:4}%4a7}#>;91h<5+3d;9273lm528a8R7gb2;qG>o>51zT2`5<5s-;n47<6c:T207<5s-<997?8;%410?703twv@?oi:0yU6d3=:r\o977?d3-9n578=5:'2g>=9>1vqp5f29f94?"5ij094i5Y2`g96~J5j90:w[?k0;0x 4c?2;2o7[?;2;0x 34228=0(;<;:058yx{K:hl1=vX=a481Sb22;q/:?=529f8 6c>2?8>7p*9b9823>{zu2e:i<4?:%0bg?7b921vn;8?:180>5<7s-8jo74c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0qo891;295?6=8r.9mn4=b29K6d65}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<84$707>4027[i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d6c83>7<729q/>lm5bd9K6d626=4+2`a951?<3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c342?6=>3:1290/>lm515;8R7gb28qG>o>51zT2`5<5s-;n47?;9:T207<5s-<997l4$707>g=zutw0ei>50;&1ef{M0a4?7|^8n;6?u+1d:9`5=Q9=81>v*9248a?!05<3h0qpsr;hf2>5<#:hi1h<5Y2`g96~J5j90:w[?k0;0x 4c?2m;0Z<:=:3y'273=l2.=>94k;|~H7ga2=q]>4j53zTg1?4|,?886i?4$2g:>3423t\o:742>3-9n578=5:'2g>=9:1vZ?7k:2yU`0<5s-<9?7j>;%1f=?05=2w]h;4={%417?7312.8i449259~ 3d?2890q[<6d;1xRa3=:r.=>>4k1:&0a<<1:<1vZi852z&566;%1f=?05=2w/:o65199~yx=n:0i1<7*=ab81=f=Q:ho1>vB=b182S7c838p(k6:706?x"1j10:;6sr}:k17}#9l21>5j4V061>7}#>;?1=:5+636952=zutF9mk4>{W0b1?4|^m?1>v*92281{M0a4?7|^m=1>v*>e9820d=Q9=81>v*9248a?!05<3h0qpsr;h37f?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{5<6290;w)N5i91d=h?50;&1ef<6m810qo?9f;297?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5179'272=9?1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228<0(;<;:048yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c344?6=;3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637953=#>;>1=;5r}|8m42e290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<84$707>4050z&1ef<0=2B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=9?1/:?:5179~yx{i6=4+2`a951?<^;kn6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{7>53;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1n6*9258a?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{53;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=;5+636953=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>740<,?8?6<84}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo?84;290?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742f3_;?>740<,?8?6<84}|~?l73j3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?9;%410?713twvq6g>5783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560<6>2.=>94>6:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&561{M0a4?7|^m=1>v*>e9820d=Q9=81>v*9248a?!05<3h0qpsr;h37f?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{=9?;0Z<:=:3y'273=j2.=>94m;|~y>o6>=0;6)P5il0:wA659U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn>o?:181>5<7s-8jo7lj;I0b4>o6<00;6)=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>32e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`0ed<72:0;6=u+2`a96d?<@;k;7d?;a;29 7gd28>27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<:4$707>4250z&1ef<6<>1C>l>4$04b>4?26=4+2`a951?<^;kn6P6<;09w)8=5;`8 3432k1vqps4ie294?"5ij0o<6X=ad82I4e83;pZ=l91]=9<52z&560lm5d09U6dc=:rF9n=4>{W3g4?4|,8o36i?4V061>7}#>;?1h6*9258g?x{zD;km69uY28f97~Pc=38p(;<<:e38 6c>2?8>7pXk6;0x 34428>27)=j9;411>{#>k21=>5rV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3;?56*v*9228g5>"4m00=>85rVe496~"1::0o<6*7}Ql<09w)8=3;f2?!5b13<996s+6c:95==zut1b>4m50;&1ef<51j1]>lk52zN1f5<6s_;o<77?d3_;?>741<,?8?6<94}|O6d`=9r\9m84={Wf6>7}#>;91>4m4$2g:>3423t.=n54>7:~y>o50m0;6)P5il09wA1vqpB=ag82S4f=38pZi;52z&566<50m1/?h756378y!0e03;<7psr;n3f5?6=,;kh6;:a7d7=8391<7>t$3c`>7g>3A8j<6g>4`83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1n6*9258a?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{7>51;294~"5ij09n>5G2`28k4c6290/>lm51d38?xd4i:0;694?:1y'6de=?<1C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228:0(;<;:028yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9<<1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74313_;?>740<,?8?6<84}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo=n5;297?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5109'272=981vqps4i06a>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?8;%410?703twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&5604?:1y'6de=?<1C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228=0(;<;:058yx{z3`;?n7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;32?!05<3;:7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th8m:4?:283>5}#:hi1=h>4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi89=50;094?6|,;kh6ok4H3c3?l7313:1(?ol:06:?>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d3<729q/>lm51558L7g73-;=m7?6;h37=?6=,;kh6<:6;W0ba?7|D;h;67a=#>;>1h6sr}M0bb?2|^;3o6>uYd481!05;3n:7)=j9;411>{Ql?09w)8=3;37=>"4m00=>85r$7`;>45v*922820<=#;l31:?:4}%4a7}#>;91h<5+3d;9273lm528a8R7gb2;qG>o>51zT2`5<5s-;n47<6c:T207<5s-<997?8;%410?703twv@?oi:0yU6d3=:r\o977?d3-9n578=5:'2g>=9>1vqp5f29f94?"5ij094i5Y2`g96~J5j90:w[?k0;0x 4c?2;2o7[?;2;0x 34228=0(;<;:058yx{K:hl1=vX=a481Sb22;q/:?=529f8 6c>2?8>7p*9b9823>{zu2e:i<4?:%0bg?7b921vn9:;:180>5<7s-8jo74c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0qo:;5;295?6=8r.9mn4=b29K6d65}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<84$707>4027[i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d7<729q/>lm5bd9K6d626=4+2`a951?<3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c664?6=>3:1290/>lm515;8R7gb28qG>o>51zT2`5<5s-;n47?;9:T207<5s-<997l4$707>g=zutw0ei>50;&1ef{M0a4?7|^8n;6?u+1d:9`5=Q9=81>v*9248a?!05<3h0qpsr;hf2>5<#:hi1h<5Y2`g96~J5j90:w[?k0;0x 4c?2m;0Z<:=:3y'273=l2.=>94k;|~H7ga2=q]>4j53zTg1?4|,?886i?4$2g:>3423t\o:742>3-9n578=5:'2g>=9:1vZ?7k:2yU`0<5s-<9?7j>;%1f=?05=2w]h;4={%417?7312.8i449259~ 3d?2890q[<6d;1xRa3=:r.=>>4k1:&0a<<1:<1vZi852z&566;%1f=?05=2w/:o65199~yx=n:0i1<7*=ab81=f=Q:ho1>vB=b182S7c838p(k6:706?x"1j10:;6sr}:k17}#9l21>5j4V061>7}#>;?1=:5+636952=zutF9mk4>{W0b1?4|^m?1>v*92281{M0a4?7|^m=1>v*>e9820d=Q9=81>v*9248a?!05<3h0qpsr;h37f?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{5<6290;w)N5i91d=h?50;&1ef<6m810qo:;d;290?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5179'272=9?1vqps4i06a>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?9;%410?713twvq6g>5783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560<6>2.=>94>6:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;35?!05<3;=7psr}:k20g<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5179'272=9?1vqps4i075>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228<0(;<;:048yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c67g?6=;3:142f3_;?>7g=#>;>1n6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1n6*9258a?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`7<`<72;0;6=u+2`a9f`=O:h:0e<:6:18'6de=9=307b?j1;29 7gd28o:7[;3:1:7>50z&1ef<6<>1C>l>4$04b>4?26=4+2`a951?<^;kn6P6<;09w)8=5;`8 3432k1vqps4ie294?"5ij0o<6X=ad82I4e83;pZ=l91]=9<52z&560lm5d09U6dc=:rF9n=4>{W3g4?4|,8o36i?4V061>7}#>;?1h6*9258g?x{zD;km69uY28f97~Pc=38p(;<<:e38 6c>2?8>7pXk6;0x 34428>27)=j9;411>{#>k21=>5rV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3;?56*v*9228g5>"4m00=>85rVe496~"1::0o<6*7}Ql<09w)8=3;f2?!5b13<996s+6c:95==zut1b>4m50;&1ef<51j1]>lk52zN1f5<6s_;o<77?d3_;?>741<,?8?6<94}|O6d`=9r\9m84={Wf6>7}#>;91>4m4$2g:>3423t.=n54>7:~y>o50m0;6)P5il09wA1vqpB=ag82S4f=38pZi;52z&566<50m1/?h756378y!0e03;<7psr;n3f5?6=,;kh6;:a0=`=8391<7>t$3c`>7g>3A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f1?7290:6=4?{%0bg?4e;2B9m=5`1d394?"5ij0:i<54}c6:5?6=;3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637953=#>;>1=;5r}|8m42e290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<84$707>403:1>7>50z&1ef5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj=i:6=49:183!4fk3;?;6F=a19'53g=901b=9750;&1ef<6<01]>lk51zN1f5<6s_;o<742>3_;?>7g=#>;>1n6sr}|9j`5<72-8jo7j?;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{P5il09wA84k;%410?blh54zT1=a<4s_n>6?u+6319`4=#;l31:?;4}Wf5>7}#>;91=974$2g:>3423t.=n54>3:U6a7<,:o26;<:;|Tg2?4|,?886<:6;%1f=?05<2w/:o65129~R7?c2:q]h84={%417?b63-9n578=5:U`3<5s-<9?7j?;%1f=?05=2w/:o65c:U5`1=:r\o97a7<,:o26;<:;|&5f=<602wvq6g=9b83>!4fk382o6X=ad81I4e83;pZ=:0i0Z<:=:3y'273=9>1/:?:5169~yxJ5io0:w[>4=9b9'7`?=>;?0q)8m8;34?x{z3`83h7>5$3c`>7>c3_8ji74}Q9m:1>v*>e981v*924823>"1:=0:;6sr}M0bb?7|^;k>6?uYd481!05;383h6*lm51d38?xd3j>0;6>4?:1y'6de=:h30D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{i6=4+2`a951?<^;kn6=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f1de290:=7>50z&1ef<0=2B9m=5f15c94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<>4$707>4627E{zut1b=8850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+637955=#>;>1==5r}|8m406290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6<>4$707>4627[o6><0;6)P5il0:wA649U514=:r.=>84>0:&561<682wvqp5f17494?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?<0Z<:=:3y'273=991/:?:5119~yx{4381!05=3;;7)8=4;33?x{zu2c::44?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9?30Z<:=:3y'273=991/:?:5119~yx{h6=4+2`a951?<@;ki7[o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951b<^8>96?u+637953=#>;>1=;5r}|8m42b290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:j;W376?4|,?8>6<84$707>4027[o6=90;6)P5il0:wA519U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn9ll:1825?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742f3_;?>746<,?8?6<>4}|~?l73j3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924824>"1:=0:<6sr}|9j500=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1==5+636955=zutw0e<8>:18'6de=9=30Z?oj:0yO6g6=9r\o;74063_;?>746<,?8?6<>4}|~?l71<3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?94:T207<5s-<997??;%410?773twvq6g>6483>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6><1]=9<52z&560<682.=>94>0:~yx=n9?<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651748R4252;q/:?;5119'272=991vqps4i044>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<<7[?;2;0x 34228:0(;<;:028yx{z3`;=47>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;35<>P6<;09w)8=5;33?!05<3;;7psr}:k22<<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6517;8R4252;q/:?;5119'272=991vqps4i06`>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;c:T207<5s-<997??;%410?773twvq6g>4e83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9j4V061>7}#>;?1=;5+636953=zutw0e<:j:18'6de=9=30Z?oj:0yO6g6=9r\o;742b3_;?>740<,?8?6<84}|~?l73n3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;f:T207<5s-<997?9;%410?713twvq6g>5183>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=91]=9<52z&560g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f1dc290:=7>50z&1ef<0=2B9m=5f15c94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<>4$707>4627E{zut1b=8850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+637955=#>;>1==5r}|8m406290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6<>4$707>4627[o6><0;6)P5il0:wA649U514=:r.=>84>0:&561<682wvqp5f17494?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?<0Z<:=:3y'273=991/:?:5119~yx{4381!05=3;;7)8=4;33?x{zu2c::44?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9?30Z<:=:3y'273=991/:?:5119~yx{h6=4+2`a951?<@;ki7[o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951b<^8>96?u+637953=#>;>1=;5r}|8m42b290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:j;W376?4|,?8>6<84$707>4027[o6=90;6)P5il0:wA519U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn9lj:1825?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742f3_;?>746<,?8?6<>4}|~?l73j3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924824>"1:=0:<6sr}|9j500=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1==5+636955=zutw0e<8>:18'6de=9=30Z?oj:0yO6g6=9r\o;74063_;?>746<,?8?6<>4}|~?l71<3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?94:T207<5s-<997??;%410?773twvq6g>6483>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6><1]=9<52z&560<682.=>94>0:~yx=n9?<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651748R4252;q/:?;5119'272=991vqps4i044>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<<7[?;2;0x 34228:0(;<;:028yx{z3`;=47>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;35<>P6<;09w)8=5;33?!05<3;;7psr}:k22<<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6517;8R4252;q/:?;5119'272=991vqps4i06`>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;c:T207<5s-<997??;%410?773twvq6g>4e83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9j4V061>7}#>;?1=;5+636953=zutw0e<:j:18'6de=9=30Z?oj:0yO6g6=9r\o;742b3_;?>740<,?8?6<84}|~?l73n3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;f:T207<5s-<997?9;%410?713twvq6g>5183>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=91]=9<52z&560g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f1da290:=7>50z&1ef<0=2B9m=5f15c94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<>4$707>4627E{zut1b=8850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+637955=#>;>1==5r}|8m406290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6<>4$707>4627[o6><0;6)P5il0:wA649U514=:r.=>84>0:&561<682wvqp5f17494?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?<0Z<:=:3y'273=991/:?:5119~yx{4381!05=3;;7)8=4;33?x{zu2c::44?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9?30Z<:=:3y'273=991/:?:5119~yx{h6=4+2`a951?<@;ki7[o6P5il0:wA4e9U514=:r.=>84>6:&561<6>2wvqp5f15g94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=o0Z<:=:3y'273=9?1/:?:5179~yx{m6=4+2`a951?<^;kn6<6X>4381!05=3h0(;<;:c9~yx{=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;`8 3432k1vqps4i075>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 3422k1/:?:5b:~yx=n9?;1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651738R4252;q/:?;5b:&5616583>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>=1]=9<52z&560g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f34d29096=4?{%0bg?db3A8j<6g>4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e>:;1<7850;2x 7gd28><7E{M0a4?7|^8n;6?u+1d:951?<^8>96?u+6379f>"1:=0i7psr}:kg4?6=,;kh6i>4V3cf>4}K:k:1=vX>d181!7b03n;7[?;2;0x 3422k1/:?:5b:~yx=nl80;6)a=zutF9mk4;{W0:`?5|^m?1>v*9228g5>"4m00=>85rVe496~"1::0:845+3d;92736?u+6319`4=#;l31:?;4}Wf5>7}#>;91=974$2g:>3433t.=n54>3:U6a7<,:o26;<:;|Tg2?4|,?886i>4$2g:>3423t.=n54l;|T2a2<5s_n>6?u+6319`4=#;l31:?;4}%4a52z&2a=<51j1]=9<52z&560<6?2.=>94>7:~yI4fn3;pZ?o::3yU`0<5s-<9?7<6c:&0a<<1:<1v(;l7:058yx{P6<;09w)8=5;34?!05<3;<7psrL3ce>4}Q:h?1>vXk5;0x 3442;2o7)=j9;411>{#>k21=:5r}|9l5`7=83.9mn4>e098yg05l3:1?7>50z&1ef<5i01C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422k1/:?:5b:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560;:a27`=8391<7>t$3c`>23<@;k;7d?;a;29 7gd28>27E{zut1b=9l50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228<0(;<;:048yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c4;7?6=:3:1N5i91b=9750;&1ef<6<010c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f3>f290=6=4?{%0bg?73?2B9m=5+17c95<=n9=31<7*=ab820<=Q:ho1=vB=b182S7c838p(!4fk3n;7[52z&2a=7}#9l21h<5Y15096~"1:<0o7)8=4;f8yx{K:hl18vX=9e80Sb22;q/:?=5d09'7`?=>;?0q[j9:3y'275=9=30(>k6:706?x"1j10:?6sY28f97~Pc=38p(;<<:e38 6c>2?8>7pXk6;0x 34428>27)=j9;410>{#>k21=>5rV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3n;7)=j9;411>{#>k21o6sY1d596~Pc=38p(;<<:e38 6c>2?8>7p*9b982<>{zu2c95n4?:%0bg?4>k2\9mh4={M0a4?7|^8n;6?u+1d:9696?u+637952=#>;>1=:5r}|N1ec<6s_8j9784>7:&561<6?2wvqA5<#:hi1=h?4;|`5<1<72:0;6=u+2`a96d?<@;k;7d?;a;29 7gd28>27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a2=3=83;1<7>t$3c`>7d43A8j<6a>e083>!4fk3;n=65rb7:4>5<4290;w){M0a4?7|^m=1>v*>e9820d=Q9=81>v*924822>"1:=0::6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=;5+636953=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f3>?29086=4?{%0bg?123A8j<6g>4`83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=;5+636953=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>740<,?8?6<84}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo876;297?6=8r.9mn4>e19K6d6j6=4+2`a951?<^;kn6=9=h0Z<:=:3y'273=j2.=>94m;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d7<729q/>lm5bd9K6d626=4+2`a951?<3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c4:5?6=:3:1N5i91b=9750;&1ef<6<010c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f3?1290=6=4?{%0bg?73?2B9m=5+17c95<=n9=31<7*=ab820<=Q:ho1=vB=b182S7c838p(!4fk3n;7[52z&2a=7}#9l21h<5Y15096~"1:<0o7)8=4;f8yx{K:hl18vX=9e80Sb22;q/:?=5d09'7`?=>;?0q[j9:3y'275=9=30(>k6:706?x"1j10:?6sY28f97~Pc=38p(;<<:e38 6c>2?8>7pXk6;0x 34428>27)=j9;410>{#>k21=>5rV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3n;7)=j9;411>{#>k21o6sY1d596~Pc=38p(;<<:e38 6c>2?8>7p*9b982<>{zu2c95n4?:%0bg?4>k2\9mh4={M0a4?7|^8n;6?u+1d:9696?u+637952=#>;>1=:5r}|N1ec<6s_8j9784>7:&561<6?2wvqA5<#:hi1=h?4;|`527[lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a2=b=83;1<7>t$3c`>7d43A8j<6a>e083>!4fk3;n=65rb7:e>5<0290;w)=9=k0Z<:=:3y'273=991/:?:5119~yx{i6=4+2`a951?<@;ki7[o6=?0;6)N5ik1]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+637955=#>;>1==5r}|8m406290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6<84$707>4027[o6><0;6)P5il0:wA649U514=:r.=>84>6:&561<6>2wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e>0:1<7950;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;I0bf>P5il0:wA4`9U514=:r.=>84>0:&561<682wvqp5f15`94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<>4$707>4627[2\:8?4={%411?713-<987?9;|~y>o6>80;6)P5il0:wA609U514=:r.=>84>6:&561<6>2wvqp5f17694?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?>0Z<:=:3y'273=9?1/:?:5179~yx{6=4+2`a951?<^;kn6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{53;294~"5ij0:i=5G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6o5+6369f>{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th=5?4?:583>5}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>64727E{zut1b=8850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+637952=#>;>1=:5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn;7<:187>5<7s-8jo79:;I0b4>o6P5il0:wA4`9U514=:r.=>84>7:&561<6?2wvqp5f15`94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=9>1/:?:5169~yx{;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{53;294~"5ij0:i=5G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6o5+6369f>{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th=5:4?:383>5}#:hi1nh5G2`28m42>290/>lm515;8?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo8n2;292?6=8r.9mn4>469K6d6<,85<#:hi1=974V3cf>4}K:k:1=vX>d181!7b03;?56X>4381!05=3h0(;<;:c9~yx{P5il0:wA84m;%410?d:18'6de=l81]>lk52zN1f5<6s_;o<7a7<^8>96?u+6379`>"1:=0o7psrL3ce>1}Q:0n1?vXk5;0x 3442m;0(>k6:706?xPc>38p(;<<:06:?!5b13<996s+6c:956=z^;3o6>uYd481!05;3n:7)=j9;411>{Ql?09w)8=3;37=>"4m00=>95r$7`;>45v*9228g4>"4m00=>85r$7`;>f=z^8o<6?uYd481!05;3n:7)=j9;411>{#>k21=55r}|9j6{W3g4?4|,8o36?7l;W376?4|,?8>6<94$707>41lh51zT1e0<5s_n>6?u+63196!4fk383h6X=ad81I4e83;pZ=:1n0Z<:=:3y'273=9>1/:?:5169~yxJ5io0:w[>4=8e9'7`?=>;?0q)8m8;34?x{z3f;n=7>5$3c`>4c632wi:4650;194?6|,;kh6?o6;I0b4>o6P5il0:wA4`9U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn;76:182>5<7s-8jo753;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1n6*9258a?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{54;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=;5+636953=zutw0e<:m:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;=7)8=4;35?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924822>"1:=0::6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi:4j50;794?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997??;%410?773twvq6g>4c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9<<1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74313_;?>740<,?8?6<84}|~?l7193:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?91:T207<5s-<997?9;%410?713twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228:0(;<;:028yx{z3`;?n7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;35?!05<3;=7psr}:k213<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5179'272=9?1vqps4i042>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<:7[?;2;0x 34228<0(;<;:048yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c4:b?6==3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637953=#>;>1=;5r}|8m42e290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;35?!05<3;=7psr}:k213<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9;5Y15096~"1:<0::6*925822>{zut1b=;?50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28<:7[?;2;0x 34228<0(;<;:048yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c4b4?6=>3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637955=#>;>1==5r}|8m42e290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;35?!05<3;=7psr}:k213<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9;5Y15096~"1:<0::6*925822>{zut1b=;?50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28<:7[?;2;0x 34228<0(;<;:048yx{z3`;=87>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;350>P6<;09w)8=5;35?!05<3;=7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th=5l4?:683>5}#:hi1=h>4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9j500=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1n6*9258a?x{zu2c::<4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*9248a?!05<3h0qpsr;h350?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{6=4+2`a951?<^;kn6=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e91i1<7<50;2x 7gd2ko0D?o?;h37=?6=,;kh6<:6;:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th:544?:283>5}#:hi1>l74H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>742<,?8?6<:4}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo?68;292?6=8r.9mn4>469K6d6<,85<#:hi1=974V3cf>4}K:k:1=vX>d181!7b03;?56X>4381!05=3h0(;<;:c9~yx{P5il0:wA84m;%410?d:18'6de=l81]>lk52zN1f5<6s_;o<7a7<^8>96?u+6379`>"1:=0o7psrL3ce>1}Q:0n1?vXk5;0x 3442m;0(>k6:706?xPc>38p(;<<:06:?!5b13<996s+6c:956=z^;3o6>uYd481!05;3n:7)=j9;411>{Ql?09w)8=3;37=>"4m00=>95r$7`;>45v*9228g4>"4m00=>85r$7`;>f=z^8o<6?uYd481!05;3n:7)=j9;411>{#>k21=55r}|9j6{W3g4?4|,8o36?7l;W376?4|,?8>6<94$707>41lh51zT1e0<5s_n>6?u+63196!4fk383h6X=ad81I4e83;pZ=:1n0Z<:=:3y'273=9>1/:?:5169~yxJ5io0:w[>4=8e9'7`?=>;?0q)8m8;34?x{z3f;n=7>5$3c`>4c632wi=5j50;194?6|,;kh6?o6;I0b4>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+6379f>"1:=0i7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c3;a?6=93:1:18'6de=9l;07pl>9183>6<729q/>lm5749K6d6j6=4+2`a951?<^;kn64381!05=3;=7)8=4;35?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`2=4<72:0;6=u+2`a930=O:h:0e<:n:18'6de=9=30Z?oj:0yO6g6=9r\o;742f3_;?>7g=#>;>1n6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1n6*9258a?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`2=7<72:0;6=u+2`a930=O:h:0e<:n:18'6de=9=30Z?oj:0yO6g6=9r\o;742f3_;?>7g=#>;>1n6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1n6*9258a?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`2=6<72=0;6=u+2`a930=O:h:0e<:n:18'6de=9=30Z?oj:0yO6g6=9r\o;742f3_;?>740<,?8?6<84}|~?l73j3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?9;%410?713twvq6g>5783>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1==5+636955=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f4?3290?6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9=h1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742e3_;?>740<,?8?6<84}|~?l72>3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997?9;%410?713twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06b>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?9;%410?713twvq6g>4c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5119'272=991vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj83=6=4;:183!4fk3=>7E{zut1b=9l50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228<0(;<;:048yx{z3`;>:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;35?!05<3;=7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th:4k4?:983>5}#:hi1=h>4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9j500=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1n6*9258a?x{zu2c::<4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*9248a?!05<3h0qpsr;h350?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{6=4+2`a951?<^;kn6=9?<0Z<:=:3y'273=j2.=>94m;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?db483>7<729q/>lm5bd9K6d626=4+2`a951?<3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c3`6?6=;3:142f3_;?>7g=#>;>1n6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=95+636951=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f4e6290=6=4?{%0bg?73?2B9m=5+17c95<=n9=31<7*=ab820<=Q:ho1=vB=b182S7c838p(!4fk3n;7[52z&2a=7}#9l21h<5Y15096~"1:<0o7)8=4;f8yx{K:hl18vX=9e80Sb22;q/:?=5d09'7`?=>;?0q[j9:3y'275=9=30(>k6:706?x"1j10:?6sY28f97~Pc=38p(;<<:e38 6c>2?8>7pXk6;0x 34428>27)=j9;410>{#>k21=>5rV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3n;7)=j9;411>{#>k21o6sY1d596~Pc=38p(;<<:e38 6c>2?8>7p*9b982<>{zu2c95n4?:%0bg?4>k2\9mh4={M0a4?7|^8n;6?u+1d:9696?u+637952=#>;>1=:5r}|N1ec<6s_8j9784>7:&561<6?2wvqA5<#:hi1=h?4;|`2f3<72:0;6=u+2`a96d?<@;k;7d?;a;29 7gd28>27E5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;`8 3432k1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj8h<6=4>:183!4fk38i?6F=a19l5`7=83.9mn4>e098yg7e13:1?7>50z&1ef<0=2B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=9?1/:?:5179~yx{i6=4+2`a951?<^;kn6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{53;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1n6*9258a?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{53;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1n6*9258a?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{54;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=;5+636953=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>740<,?8?6<84}|~?l72>3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924824>"1:=0:<6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi=oj50;694?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?9;%410?713twvq6g>4c83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=;5+636953=zutw0e<;9:18'6de=9=30Z?oj:0yO6g6=9r\o;74313_;?>740<,?8?6<84}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo?me;290?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742f3_;?>740<,?8?6<84}|~?l73j3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?9;%410?713twvq6g>5783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560<682.=>94>0:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;35?!05<3;=7psr}:k20g<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5179'272=9?1vqps4i075>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228<0(;<;:048yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c3a42f3_;?>7g=#>;>1n6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1n6*9258a?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*9248a?!05<3h0qpsr;h355?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{=9??0Z<:=:3y'273=j2.=>94m;|~y>o6>?0;6)P5il0:wA679U514=:r.=>84m;%410?d7>50z&1ef5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj:h96=4=:183!4fk3hn7Ee09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi?o650;494?6|,;kh6<:8;I0b4>"6>h0:56g>4883>!4fk3;?56X=ad82I4e83;pZ=9=30Z<:=:3y'273=j2.=>94m;|~y>oc83:1(?ol:e28R7gb28qG>o>51zT2`5<5s-;n47j?;W376?4|,?8>6o5+6369f>{zut1bh<4?:%0bg?b63_8ji74}Q9m:1>v*>e98g5>P6<;09w)8=5;f8 3432m1vqpB=ag87S4>l39pZi;52z&566>4>489'7`?=>;?0q)8m8;30?xP51m08w[j::3y'275=l81/?h756378ySb12;q/:?=515;8 6c>2?8?7p*9b9827>{Q:0n1?vXk5;0x 3442m;0(>k6:706?xPc>38p(;<<:e28 6c>2?8>7p*9b98`?xP6m>09w[j::3y'275=l81/?h756378y!0e03;37psr;h0:g?6=,;kh6?7l;W0ba?4|D;h;6{zuE8jj7?tV3c6>7}Ql<09w)8=3;0:g>"4m00=>85r$7`;>41l;52zTg1?4|,?886?6k;%1f=?05=2w/:o65169~yx=h9l;1<7*=ab82a4=53;294~"5ij09m45G2`28m42f290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&561oi:180>5<7s-8jo79:;I0b4>o6P5il0:wA4`9U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn>l?:180>5<7s-8jo79:;I0b4>o6P5il0:wA4`9U514=:r.=>84>6:&561<6>2wvqp5f15`94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=9?1/:?:5179~yx{5<4290;w)=9=k0Z<:=:3y'273=9?1/:?:5179~yx{i6=4+2`a951?<@;ki7[i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d1<729q/>lm51d28L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560?0D?o?;h37e?6=,;kh6<:6;I0bf>P5il0:wA4`9U514=:r.=>84>1:&561<692wvqp5f15`94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>64727[2\:8?4={%411?763-<987?>;|~y>o6>80;6)P5il0:wA609U514=:r.=>84>1:&561<692wvqp5f17694?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?>0Z<:=:3y'273=981/:?:5109~yx{6=4+2`a951?<^;kn64381!05=3;:7)8=4;32?x{zu2c:::4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98222=Q9=81>v*924825>"1:=0:=6sr}|9j53>=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;64V061>7}#>;?1=<5+636954=zutw0e<86:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:8n4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9=i0Z<:=:3y'273=9>1/:?:5169~yx{o6=4+2`a951?<^;kn64381!05=3;<7)8=4;34?x{zu2c:8k4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820c=Q9=81>v*924823>"1:=0:;6sr}|9j506=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=8>4V061>7}#>;?1n6*9258a?x{zu2c:9<4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98214=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{51083>5}#:hi1;85G2`28m42f290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;32?!05<3;:7psr}:k20g<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5109'272=981vqps4i075>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228;0(;<;:038yx{z3`;==7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;355>P6<;09w)8=5;32?!05<3;:7psr}:k221<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::95Y15096~"1:<0:=6*925825>{zut1b=;;50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9533<^8>96?u+637954=#>;>1=<5r}|8m401290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<89;W376?4|,?8>64727[;|~y>o6>10;6)P5il0:wA699U514=:r.=>84>1:&561<692wvqp5f17;94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<86;W376?4|,?8>64727E{zut1b=9j50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951b<^8>96?u+637952=#>;>1=:5r}|8m42b290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:j;W376?4|,?8>6<94$707>4127[o6=90;6)P5il0:wA519U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn>l::1825?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742f3_;?>747<,?8?6{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924825>"1:=0:=6sr}|9j500=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1=<5+636954=zutw0e<8>:18'6de=9=30Z?oj:0yO6g6=9r\o;74063_;?>747<,?8?6;%410?763twvq6g>6483>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6><1]=9<52z&560<692.=>94>1:~yx=n9?<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651748R4252;q/:?;5109'272=981vqps4i044>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<<7[?;2;0x 34228;0(;<;:038yx{z3`;=47>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;35<>P6<;09w)8=5;32?!05<3;:7psr}:k22<<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6517;8R4252;q/:?;5109'272=981vqps4i06`>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;c:T207<5s-<997?8;%410?703twvq6g>4e83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>7:~yx=n9=o1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515g8R4252;q/:?;5169'272=9>1vqps4i06e>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>m7[?;2;0x 34228=0(;<;:058yx{z3`;><7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;364>P6<;09w)8=5;`8 3432k1vqps4i072>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?:7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&561{M0a4?7|^m=1>v*>e9820d=Q9=81>v*9248a?!05<3h0qpsr;h37f?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e;k31<7<50;2x 7gd2ko0D?o?;h37=?6=,;kh6<:6;:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th8nk4?:383>5}#:hi1nh5G2`28m42>290/>lm515;8?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo=l7;297?6=8r.9mn4=a89K6d6j6=4+2`a951?<^;kn6=9=h0Z<:=:3y'273=9=1/:?:5159~yx{5<1290;w)N5i91/=;o5189j51?=83.9mn4>489U6dc=9rF9n=4>{W3g4?4|,8o36<:6;W376?4|,?8>6o5+6369f>{zut1bh=4?:%0bg?b73_8ji7?tL3`3>4}Q9m:1>v*>e98g4>P6<;09w)8=5;`8 3432k1vqps4ie394?"5ij0o=6X=ad81I4e83;pZ=l81]=9<52z&5607}#>;91h<5+3d;92734j53zTg1?4|,?886i?4$2g:>3423t\o:742>3-9n578=4:'2g>=9:1vZ?7k:2yU`0<5s-<9?7j>;%1f=?05=2w]h;4={%417?b73-9n578=5:'2g>=k2w]=h952zTg1?4|,?886i?4$2g:>3423t.=n54>8:~y>o51j0;6)P5il09wA1vqpB=ag82S4f=38pZi;52z&566<51j1/?h756378y!0e03;<7psr;h0;`?6=,;kh6?6k;W0ba?4|D;h;6{zuE8jj7?tV3c6>7}Ql<09w)8=3;0;`>"4m00=>85r$7`;>41:18'6de=9l;07pl6<729q/>lm52`;8L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&561lk:180>5<7s-8jo79:;I0b4>o6P5il0:wA4`9U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn>lj:187>5<7s-8jo79:;I0b4>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637955=#>;>1==5r}|8m42e290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<84$707>4027[2\:8?4={%411?713-<987?9;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d6<729q/>lm51d28L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;32?!05<3;:7psr}:k20g<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5109'272=981vqps4i075>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997?8;%410?703twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228;0(;<;:038yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9<<1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74313_;?>741<,?8?6<94}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo=l2;290?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5109'272=981vqps4i06a>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?>;%410?763twvq6g>5783>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1=:5+636952=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f6e4290?6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9=h1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742e3_;?>747<,?8?63:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924823>"1:=0:;6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi?n:50;794?6|,;kh6o6P5il0:wA4`9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>6o5+6369f>{zut1b=;?50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9537<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th???4?:383>5}#:hi1nh5G2`28m42>290/>lm515;8?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo:<7;292?6=8r.9mn4>469K6d6<,85<#:hi1=974V3cf>4}K:k:1=vX>d181!7b03;?56X>4381!05=3h0(;<;:c9~yx{P5il0:wA84m;%410?d:18'6de=l81]>lk52zN1f5<6s_;o<7a7<^8>96?u+6379`>"1:=0o7psrL3ce>1}Q:0n1?vXk5;0x 3442m;0(>k6:706?xPc>38p(;<<:06:?!5b13<996s+6c:956=z^;3o6>uYd481!05;3n:7)=j9;411>{Ql?09w)8=3;37=>"4m00=>95r$7`;>45v*9228g4>"4m00=>85r$7`;>f=z^8o<6?uYd481!05;3n:7)=j9;411>{#>k21=55r}|9j6{W3g4?4|,8o36?7l;W376?4|,?8>6<94$707>41lh51zT1e0<5s_n>6?u+63196!4fk383h6X=ad81I4e83;pZ=:1n0Z<:=:3y'273=9>1/:?:5169~yxJ5io0:w[>4=8e9'7`?=>;?0q)8m8;34?x{z3f;n=7>5$3c`>4c632wi8>=50;194?6|,;kh6?o6;I0b4>o6P5il0:wA4`9U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn9=;:182>5<7s-8jo7897>53;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=;5+636953=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>740<,?8?6<84}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo895;296?6=8r.9mn4me:J1e5=n9=31<7*=ab820<=5<1290;w)N5i91/=;o5189j51?=83.9mn4>489U6dc=9rF9n=4>{W3g4?4|,8o36<:6;W376?4|,?8>6o5+6369f>{zut1bh=4?:%0bg?b73_8ji7?tL3`3>4}Q9m:1>v*>e98g4>P6<;09w)8=5;`8 3432k1vqps4ie394?"5ij0o=6X=ad81I4e83;pZ=l81]=9<52z&5607}#>;91h<5+3d;92734j53zTg1?4|,?886i?4$2g:>3423t\o:742>3-9n578=4:'2g>=9:1vZ?7k:2yU`0<5s-<9?7j>;%1f=?05=2w]h;4={%417?b73-9n578=5:'2g>=k2w]=h952zTg1?4|,?886i?4$2g:>3423t.=n54>8:~y>o51j0;6)P5il09wA1vqpB=ag82S4f=38pZi;52z&566<51j1/?h756378y!0e03;<7psr;h0;`?6=,;kh6?6k;W0ba?4|D;h;6{zuE8jj7?tV3c6>7}Ql<09w)8=3;0;`>"4m00=>85r$7`;>41:18'6de=9l;07pl96783>6<729q/>lm52`;8L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615<7s-8jo79:;I0b4>o6P5il0:wA4`9U514=:r.=>84>6:&561<6>2wvqp5f15`94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=9?1/:?:5179~yx{5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a23`=8381<7>t$3c`>gc<@;k;7d?;9;29 7gd28>276a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&5603`;?57>5$3c`>42>3_8ji7?tL3`3>4}Q9m:1>v*>e9820<=Q9=81>v*9248a?!05<3h0qpsr;hf3>5<#:hi1h=5Y2`g95~J5j90:w[?k0;0x 4c?2m:0Z<:=:3y'273=j2.=>94m;|~y>oc93:1(?ol:e38R7gb2;qG>o>51zT2`5<5s-;n47j>;W376?4|,?8>6i5+6369`>{zuE8jj7:tV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3;?56*v*9228g5>"4m00=>85rVe496~"1::0:845+3d;92726?u+6319`4=#;l31:?;4}Wf5>7}#>;91h=5+3d;9273v*9228g5>"4m00=>85r$7`;>4>k2\:8?4={%411?703-<987?8;|~H7ga28q]>l;52zTg1?4|,?886?7l;%1f=?05=2w/:o65169~yx=n:1n1<7*=ab81vB=b182S7c838p(k6:706?x"1j10:;6sr}:m2a4<72-8jo7?j1:9~f30d29086=4?{%0bg?4f12B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4c9U514=:r.=>84m;%410?d50z&1ef<5j:1C>l>4o0g2>5<#:hi1=h?4;|`52`<72:0;6=u+2`a930=O:h:0e<:n:18'6de=9=30Z?oj:0yO6g6=9r\o;742f3_;?>740<,?8?6<84}|~?l73j3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?9;%410?713twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228;0(;<;:038yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5169'272=9>1vqps4i042>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?91:T207<5s-<997?8;%410?703twvq6g>6583>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>=1]=9<52z&560<6?2.=>94>7:~yx=n9??1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74023_;?>741<,?8?6<94}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo881;293?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5109'272=981vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228=0(;<;:058yx{z3`;>:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;34?!05<3;<7psr}:k224<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651738R4252;q/:?;5169'272=9>1vqps4i047>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?285$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6><1]=9<52z&560<6?2.=>94>7:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;32?!05<3;:7psr}:k20g<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5109'272=981vqps4i075>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228=0(;<;:058yx{z3`;==7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6>81]=9<52z&560<6?2.=>94>7:~yx=n9?>1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651768R4252;q/:?;5169'272=9>1vqps4i046>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?95:T207<5s-<997?8;%410?703twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228;0(;<;:038yx{z3`;?n7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;34?!05<3;<7psr}:k213<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9;5Y15096~"1:<0:;6*925823>{zut1b=;?50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28<:7[?;2;0x 34228=0(;<;:058yx{z3`;=87>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;350>P6<;09w)8=5;34?!05<3;<7psr}:k220<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651778R4252;q/:?;5169'272=9>1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj?=?6=4::183!4fk3;n<6F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1n6*9258a?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*9248a?!05<3h0qpsr;h362?6=,;kh6<:6;W0ba?7|D;h;6:6X>4381!05=3h0(;<;:c9~yx{=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e>:0;6?4?:1y'6de=jl1C>l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{lk51zN1f5<6s_;o<742>3_;?>7g=#>;>1n6sr}|9j`5<72-8jo7j?;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{P5il09wA84k;%410?blh54zT1=a<4s_n>6?u+6319`4=#;l31:?;4}Wf5>7}#>;91=974$2g:>3423t.=n54>3:U6a7<,:o26;<:;|Tg2?4|,?886<:6;%1f=?05<2w/:o65129~R7?c2:q]h84={%417?b63-9n578=5:U`3<5s-<9?7j?;%1f=?05=2w/:o65c:U5`1=:r\o97a7<,:o26;<:;|&5f=<602wvq6g=9b83>!4fk382o6X=ad81I4e83;pZ=:0i0Z<:=:3y'273=9>1/:?:5169~yxJ5io0:w[>4=9b9'7`?=>;?0q)8m8;34?x{z3`83h7>5$3c`>7>c3_8ji74}Q9m:1>v*>e981v*924823>"1:=0:;6sr}M0bb?7|^;k>6?uYd481!05;383h6*lm51d38?xd1<3:1?7>50z&1ef<5i01C>l>4i06b>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi:84?:083>5}#:hi1>o=4H3c3?j7b93:1(?ol:0g2?>{e>>0;6:4?:1y'6de=?<1C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228<0(;<;:048yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9<<1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74313_;?>740<,?8?6<84}|~?l7193:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*924822>"1:=0::6sr}|9j532=83.9mn4>489K6dd<^;kn64381!05=3;=7)8=4;35?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`5N5i91b=9o50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228<0(;<;:048yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9<<1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74313_;?>740<,?8?6<84}|~?l7193:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*924822>"1:=0::6sr}|9j532=83.9mn4>489K6dd<^;kn64381!05=3;=7)8=4;35?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`5=?6=?3:1N5i91b=9o50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228<0(;<;:048yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9<<1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74313_;?>740<,?8?6<84}|~?l7193:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*924822>"1:=0::6sr}|9j532=83.9mn4>489K6dd<^;kn64381!05=3;=7)8=4;35?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`5e?6=?3:1N5i91b=9o50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228<0(;<;:048yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9<<1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74313_;?>740<,?8?6<84}|~?l7193:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*924822>"1:=0::6sr}|9j532=83.9mn4>489K6dd<^;kn6P5il0:wA649U514=:r.=>84>6:&561<6>2wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e>k0;654?:1y'6de=?<1C>l>4i06b>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?9;%410?713twvq6g>4c83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=;5+636953=zutw0e<;9:18'6de=9=30D?om;W0ba?7|D;h;6:6X>4381!05=3;=7)8=4;35?x{zu2c::<4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9?;0Z<:=:3y'273=9?1/:?:5179~yx{P5il0:wA649U514=:r.=>84>6:&561<6>2wvqp5f17494?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<89;W376?4|,?8>6<84$707>40=9=k0Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4c9U514=:r.=>84m;%410?d27[2\:8?4={%411?d<,?8?6o5r}|8m406290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6o5+6369f>{zut1b=;:50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9532<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th:m:4?:383>5}#:hi1nh5G2`28m42>290/>lm515;8?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo?nd;296?6=8r.9mn4me:J1e5=n9=31<7*=ab820<=5<1290;w)N5i91/=;o5189j51?=83.9mn4>489U6dc=9rF9n=4>{W3g4?4|,8o36<:6;W376?4|,?8>6o5+6369f>{zut1bh=4?:%0bg?b73_8ji7?tL3`3>4}Q9m:1>v*>e98g4>P6<;09w)8=5;`8 3432k1vqps4ie394?"5ij0o=6X=ad81I4e83;pZ=l81]=9<52z&5607}#>;91h<5+3d;92734j53zTg1?4|,?886i?4$2g:>3423t\o:742>3-9n578=4:'2g>=9:1vZ?7k:2yU`0<5s-<9?7j>;%1f=?05=2w]h;4={%417?b73-9n578=5:'2g>=k2w]=h952zTg1?4|,?886i?4$2g:>3423t.=n54>8:~y>o51j0;6)P5il09wA1vqpB=ag82S4f=38pZi;52z&566<51j1/?h756378y!0e03;<7psr;h0;`?6=,;kh6?6k;W0ba?4|D;h;6{zuE8jj7?tV3c6>7}Ql<09w)8=3;0;`>"4m00=>85r$7`;>41:18'6de=9l;07pl>a983>6<729q/>lm52`;8L7g73`;?m7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f4g>290:6=4?{%0bg?4e;2B9m=5`1d394?"5ij0:i<54}c3bf?6=;3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+6379f>"1:=0i7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c3bg?6=;3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637953=#>;>1=;5r}|8m42e290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;35?!05<3;=7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th:ml4?:283>5}#:hi1=h>4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi=lk50;:94?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?>;%410?763twvq6g>4c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9<<1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74313_;?>741<,?8?6<94}|~?l7193:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?91:T207<5s-<997?8;%410?703twvq6g>6583>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;:4V061>7}#>;?1=:5+636952=zutw0e<8::18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;<7)8=4;34?x{zu2c::;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98223=Q9=81>v*924823>"1:=0:;6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi=lh50;:94?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?>;%410?763twvq6g>4c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9<<1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74313_;?>741<,?8?6<94}|~?l7193:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?91:T207<5s-<997?8;%410?703twvq6g>6583>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;:4V061>7}#>;?1=:5+636952=zutw0e<8::18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;<7)8=4;34?x{zu2c::;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98223=Q9=81>v*924823>"1:=0:;6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi=o>50;:94?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?>;%410?763twvq6g>4c83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=<5+636954=zutw0e<;9:18'6de=9=30D?om;W0ba?7|D;h;6:6X>4381!05=3;<7)8=4;34?x{zu2c::<4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9?;0Z<:=:3y'273=9>1/:?:5169~yx{o6><0;6)P5il0:wA649U514=:r.=>84>7:&561<6?2wvqp5f17494?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<89;W376?4|,?8>6<94$707>4150z&1ef<0=2B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=981/:?:5109~yx{i6=4+2`a951?<@;ki7[o6=?0;6)P5il0:wA579U514=:r.=>84>7:&561<6?2wvqp5f17394?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6<94$707>4127E{zut1b=;;50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9533<^8>96?u+637952=#>;>1=:5r}|8m401290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;352>P6<;09w)8=5;34?!05<3;<7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th:n?4?:483>5}#:hi1=h>4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9j500=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1n6*9258a?x{zu2c::<4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{52;294~"5ij0ii6F=a19j51?=83.9mn4>4898k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn5<7s-8jo7?;7:J1e5=#9?k1=45f15;94?"5ij0:845Y2`g95~J5j90:w[?k0;0x 4c?28>27[?;2;0x 3422k1/:?:5b:~yx=nl90;6)g=zutw0ei?50;&1efv*9248g?!05<3n0qpsC2`d90~P51m08w[j::3y'275=l81/?h756378ySb12;q/:?=515;8 6c>2?8>7p*9b9827>{Q:0n1?vXk5;0x 3442m;0(>k6:706?xPc>38p(;<<:06:?!5b13<986s+6c:956=z^;3o6>uYd481!05;3n:7)=j9;411>{Ql?09w)8=3;f3?!5b13<996s+6c:9g>{Q9l=1>vXk5;0x 3442m;0(>k6:706?x"1j10:46sr}:k1=f<72-8jo7<6c:T1e`<5sE8i<7?tV0f3>7}#9l21>4m4V061>7}#>;?1=:5+636952=zutF9mk4>{W0b1?4|^m?1>v*92281=f=#;l31:?;4}%4a52z&2a=<50m1]=9<52z&560<6?2.=>94>7:~yI4fn3;pZ?o::3yU`0<5s-<9?7<7d:&0a<<1:<1v(;l7:058yx{5}#:hi1>l74H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi=n;50;394?6|,;kh6?l<;I0b4>i6m80;6)=zj8i<6=4<:183!4fk3=>7E5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;`8 3432k1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj8i36=4<:183!4fk3=>7E5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;`8 3432k1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj8i26=4;:183!4fk3=>7E5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228<0(;<;:048yx{z3`;>:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;35?!05<3;=7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th:ol4?:583>5}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<84$707>4027E{zut1b=8850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+637953=#>;>1=;5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn5<7s-8jo79:;I0b4>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637953=#>;>1=;5r}|8m42e290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;35?!05<3;=7psr}:k213<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5179'272=9?1vqps4i042>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?91:T207<5s-<997?9;%410?713twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228:0(;<;:028yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>0:~yx=n9<<1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74313_;?>746<,?8?6<>4}|~?l7193:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*924822>"1:=0::6sr}|9j532=83.9mn4>489K6dd<^;kn6P5il0:wA649U514=:r.=>84>6:&561<6>2wvqp5f17494?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?<0Z<:=:3y'273=9?1/:?:5179~yx{5{M0a4?7|^m=1>v*>e9820d=Q9=81>v*924824>"1:=0:<6sr}|9j51d=83.9mn4>489K6dd<^;kn6P5il0:wA579U514=:r.=>84>6:&561<6>2wvqp5f17394?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?;0Z<:=:3y'273=9?1/:?:5179~yx{o6><0;6)N5ik1]>lk51zN1f5<6s_n<6?u+1d:9533<^8>96?u+637953=#>;>1=;5r}|8m401290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<89;W376?4|,?8>6<84$707>403:147>50z&1ef<6m91C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422k1/:?:5b:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5b:&5615783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560g=zutw0e<8;:18'6de=9=30Z?oj:0yO6g6=9r\o;74033_;?>7g=#>;>1n6sr}|9j533=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;;4V061>7}#>;?1n6*9258a?x{zu2c::;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98223=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{52;294~"5ij0ii6F=a19j51?=83.9mn4>4898k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn5<7s-8jo7?;7:J1e5=#9?k1=45f15;94?"5ij0:845Y2`g95~J5j90:w[?k0;0x 4c?28>27[?;2;0x 3422k1/:?:5b:~yx=nl90;6)g=zutw0ei?50;&1efv*9248g?!05<3n0qpsC2`d90~P51m08w[j::3y'275=l81/?h756378ySb12;q/:?=515;8 6c>2?8>7p*9b9827>{Q:0n1?vXk5;0x 3442m;0(>k6:706?xPc>38p(;<<:06:?!5b13<986s+6c:956=z^;3o6>uYd481!05;3n:7)=j9;411>{Ql?09w)8=3;f3?!5b13<996s+6c:9g>{Q9l=1>vXk5;0x 3442m;0(>k6:706?x"1j10:46sr}:k1=f<72-8jo7<6c:T1e`<5sE8i<7?tV0f3>7}#9l21>4m4V061>7}#>;?1=:5+636952=zutF9mk4>{W0b1?4|^m?1>v*92281=f=#;l31:?;4}%4a52z&2a=<50m1]=9<52z&560<6?2.=>94>7:~yI4fn3;pZ?o::3yU`0<5s-<9?7<7d:&0a<<1:<1v(;l7:058yx{5}#:hi1>l74H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi=hl50;394?6|,;kh6?l<;I0b4>i6m80;6)=zj8oo6=4<:183!4fk3=>7E5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;`8 3432k1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj8on6=4<:183!4fk3=>7E5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;`8 3432k1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj8om6=4<:183!4fk3=>7E{zut1b=9l50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228<0(;<;:048yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c3e4?6=;3:1N5i91b=9o50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228:0(;<;:028yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9=h1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742e3_;?>740<,?8?6<84}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo?i2;297?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5179'272=9?1vqps4i06a>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?9;%410?713twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228:0(;<;:028yx{z3`;?n7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;33?!05<3;;7psr}:k213<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5179'272=9?1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj8oh6=47:183!4fk3;n<6F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1n6*9258a?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*9248a?!05<3h0qpsr;h362?6=,;kh6<:6;W0ba?7|D;h;6:6X>4381!05=3h0(;<;:c9~yx{=9?>0Z<:=:3y'273=j2.=>94m;|~y>o6><0;6)P5il0:wA649U514=:r.=>84m;%410?d27[2\:8?4={%411?d<,?8?6o5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn?l;:181>5<7s-8jo7lj;I0b4>o6<00;6)=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5619:k20<<72-8jo7?;9:T1e`<6sE8i<7?tV0f3>7}#9l21=974V061>7}#>;?1n6*9258a?x{zu2co<7>5$3c`>a6<^;kn6!4fk3n:7[52z&2a=v*922820<=#;l31:?;4}%4a7}#>;91h<5+3d;92734j53zTg1?4|,?886i?4$2g:>3423t\o:7a6<,:o26;<:;|&5f=7}#>;91h<5+3d;9273k3:1(?ol:3;`?S4fm38p@?l?:0yU5a6=:r.:i54=9b9U514=:r.=>84>7:&561<6?2wvqAk2.8i449249~ 3d?28=0qps4i3:g>5<#:hi1>5j4V3cf>7}K:k:1=vX>d181!7b0383h6X>4381!05=3;<7)8=4;34?x{zD;km6j6=4+2`a951?<^;kn6=9=h0Z<:=:3y'273=j2.=>94m;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d4<729q/>lm52c18L7g73f;n=7>5$3c`>4c632wi>o950;194?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997??;%410?773twvq6g>4c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>0:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>32e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`0gc<72;0;6=u+2`a9f`=O:h:0e<:6:18'6de=9=307b?j1;29 7gd28o:7[50z&1ef<5i01C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422k1/:?:5b:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5159'272=9=1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj:n96=49:183!4fk3;?;6F=a19'53g=901b=9750;&1ef<6<01]>lk51zN1f5<6s_;o<742>3_;?>7g=#>;>1n6sr}|9j`5<72-8jo7j?;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{P5il09wA84k;%410?blh54zT1=a<4s_n>6?u+6319`4=#;l31:?;4}Wf5>7}#>;91=974$2g:>3423t.=n54>3:U6a7<,:o26;<:;|Tg2?4|,?886<:6;%1f=?05<2w/:o65129~R7?c2:q]h84={%417?b63-9n578=5:U`3<5s-<9?7j?;%1f=?05=2w/:o65c:U5`1=:r\o97a7<,:o26;<:;|&5f=<602wvq6g=9b83>!4fk382o6X=ad81I4e83;pZ=:0i0Z<:=:3y'273=9>1/:?:5169~yxJ5io0:w[>4=9b9'7`?=>;?0q)8m8;34?x{z3`83h7>5$3c`>7>c3_8ji74}Q9m:1>v*>e981v*924823>"1:=0:;6sr}M0bb?7|^;k>6?uYd481!05;383h6*lm51d38?xd4k00;6>4?:1y'6de=:h30D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{i6=4+2`a951?<^;kn6=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e;jk1<7?50;2x 7gd2;h87E4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>0:~yx=n9=h1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742e3_;?>740<,?8?6<84}|~?l72>3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997?9;%410?713twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06b>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997??;%410?773twvq6g>4c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5179'272=9?1vqps4i042>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<:7[?;2;0x 34228<0(;<;:048yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c1`a?6==3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637953=#>;>1=;5r}|8m42e290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<84$707>4027[2\:8?4={%411?713-<987?9;|~y>o6>80;6)P5il0:wA609U514=:r.=>84>6:&561<6>2wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e;jh1<7:50;2x 7gd28o;7E5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;`8 3432k1vqps4i075>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;32?!05<3;:7psr}:k20g<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5169'272=9>1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj:n?6=4=:183!4fk3hn7Ee09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi?i750;494?6|,;kh6<:8;I0b4>"6>h0:56g>4883>!4fk3;?56X=ad82I4e83;pZ=9=30Z<:=:3y'273=j2.=>94m;|~y>oc83:1(?ol:e28R7gb28qG>o>51zT2`5<5s-;n47j?;W376?4|,?8>6o5+6369f>{zut1bh<4?:%0bg?b63_8ji74}Q9m:1>v*>e98g5>P6<;09w)8=5;f8 3432m1vqpB=ag87S4>l39pZi;52z&566>4>489'7`?=>;?0q)8m8;30?xP51m08w[j::3y'275=l81/?h756378ySb12;q/:?=515;8 6c>2?8?7p*9b9827>{Q:0n1?vXk5;0x 3442m;0(>k6:706?xPc>38p(;<<:e28 6c>2?8>7p*9b98`?xP6m>09w[j::3y'275=l81/?h756378y!0e03;37psr;h0:g?6=,;kh6?7l;W0ba?4|D;h;6{zuE8jj7?tV3c6>7}Ql<09w)8=3;0:g>"4m00=>85r$7`;>41l;52zTg1?4|,?886?6k;%1f=?05=2w/:o65169~yx=h9l;1<7*=ab82a4=53;294~"5ij09m45G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6o5+6369f>{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th8h;4?:083>5}#:hi1>o=4H3c3?j7b93:1(?ol:0g2?>{e;m=1<7=50;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3;;7)8=4;33?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924824>"1:=0:<6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi?k850;094?6|,;kh6ok4H3c3?l7313:1(?ol:06:?>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d7<729q/>lm5bd9K6d626=4+2`a951?<3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c636?6=;3:142f3_;?>7g=#>;>1n6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=95+636951=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f166290=6=4?{%0bg?73?2B9m=5+17c95<=n9=31<7*=ab820<=Q:ho1=vB=b182S7c838p(!4fk3n;7[52z&2a=7}#9l21h<5Y15096~"1:<0o7)8=4;f8yx{K:hl18vX=9e80Sb22;q/:?=5d09'7`?=>;?0q[j9:3y'275=9=30(>k6:706?x"1j10:?6sY28f97~Pc=38p(;<<:e38 6c>2?8>7pXk6;0x 34428>27)=j9;410>{#>k21=>5rV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3n;7)=j9;411>{#>k21o6sY1d596~Pc=38p(;<<:e38 6c>2?8>7p*9b982<>{zu2c95n4?:%0bg?4>k2\9mh4={M0a4?7|^8n;6?u+1d:9696?u+637952=#>;>1=:5r}|N1ec<6s_8j9784>7:&561<6?2wvqA5<#:hi1=h?4;|`0b2<72:0;6=u+2`a96d?<@;k;7d?;a;29 7gd28>27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a7c>=83;1<7>t$3c`>7d43A8j<6a>e083>!4fk3;n=65rb2db>5<2290;w)=9=k0Z<:=:3y'273=9?1/:?:5179~yx{i6=4+2`a951?<^;kn6:6X>4381!05=3;=7)8=4;35?x{zu2c::<4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9?;0Z<:=:3y'273=9?1/:?:5179~yx{5<0290;w)=9=k0Z<:=:3y'273=9?1/:?:5179~yx{i6=4+2`a951?<^;kn6P5il0:wA579U514=:r.=>84>6:&561<6>2wvqp5f17394?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6<84$707>4027E{zut1b=;;50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9533<^8>96?u+637953=#>;>1=;5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn>hl:184>5<7s-8jo79:;I0b4>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637953=#>;>1=;5r}|8m42e290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;35?!05<3;=7psr}:k213<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5179'272=9?1vqps4i042>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?91:T207<5s-<997?9;%410?713twvq6g>6583>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;:4V061>7}#>;?1=;5+636953=zutw0e<8::18'6de=9=30Z?oj:0yO6g6=9r\o;74023_;?>740<,?8?6<84}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo=id;293?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742f3_;?>740<,?8?6<84}|~?l73j3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924822>"1:=0::6sr}|9j500=83.9mn4>489K6dd<^;kn6P5il0:wA609U514=:r.=>84>6:&561<6>2wvqp5f17694?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<8;;W376?4|,?8>6<84$707>4027[i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d0<729q/>lm51d28L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5b:&5616083>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>81]=9<52z&560g=zutw0qo=if;291?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742f3_;?>741<,?8?6<94}|~?l73j3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?8;%410?703twvq6g>5783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560<6?2.=>94>7:~yx=n9?;1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651738R4252;q/:?;5169'272=9>1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj=8h6=4=:183!4fk3hn7Ee09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi8>?50;494?6|,;kh6<:8;I0b4>"6>h0:56g>4883>!4fk3;?56X=ad82I4e83;pZ=9=30Z<:=:3y'273=j2.=>94m;|~y>oc83:1(?ol:e28R7gb28qG>o>51zT2`5<5s-;n47j?;W376?4|,?8>6o5+6369f>{zut1bh<4?:%0bg?b63_8ji74}Q9m:1>v*>e98g5>P6<;09w)8=5;f8 3432m1vqpB=ag87S4>l39pZi;52z&566>4>489'7`?=>;?0q)8m8;30?xP51m08w[j::3y'275=l81/?h756378ySb12;q/:?=515;8 6c>2?8?7p*9b9827>{Q:0n1?vXk5;0x 3442m;0(>k6:706?xPc>38p(;<<:e28 6c>2?8>7p*9b98`?xP6m>09w[j::3y'275=l81/?h756378y!0e03;37psr;h0:g?6=,;kh6?7l;W0ba?4|D;h;6{zuE8jj7?tV3c6>7}Ql<09w)8=3;0:g>"4m00=>85r$7`;>41l;52zTg1?4|,?886?6k;%1f=?05=2w/:o65169~yx=h9l;1<7*=ab82a4=9h7>53;294~"5ij09m45G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6o5+6369f>{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th?>h4?:083>5}#:hi1>o=4H3c3?j7b93:1(?ol:0g2?>{e<;l1<7=50;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3;;7)8=4;33?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924824>"1:=0:<6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi8>650;094?6|,;kh6ok4H3c3?l7313:1(?ol:06:?>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d3<729q/>lm51558L7g73-;=m7?6;h37=?6=,;kh6<:6;W0ba?7|D;h;67a=#>;>1h6sr}M0bb?2|^;3o6>uYd481!05;3n:7)=j9;411>{Ql?09w)8=3;37=>"4m00=>85r$7`;>45v*922820<=#;l31:?:4}%4a7}#>;91h<5+3d;9273lm528a8R7gb2;qG>o>51zT2`5<5s-;n47<6c:T207<5s-<997?8;%410?703twv@?oi:0yU6d3=:r\o977?d3-9n578=5:'2g>=9>1vqp5f29f94?"5ij094i5Y2`g96~J5j90:w[?k0;0x 4c?2;2o7[?;2;0x 34228=0(;<;:058yx{K:hl1=vX=a481Sb22;q/:?=529f8 6c>2?8>7p*9b9823>{zu2e:i<4?:%0bg?7b921vn9=6:180>5<7s-8jo74c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0qo:5}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<84$707>4027[i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d6<729q/>lm5749K6d6j6=4+2`a951?<^;kn64381!05=3;=7)8=4;35?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`77`<72:0;6=u+2`a930=O:h:0e<:n:18'6de=9=30Z?oj:0yO6g6=9r\o;742f3_;?>740<,?8?6<84}|~?l73j3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?9;%410?713twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228<0(;<;:048yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9<<1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74313_;?>740<,?8?6<84}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo:;0;2942f3_;?>740<,?8?6<84}|~?l73j3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924822>"1:=0::6sr}|9j500=83.9mn4>489K6dd<^;kn6P5il0:wA609U514=:r.=>84>6:&561<6>2wvqp5f17694?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<8;;W376?4|,?8>6<84$707>4027E{zut1b=;850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9530<^8>96?u+637953=#>;>1=;5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn9=m:185>5<7s-8jo7?j0:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5b:&5614c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<63:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997l4$707>g=zutw0e<8>:18'6de=9=30Z?oj:0yO6g6=9r\o;74063_;?>7g=#>;>1n6sr}|9j532=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;:4V061>7}#>;?1n6*9258a?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`732<72;0;6=u+2`a9f`=O:h:0e<:6:18'6de=9=307b?j1;29 7gd28o:7[50z&1ef<6<>1C>l>4$04b>4?26=4+2`a951?<^;kn6P6<;09w)8=5;`8 3432k1vqps4ie294?"5ij0o<6X=ad82I4e83;pZ=l91]=9<52z&560lm5d09U6dc=:rF9n=4>{W3g4?4|,8o36i?4V061>7}#>;?1h6*9258g?x{zD;km69uY28f97~Pc=38p(;<<:e38 6c>2?8>7pXk6;0x 34428>27)=j9;411>{#>k21=>5rV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3;?56*v*9228g5>"4m00=>85rVe496~"1::0o<6*7}Ql<09w)8=3;f2?!5b13<996s+6c:95==zut1b>4m50;&1ef<51j1]>lk52zN1f5<6s_;o<77?d3_;?>741<,?8?6<94}|O6d`=9r\9m84={Wf6>7}#>;91>4m4$2g:>3423t.=n54>7:~y>o50m0;6)P5il09wA1vqpB=ag82S4f=38pZi;52z&566<50m1/?h756378y!0e03;<7psr;n3f5?6=,;kh6;:a02>=8391<7>t$3c`>7g>3A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f11>290:6=4?{%0bg?4e;2B9m=5`1d394?"5ij0:i<54}c64e?6=;3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637953=#>;>1=;5r}|8m42e290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<84$707>407>50z&1ef5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj=296=49:183!4fk3;?;6F=a19'53g=901b=9750;&1ef<6<01]>lk51zN1f5<6s_;o<742>3_;?>7g=#>;>1n6sr}|9j`5<72-8jo7j?;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{P5il09wA84k;%410?blh54zT1=a<4s_n>6?u+6319`4=#;l31:?;4}Wf5>7}#>;91=974$2g:>3423t.=n54>3:U6a7<,:o26;<:;|Tg2?4|,?886<:6;%1f=?05<2w/:o65129~R7?c2:q]h84={%417?b63-9n578=5:U`3<5s-<9?7j?;%1f=?05=2w/:o65c:U5`1=:r\o97a7<,:o26;<:;|&5f=<602wvq6g=9b83>!4fk382o6X=ad81I4e83;pZ=:0i0Z<:=:3y'273=9>1/:?:5169~yxJ5io0:w[>4=9b9'7`?=>;?0q)8m8;34?x{z3`83h7>5$3c`>7>c3_8ji74}Q9m:1>v*>e981v*924823>"1:=0:;6sr}M0bb?7|^;k>6?uYd481!05;383h6*lm51d38?xd3?l0;6>4?:1y'6de=:h30D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{i6=4+2`a951?<^;kn6=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e<>l1<7?50;2x 7gd2;h87E729086=4?{%0bg?123A8j<6g>4`83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1==5+636955=zutw0e<:m:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;;7)8=4;33?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`563<72;0;6=u+2`a9f`=O:h:0e<:6:18'6de=9=307b?j1;29 7gd28o:7[50z&1ef<6<>1C>l>4$04b>4?26=4+2`a951?<^;kn6P6<;09w)8=5;`8 3432k1vqps4ie294?"5ij0o<6X=ad82I4e83;pZ=l91]=9<52z&560lm5d09U6dc=:rF9n=4>{W3g4?4|,8o36i?4V061>7}#>;?1h6*9258g?x{zD;km69uY28f97~Pc=38p(;<<:e38 6c>2?8>7pXk6;0x 34428>27)=j9;411>{#>k21=>5rV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3;?56*v*9228g5>"4m00=>85rVe496~"1::0o<6*7}Ql<09w)8=3;f2?!5b13<996s+6c:95==zut1b>4m50;&1ef<51j1]>lk52zN1f5<6s_;o<77?d3_;?>741<,?8?6<94}|O6d`=9r\9m84={Wf6>7}#>;91>4m4$2g:>3423t.=n54>7:~y>o50m0;6)P5il09wA1vqpB=ag82S4f=38pZi;52z&566<50m1/?h756378y!0e03;<7psr;n3f5?6=,;kh6;:a271=8391<7>t$3c`>7g>3A8j<6g>4`83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1n6*9258a?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{51;294~"5ij09n>5G2`28k4c6290/>lm51d38?xd1:00;6>4?:1y'6de=?<1C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228:0(;<;:028yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5617<729q/>lm5bd9K6d626=4+2`a951?<3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c50>5<1290;w)N5i91/=;o5189j51?=83.9mn4>489U6dc=9rF9n=4>{W3g4?4|,8o36<:6;W376?4|,?8>6o5+6369f>{zut1bh=4?:%0bg?b73_8ji7?tL3`3>4}Q9m:1>v*>e98g4>P6<;09w)8=5;`8 3432k1vqps4ie394?"5ij0o=6X=ad81I4e83;pZ=l81]=9<52z&5607}#>;91h<5+3d;92734j53zTg1?4|,?886i?4$2g:>3423t\o:742>3-9n578=4:'2g>=9:1vZ?7k:2yU`0<5s-<9?7j>;%1f=?05=2w]h;4={%417?b73-9n578=5:'2g>=k2w]=h952zTg1?4|,?886i?4$2g:>3423t.=n54>8:~y>o51j0;6)P5il09wA1vqpB=ag82S4f=38pZi;52z&566<51j1/?h756378y!0e03;<7psr;h0;`?6=,;kh6?6k;W0ba?4|D;h;6{zuE8jj7?tV3c6>7}Ql<09w)8=3;0;`>"4m00=>85r$7`;>41:18'6de=9l;07pl9f;297?6=8r.9mn4=a89K6d6j6=4+2`a951?<^;kn6=9=h0Z<:=:3y'273=j2.=>94m;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d53;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=;5+636953=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>740<,?8?6<84}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo5<1290;w)N5i91/=;o5189j51?=83.9mn4>489U6dc=9rF9n=4>{W3g4?4|,8o36<:6;W376?4|,?8>6o5+6369f>{zut1bh=4?:%0bg?b73_8ji7?tL3`3>4}Q9m:1>v*>e98g4>P6<;09w)8=5;`8 3432k1vqps4ie394?"5ij0o=6X=ad81I4e83;pZ=l81]=9<52z&5607}#>;91h<5+3d;92734j53zTg1?4|,?886i?4$2g:>3423t\o:742>3-9n578=4:'2g>=9:1vZ?7k:2yU`0<5s-<9?7j>;%1f=?05=2w]h;4={%417?b73-9n578=5:'2g>=k2w]=h952zTg1?4|,?886i?4$2g:>3423t.=n54>8:~y>o51j0;6)P5il09wA1vqpB=ag82S4f=38pZi;52z&566<51j1/?h756378y!0e03;<7psr;h0;`?6=,;kh6?6k;W0ba?4|D;h;6{zuE8jj7?tV3c6>7}Ql<09w)8=3;0;`>"4m00=>85r$7`;>41:18'6de=9l;07pl=1183>6<729q/>lm52`;8L7g73`;?m7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f776290:6=4?{%0bg?4e;2B9m=5`1d394?"5ij0:i<54}c027?6=;3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+6379f>"1:=0i7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c020?6=>3:1N5i91b=9o50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228<0(;<;:048yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9<<1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74313_;?>740<,?8?6<84}|~?l7193:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*924822>"1:=0::6sr}|9j532=83.9mn4>489K6dd<^;kn6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{57;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1==5+636955=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>740<,?8?6<84}|~?l72>3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924822>"1:=0::6sr}|9j537=83.9mn4>489K6dd<^;kn6P5il0:wA659U514=:r.=>84>6:&561<6>2wvqp5f17794?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<8:;W376?4|,?8>6<84$707>403:1;7>50z&1ef<0=2B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=991/:?:5119~yx{i6=4+2`a951?<^;kn6P5il0:wA579U514=:r.=>84>6:&561<6>2wvqp5f17394?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6<84$707>4027E{zut1b=;;50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28<>7[?;2;0x 34228<0(;<;:048yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c023?6=?3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637953=#>;>1=;5r}|8m42e290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;35?!05<3;=7psr}:k213<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5179'272=9?1vqps4i042>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?91:T207<5s-<997?9;%410?713twvq6g>6583>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;:4V061>7}#>;?1=;5+636953=zutw0e<8::18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;=7)8=4;35?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`15=<72>0;6=u+2`a930=O:h:0e<:n:18'6de=9=30Z?oj:0yO6g6=9r\o;742f3_;?>740<,?8?6<84}|~?l73j3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924822>"1:=0::6sr}|9j500=83.9mn4>489K6dd<^;kn6P5il0:wA609U514=:r.=>84>6:&561<6>2wvqp5f17694?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<8;;W376?4|,?8>6<84$707>4027E{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a644=83=1<7>t$3c`>4c73A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0e<;9:18'6de=9=30Z?oj:0yO6g6=9r\o;74313_;?>7g=#>;>1n6sr}|9j537=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1n6*9258a?x{zu2c::94?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98221=Q9=81>v*9248a?!05<3h0qpsr;h351?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a6g`=83<1<7>t$3c`>4203A8j<6*>6`82=>o6<00;6)P5il0:wA7g=#>;>1n6sr}|9j`4<72-8jo7j>;W0ba?4|D;h;64381!05=3n0(;<;:e9~yxJ5io0?w[<6d;1xRa3=:r.=>>4k1:&0a<<1:<1vZi852z&566<6<01/?h756378y!0e03;87pX=9e80Sb22;q/:?=5d09'7`?=>;?0q[j9:3y'275=9=30(>k6:707?x"1j10:?6sY28f97~Pc=38p(;<<:e38 6c>2?8>7pXk6;0x 3442m:0(>k6:706?x"1j10h7pX>e681Sb22;q/:?=5d09'7`?=>;?0q)8m8;3;?x{z3`82o7>5$3c`>7?d3_8ji74}Q9m:1>v*>e981=f=Q9=81>v*924823>"1:=0:;6sr}M0bb?7|^;k>6?uYd481!05;382o6*c290/>lm529f8R7gb2;qG>o>51zT2`5<5s-;n47<7d:T207<5s-<997?8;%410?703twv@?oi:0yU6d3=:r\o977>c3-9n578=5:'2g>=9>1vqp5`1d394?"5ij0:i<54}c0af?6=;3:142f3_;?>7g=#>;>1n6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1n6*9258a?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`1ff<7280;6=u+2`a96g5<@;k;7b?j1;29 7gd28o:76sm2cf94?5=83:p(?ol:678L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;35?!05<3;=7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0::6*925822>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a70c=8381<7>t$3c`>gc<@;k;7d?;9;29 7gd28>276a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560:0;6;4?:1y'6de=9==0D?o?;%35e?7>3`;?57>5$3c`>42>3_8ji7?tL3`3>4}Q9m:1>v*>e9820<=Q9=81>v*9248a?!05<3h0qpsr;hf3>5<#:hi1h=5Y2`g95~J5j90:w[?k0;0x 4c?2m:0Z<:=:3y'273=j2.=>94m;|~y>oc93:1(?ol:e38R7gb2;qG>o>51zT2`5<5s-;n47j>;W376?4|,?8>6i5+6369`>{zuE8jj7:tV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3;?56*v*9228g5>"4m00=>85rVe496~"1::0:845+3d;92726?u+6319`4=#;l31:?;4}Wf5>7}#>;91h=5+3d;9273v*9228g5>"4m00=>85r$7`;>4>k2\:8?4={%411?703-<987?8;|~H7ga28q]>l;52zTg1?4|,?886?7l;%1f=?05=2w/:o65169~yx=n:1n1<7*=ab81vB=b182S7c838p(k6:706?x"1j10:;6sr}:m2a4<72-8jo7?j1:9~f63a29086=4?{%0bg?4f12B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4c9U514=:r.=>84m;%410?d50z&1ef<5j:1C>l>4o0g2>5<#:hi1=h?4;|`024<728;1<7>t$3c`>23<@;k;7d?;a;29 7gd28>27E{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637953=#>;>1=;5r}|8m431290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>6<84$707>4027[o6>=0;6)P5il0:wA659U514=:r.=>84>6:&561<6>2wvqp5f17794?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9??0Z<:=:3y'273=9?1/:?:5179~yx{4381!05=3;=7)8=4;35?x{zu2c::54?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9822==Q9=81>v*924822>"1:=0::6sr}|9j53?=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;74V061>7}#>;?1=;5+636953=zutw0e<:l:18'6de=9=30Z?oj:0yO6g6=9r\o;742d3_;?>740<,?8?6<84}|~?l73l3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;d:T207<5s-<997?9;%410?713twvq6g>4d83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0e<;?:18'6de=9=30Z?oj:0yO6g6=9r\o;74373_;?>7g=#>;>1n6sr}|9j507=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=8?4V061>7}#>;?1n6*9258a?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`0ad<72;0;6=u+2`a9f`=O:h:0e<:6:18'6de=9=307b?j1;29 7gd28o:7[50z&1ef<6<>1C>l>4$04b>4?26=4+2`a951?<^;kn6P6<;09w)8=5;`8 3432k1vqps4ie294?"5ij0o<6X=ad82I4e83;pZ=l91]=9<52z&560lm5d09U6dc=:rF9n=4>{W3g4?4|,8o36i?4V061>7}#>;?1h6*9258g?x{zD;km69uY28f97~Pc=38p(;<<:e38 6c>2?8>7pXk6;0x 34428>27)=j9;411>{#>k21=>5rV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3;?56*v*9228g5>"4m00=>85rVe496~"1::0o<6*7}Ql<09w)8=3;f2?!5b13<996s+6c:95==zut1b>4m50;&1ef<51j1]>lk52zN1f5<6s_;o<77?d3_;?>741<,?8?6<94}|O6d`=9r\9m84={Wf6>7}#>;91>4m4$2g:>3423t.=n54>7:~y>o50m0;6)P5il09wA1vqpB=ag82S4f=38pZi;52z&566<50m1/?h756378y!0e03;<7psr;n3f5?6=,;kh6;:a7`d=8391<7>t$3c`>7g>3A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f6cd290:6=4?{%0bg?4e;2B9m=5`1d394?"5ij0:i<54}c1f`?6=;3:1N5i91b=9o50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228:0(;<;:028yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>0:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>32e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`7<2<72;0;6=u+2`a9f`=O:h:0e<:6:18'6de=9=307b?j1;29 7gd28o:7[50z&1ef<6<>1C>l>4$04b>4?26=4+2`a951?<^;kn6P6<;09w)8=5;`8 3432k1vqps4ie294?"5ij0o<6X=ad82I4e83;pZ=l91]=9<52z&560lm5d09U6dc=:rF9n=4>{W3g4?4|,8o36i?4V061>7}#>;?1h6*9258g?x{zD;km69uY28f97~Pc=38p(;<<:e38 6c>2?8>7pXk6;0x 34428>27)=j9;411>{#>k21=>5rV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3;?56*v*9228g5>"4m00=>85rVe496~"1::0o<6*7}Ql<09w)8=3;f2?!5b13<996s+6c:95==zut1b>4m50;&1ef<51j1]>lk52zN1f5<6s_;o<77?d3_;?>741<,?8?6<94}|O6d`=9r\9m84={Wf6>7}#>;91>4m4$2g:>3423t.=n54>7:~y>o50m0;6)P5il09wA1vqpB=ag82S4f=38pZi;52z&566<50m1/?h756378y!0e03;<7psr;n3f5?6=,;kh6;:a0=2=8391<7>t$3c`>7g>3A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f1>2290:6=4?{%0bg?4e;2B9m=5`1d394?"5ij0:i<54}c6;2?6=;3:1N5i91b=9o50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228<0(;<;:048yx{z3`;?n7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;35?!05<3;=7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th?454?:483>5}#:hi1;85G2`28m42f290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;32?!05<3;:7psr}:k20g<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5109'272=981vqps4i075>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997?8;%410?703twvq6g>6083>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>81]=9<52z&560<6?2.=>94>7:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;32?!05<3;:7psr}:k20g<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5169'272=9>1vqps4i075>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997?8;%410?703twvq6g>6083>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>81]=9<52z&560<6?2.=>94>7:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9=h1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742e3_;?>747<,?8?63:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924825>"1:=0:=6sr}|9j537=83.9mn4>489K6dd<^;kn64381!05=3;<7)8=4;34?x{zu2c::84?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98220=Q9=81>v*924823>"1:=0:;6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi85l50;694?6|,;kh6o6P5il0:wA4`9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a0<2=8381<7>t$3c`>gc<@;k;7d?;9;29 7gd28>276a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&5603`;?57>5$3c`>42>3_8ji7?tL3`3>4}Q9m:1>v*>e9820<=Q9=81>v*9248a?!05<3h0qpsr;hf3>5<#:hi1h=5Y2`g95~J5j90:w[?k0;0x 4c?2m:0Z<:=:3y'273=j2.=>94m;|~y>oc93:1(?ol:e38R7gb2;qG>o>51zT2`5<5s-;n47j>;W376?4|,?8>6i5+6369`>{zuE8jj7:tV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3;?56*v*9228g5>"4m00=>85rVe496~"1::0:845+3d;92726?u+6319`4=#;l31:?;4}Wf5>7}#>;91h=5+3d;9273v*9228g5>"4m00=>85r$7`;>4>k2\:8?4={%411?703-<987?8;|~H7ga28q]>l;52zTg1?4|,?886?7l;%1f=?05=2w/:o65169~yx=n:1n1<7*=ab81vB=b182S7c838p(k6:706?x"1j10:;6sr}:m2a4<72-8jo7?j1:9~f1?229086=4?{%0bg?4f12B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4c9U514=:r.=>84m;%410?d>3:1=7>50z&1ef<5j:1C>l>4o0g2>5<#:hi1=h?4;|`7=2<72:0;6=u+2`a930=O:h:0e<:n:18'6de=9=30Z?oj:0yO6g6=9r\o;742f3_;?>740<,?8?6<84}|~?l73j3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?9;%410?713twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{56;294~"5ij0:8:5G2`28 40f2830e<:6:18'6de=9=30Z?oj:0yO6g6=9r\:h=4={%3f7}#9l21h=5Y15096~"1:<0i7)8=4;`8yx{z3`n:6=4+2`a9`4=Q:ho1>vB=b182S7c838p(a7<,:o26;<:;|Tg2?4|,?886<:6;%1f=?05=2w/:o65129~R7?c2:q]h84={%417?b63-9n578=5:U`3<5s-<9?7?;9:&0a<<1:=1v(;l7:018yS4>l39pZi;52z&566>4k0:&0a<<1:<1v(;l7:b9~R4c02;q]h84={%417?b63-9n578=5:'2g>=911vqp5f28a94?"5ij095n5Y2`g96~J5j90:w[?k0;0x 4c?2;3h7[?;2;0x 34228=0(;<;:058yx{K:hl1=vX=a481Sb22;q/:?=528a8 6c>2?8>7p*9b9823>{zu2c94i4?:%0bg?4?l2\9mh4={M0a4?7|^8n;6?u+1d:96=b<^8>96?u+637952=#>;>1=:5r}|N1ec<6s_8j97{e=jl1<7=50;2x 7gd2;k27E5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;`8 3432k1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj:183!4fk38i?6F=a19l5`7=83.9mn4>e098yg3c93:1?7>50z&1ef<0=2B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=9?1/:?:5179~yx{i6=4+2`a951?<@;ki7[i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d7<729q/>lm5bd9K6d626=4+2`a951?<3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c464?6=>3:1290/>lm515;8R7gb28qG>o>51zT2`5<5s-;n47?;9:T207<5s-<997l4$707>g=zutw0ei>50;&1ef{M0a4?7|^8n;6?u+1d:9`5=Q9=81>v*9248a?!05<3h0qpsr;hf2>5<#:hi1h<5Y2`g96~J5j90:w[?k0;0x 4c?2m;0Z<:=:3y'273=l2.=>94k;|~H7ga2=q]>4j53zTg1?4|,?886i?4$2g:>3423t\o:742>3-9n578=5:'2g>=9:1vZ?7k:2yU`0<5s-<9?7j>;%1f=?05=2w]h;4={%417?7312.8i449259~ 3d?2890q[<6d;1xRa3=:r.=>>4k1:&0a<<1:<1vZi852z&566;%1f=?05=2w/:o65199~yx=n:0i1<7*=ab81=f=Q:ho1>vB=b182S7c838p(k6:706?x"1j10:;6sr}:k17}#9l21>5j4V061>7}#>;?1=:5+636952=zutF9mk4>{W0b1?4|^m?1>v*92281{M0a4?7|^m=1>v*>e9820d=Q9=81>v*9248a?!05<3h0qpsr;h37f?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{5<6290;w)N5i91d=h?50;&1ef<6m810qo8;e;297?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5179'272=9?1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228<0(;<;:048yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c465?6=:3:1N5i91b=9750;&1ef<6<010c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f33?29096=4?{%0bg?db3A8j<6g>4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e><7E{M0a4?7|^8n;6?u+1d:951?<^8>96?u+6379f>"1:=0i7psr}:kg4?6=,;kh6i>4V3cf>4}K:k:1=vX>d181!7b03n;7[?;2;0x 3422k1/:?:5b:~yx=nl80;6)a=zutF9mk4;{W0:`?5|^m?1>v*9228g5>"4m00=>85rVe496~"1::0:845+3d;92736?u+6319`4=#;l31:?;4}Wf5>7}#>;91=974$2g:>3433t.=n54>3:U6a7<,:o26;<:;|Tg2?4|,?886i>4$2g:>3423t.=n54l;|T2a2<5s_n>6?u+6319`4=#;l31:?;4}%4a52z&2a=<51j1]=9<52z&560<6?2.=>94>7:~yI4fn3;pZ?o::3yU`0<5s-<9?7<6c:&0a<<1:<1v(;l7:058yx{P6<;09w)8=5;34?!05<3;<7psrL3ce>4}Q:h?1>vXk5;0x 3442;2o7)=j9;411>{#>k21=:5r}|9l5`7=83.9mn4>e098yg02:3:1?7>50z&1ef<5i01C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422k1/:?:5b:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560;:a203=8391<7>t$3c`>23<@;k;7d?;a;29 7gd28>27[o6P5il0:wA4c9U514=:r.=>84>6:&561<6>2wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e><<1<7=50;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{i6=4+2`a951?<^;kn6=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e><=1<7:50;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3;=7)8=4;35?x{zu2c:8o4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=9?1/:?:5179~yx{;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{87>54;294~"5ij0:i=5G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6o5+6369f>{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+6379f>"1:=0i7psr}:k213<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9;5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c46=?6==3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637952=#>;>1=:5r}|8m42e290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;34?!05<3;<7psr}:k213<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5169'272=9>1vqps4i042>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?91:T207<5s-<997?8;%410?703twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228;0(;<;:038yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>7:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5169'272=9>1vqps4i042>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?91:T207<5s-<997?8;%410?703twvq6g>6583>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;:4V061>7}#>;?1=:5+636952=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f33e29036=4?{%0bg?123A8j<6g>4`83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=<5+636954=zutw0e<:m:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:9;4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9<<0Z<:=:3y'273=981/:?:5109~yx{P5il0:wA659U514=:r.=>84>7:&561<6?2wvqp5f17794?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9??0Z<:=:3y'273=9>1/:?:5169~yx{2\:8?4={%411?703-<987?8;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d1<729q/>lm51d28L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{52;294~"5ij0ii6F=a19j51?=83.9mn4>4898k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6k5+6369b>{zut1vn??l:180>5<7s-8jo74c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0qo<>d;295?6=8r.9mn4=b29K6d65}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<>4$707>4627E{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a676=8391<7>t$3c`>23<@;k;7d?;a;29 7gd28>27[o6P5il0:wA4c9U514=:r.=>84>0:&561<682wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e:8o1<7=50;2x 7gd28o;7E5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;`8 3432k1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj;896=4=:183!4fk3hn7Ee09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi>?650;094?6|,;kh6ok4H3c3?l7313:1(?ol:06:?>i6m80;6)P5il0:wAe09U514=:r.=>84i;%410?`6<729q/>lm52`;8L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615<7s-8jo79:;I0b4>o6P5il0:wA4`9U514=:r.=>84>0:&561<682wvqp5f15`94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<84$707>4050z&1ef<0=2B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=9?1/:?:5179~yx{i6=4+2`a951?<^;kn6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{53;294~"5ij0:i=5G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6o5+6369f>{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th98=4?:383>5}#:hi1nh5G2`28m42>290/>lm515;8?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo<;6;296?6=8r.9mn4me:J1e5=n9=31<7*=ab820<=5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379b>"1:=0m7psr}:a617=8391<7>t$3c`>7g>3A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f725290:6=4?{%0bg?4e;2B9m=5`1d394?"5ij0:i<54}c070?6=;3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637955=#>;>1==5r}|8m42e290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;35?!05<3;=7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th9884?:283>5}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<84$707>4027[i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d6<729q/>lm51d28L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&561{M0a4?7|^m=1>v*>e9820d=Q9=81>v*924823>"1:=0:;6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=:5+636952=zutw0e<;9:18'6de=9=30Z?oj:0yO6g6=9r\o;74313_;?>741<,?8?6<94}|~?l7193:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?91:T207<5s-<997?8;%410?703twvq6g>6583>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>=1]=9<52z&560<6?2.=>94>7:~yx=n9??1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74023_;?>741<,?8?6<94}|~?l71>3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?96:T207<5s-<997?8;%410?703twvq6g>6683>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>>1]=9<52z&560<6?2.=>94>7:~yx=n9?21<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6517:8R4252;q/:?;5169'272=9>1vqps4i04:>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<27[?;2;0x 34228=0(;<;:058yx{z3`;?o7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37g>P6<;09w)8=5;34?!05<3;<7psr}:k20a<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8i5Y15096~"1:<0:;6*925823>{zut1b=9k50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951c<^8>96?u+637952=#>;>1=:5r}|8m42a290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:i;W376?4|,?8>6o5+6369f>{zut1b=8>50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9506<^8>96?u+6379f>"1:=0i7psr}:k214<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9<5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c07=?6=:3:1N5i91b=9750;&1ef<6<010c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f72a29096=4?{%0bg?db3A8j<6g>4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e:<91<7<50;2x 7gd2ko0D?o?;h37=?6=,;kh6<:6;:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0m7)8=4;d8yx{z3th98l4?:283>5}#:hi1>l74H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi>9l50;394?6|,;kh6?l<;I0b4>i6m80;6)=zj;>o6=4<:183!4fk3=>7E{zut1b=9l50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228<0(;<;:048yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c07a?6=;3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637953=#>;>1=;5r}|8m42e290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<>4$707>4650z&1ef<6m91C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422k1/:?:5b:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&5604?:1y'6de=?<1C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228;0(;<;:038yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>7:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;34?!05<3;<7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0:=6*925825>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a604=8391<7>t$3c`>4c73A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f73329096=4?{%0bg?db3A8j<6g>4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e:<21<7<50;2x 7gd2ko0D?o?;h37=?6=,;kh6<:6;:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th99k4?:383>5}#:hi1nh5G2`28m42>290/>lm515;8?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997h4$707>c=zutw0qo<:5;297?6=8r.9mn4=a89K6d6j6=4+2`a951?<^;kn6=9=h0Z<:=:3y'273=j2.=>94m;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d4<729q/>lm52c18L7g73f;n=7>5$3c`>4c632wi>8950;194?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi>8750;494?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?>;%410?763twvq6g>4c83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=:5+636952=zutw0e<;9:18'6de=9=30Z?oj:0yO6g6=9r\o;74313_;?>741<,?8?6<94}|~?l7193:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*924823>"1:=0:;6sr}|9j532=83.9mn4>489K6dd<^;kn6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{m7>58;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=<5+636954=zutw0e<:m:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924823>"1:=0:;6sr}|9j537=83.9mn4>489K6dd<^;kn6P5il0:wA659U514=:r.=>84>7:&561<6?2wvqp5f17794?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<8:;W376?4|,?8>6<94$707>4127E{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a60d=8321<7>t$3c`>23<@;k;7d?;a;29 7gd28>27[;|~y>o6P5il0:wA4c9U514=:r.=>84>7:&561<6?2wvqp5f14494?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9<<0Z<:=:3y'273=9>1/:?:5169~yx{o6>=0;6)N5ik1]>lk51zN1f5<6s_n<6?u+1d:9532<^8>96?u+637952=#>;>1=:5r}|8m402290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;351>P6<;09w)8=5;34?!05<3;<7psr}:k223<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651748R4252;q/:?;5169'272=9>1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj;?h6=47:183!4fk3=>7E{zut1b=9l50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228;0(;<;:038yx{z3`;>:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;34?!05<3;<7psr}:k224<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651738R4252;q/:?;5169'272=9>1vqps4i047>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?94:T207<5s-<997?8;%410?703twvq6g>6483>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;;4V061>7}#>;?1=:5+636952=zutw0e<89:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;<7)8=4;34?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`11a<7210;6=u+2`a930=O:h:0e<:n:18'6de=9=30Z?oj:0yO6g6=9r\o;742f3_;?>747<,?8?65783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560<6?2.=>94>7:~yx=n9?;1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74063_;?>741<,?8?6<94}|~?l71<3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98221=Q9=81>v*924823>"1:=0:;6sr}|9j533=83.9mn4>489K6dd<^;kn6P5il0:wA679U514=:r.=>84>7:&561<6?2wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e:5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;`8 3432k1vqps4i075>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 3422k1/:?:5b:~yx=n9?;1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651738R4252;q/:?;5b:&5616583>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>=1]=9<52z&560g=zutw0qo<90;296?6=8r.9mn4me:J1e5=n9=31<7*=ab820<=5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a63d=8381<7>t$3c`>gc<@;k;7d?;9;29 7gd28>276a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&56080;6>4?:1y'6de=:h30D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{i6=4+2`a951?<^;kn6=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e:?81<7?50;2x 7gd2;h87E4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f70229036=4?{%0bg?123A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9=h1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742e3_;?>741<,?8?6<94}|~?l72>3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997?8;%410?703twvq6g>6083>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1=:5+636952=zutw0e<8;:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;<7)8=4;34?x{zu2c::84?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9??0Z<:=:3y'273=9>1/:?:5169~yx{2\:8?4={%411?703-<987?8;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d47=83:p(?ol:678L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;32?!05<3;:7psr}:k20g<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5109'272=981vqps4i075>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228=0(;<;:058yx{z3`;==7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6>81]=9<52z&560<6?2.=>94>7:~yx=n9?>1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74033_;?>741<,?8?6<94}|~?l71=3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98220=Q9=81>v*924823>"1:=0:;6sr}|9j530=83.9mn4>489K6dd<^;kn6P5il0:wA669U514=:r.=>84>7:&561<6?2wvqp5f17:94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<87;W376?4|,?8>6<94$707>4127[lm515;8R7gb28qG>o>51zTg3?4|,8o36<:l;W376?4|,?8>6o5+6369f>{zut1b=9j50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951b<^8>96?u+6379f>"1:=0i7psr}:k20`<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8h5Y15096~"1:<0i7)8=4;`8yx{z3`;?j7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37b>P6<;09w)8=5;`8 3432k1vqps4i073>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?;7[?;2;0x 3422k1/:?:5b:~yx=n9<;1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651438R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560>0;6?0D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924823>"1:=0:;6sr}|9j500=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1=:5+636952=zutw0e<8>:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;<7)8=4;34?x{zu2c::94?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9?>0Z<:=:3y'273=9>1/:?:5169~yx{6=4+2`a951?<@;ki7[o6>?0;6)N5ik1]>lk51zN1f5<6s_n<6?u+1d:9530<^8>96?u+637952=#>;>1=:5r}|8m400290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;353>P6<;09w)8=5;34?!05<3;<7psr}:k22=<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6517:8R4252;q/:?;5169'272=9>1vqps4i04:>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<27[?;2;0x 3422k1/:?:5b:~yx=n9=i1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515a8R4252;q/:?;5b:&5614e83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0e<:i:18'6de=9=30Z?oj:0yO6g6=9r\o;742a3_;?>7g=#>;>1n6sr}|9j506=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=8>4V061>7}#>;?1n6*9258a?x{zu2c:9<4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98214=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{51083>5}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>64727E{zut1b=8850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+637952=#>;>1=:5r}|8m406290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;355>P6<;09w)8=5;34?!05<3;<7psr}:k221<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651768R4252;q/:?;5169'272=9>1vqps4i046>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?95:T207<5s-<997?8;%410?703twvq6g>6783>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;84V061>7}#>;?1=:5+636952=zutw0e<88:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;<7)8=4;34?x{zu2c::54?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9?20Z<:=:3y'273=9>1/:?:5169~yx{=9=i0Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4e9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<:i;W376?4|,?8>6o5+6369f>{zut1b=8>50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9506<^8>96?u+6379f>"1:=0i7psr}:k214<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9<5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c05=?6=980;6=u+2`a930=O:h:0e<:n:18'6de=9=30Z?oj:0yO6g6=9r\o;742f3_;?>747<,?8?65783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560<6?2.=>94>7:~yx=n9?;1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74063_;?>741<,?8?6<94}|~?l71<3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98221=Q9=81>v*924823>"1:=0:;6sr}|9j533=83.9mn4>489K6dd<^;kn6P5il0:wA679U514=:r.=>84>7:&561<6?2wvqp5f17594?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<88;W376?4|,?8>6<94$707>4127E{zut1b=;750;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:953?<^8>96?u+6379f>"1:=0i7psr}:k20f<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8n5Y15096~"1:<0i7)8=4;`8yx{z3`;?h7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37`>P6<;09w)8=5;`8 3432k1vqps4i06f>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>n7[?;2;0x 3422k1/:?:5b:~yx=n9=l1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515d8R4252;q/:?;5b:&5615183>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=91]=9<52z&560g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f70f290=6=4?{%0bg?7b82B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4c9U514=:r.=>84m;%410?d27[2\:8?4={%411?d<,?8?6o5r}|8m406290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6o5+6369f>{zut1b=;:50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9532<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th9:n4?:383>5}#:hi1nh5G2`28m42>290/>lm515;8?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo<80;296?6=8r.9mn4me:J1e5=n9=31<7*=ab820<=5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379b>"1:=0m7psr}:a63b=8391<7>t$3c`>7g>3A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f70b290:6=4?{%0bg?4e;2B9m=5`1d394?"5ij0:i<54}c05b?6=;3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+6379f>"1:=0i7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c045?6=;3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637954=#>;>1=<5r}|8m42e290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;34?!05<3;<7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th9;?4?:283>5}#:hi1;85G2`28m42f290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;34?!05<3;<7psr}:k20g<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5169'272=9>1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj;=86=4<:183!4fk3=>7E1vqps4i06a>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?8;%410?703twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560?0D?o?;h37e?6=,;kh6<:6;I0bf>P5il0:wA4`9U514=:r.=>84>1:&561<692wvqp5f15`94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>64727[2\:8?4={%411?763-<987?>;|~y>o6>80;6)P5il0:wA609U514=:r.=>84>1:&561<692wvqp5f17694?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?>0Z<:=:3y'273=981/:?:5109~yx{6=4+2`a951?<^;kn64381!05=3;:7)8=4;32?x{zu2c:::4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98222=Q9=81>v*924825>"1:=0:=6sr}|9j53>=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;64V061>7}#>;?1=<5+636954=zutw0e<86:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:8n4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9=i0Z<:=:3y'273=9>1/:?:5169~yx{o6=4+2`a951?<^;kn6=9=o0Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4g9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;>;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a623=83;:6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=<5+636954=zutw0e<:m:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924825>"1:=0:=6sr}|9j537=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1=<5+636954=zutw0e<8;:18'6de=9=30Z?oj:0yO6g6=9r\o;74033_;?>747<,?8?6;%410?763twvq6g>6783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>?1]=9<52z&560<692.=>94>1:~yx=n9?=1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651758R4252;q/:?;5109'272=981vqps4i04;>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<37[?;2;0x 34228;0(;<;:038yx{z3`;=57>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6>01]=9<52z&560<692.=>94>1:~yx=n9=i1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742d3_;?>741<,?8?6<94}|~?l73l3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;d:T207<5s-<997l4$707>g=zutw0e<:j:18'6de=9=30Z?oj:0yO6g6=9r\o;742b3_;?>7g=#>;>1n6sr}|9j51`=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9h4V061>7}#>;?1n6*9258a?x{zu2c:9=4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98215=Q9=81>v*9248a?!05<3h0qpsr;h365?6=,;kh6<:6;W0ba?7|D;h;6=6X>4381!05=3h0(;<;:c9~yx{5<1290;w)N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+6379f>"1:=0i7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0i7)8=4;`8yx{z3`;>:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;`8 3432k1vqps4i042>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<:7[?;2;0x 3422k1/:?:5b:~yx=n9?>1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651768R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{52;294~"5ij0ii6F=a19j51?=83.9mn4>4898k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6k5+6369b>{zut1vn?96:180>5<7s-8jo74c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0qo<8a;295?6=8r.9mn4=b29K6d65}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<>4$707>4627E{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a62b=8391<7>t$3c`>23<@;k;7d?;a;29 7gd28>27[o6P5il0:wA4c9U514=:r.=>84>0:&561<682wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e:>h1<7=50;2x 7gd28o;7E5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;`8 3432k1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj;=m6=4=:183!4fk3hn7Ee09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi>5;50;094?6|,;kh6ok4H3c3?l7313:1(?ol:06:?>i6m80;6)P5il0:wAe09U514=:r.=>84i;%410?`6<729q/>lm52`;8L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615<7s-8jo79:;I0b4>o6P5il0:wA4`9U514=:r.=>84>0:&561<682wvqp5f15`94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=9?1/:?:5179~yx{5<4290;w){M0a4?7|^m=1>v*>e9820d=Q9=81>v*924824>"1:=0:<6sr}|9j51d=83.9mn4>489K6dd<^;kn6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{7>53;294~"5ij0:i=5G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6o5+6369f>{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th94;4?:383>5}#:hi1nh5G2`28m42>290/>lm515;8?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo<7c;296?6=8r.9mn4me:J1e5=n9=31<7*=ab820<=5<4290;w)N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+6379f>"1:=0i7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c0;:18'6de=9l;07pl=8`83>6<729q/>lm5749K6d6j6=4+2`a951?<^;kn6P5il0:wA4c9U514=:r.=>84>6:&561<6>2wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e:1h1<7=50;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3;;7)8=4;33?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924822>"1:=0::6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi>5750;194?6|,;kh6o6P5il0:wA4`9U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn8k;:181>5<7s-8jo7lj;I0b4>o6<00;6)=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>32e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`6a`<72;0;6=u+2`a9f`=O:h:0e<:6:18'6de=9=307b?j1;29 7gd28o:7[50z&1ef<5i01C>l>4i06b>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi9h850;394?6|,;kh6?l<;I0b4>i6m80;6)=zj7E5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997??;%410?773twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560?0D?o?;h37e?6=,;kh6<:6;I0bf>P5il0:wA4`9U514=:r.=>84>1:&561<692wvqp5f15`94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>64727[2\:8?4={%411?763-<987?>;|~y>o6>80;6)P5il0:wA609U514=:r.=>84>1:&561<692wvqp5f17694?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?>0Z<:=:3y'273=981/:?:5109~yx{6=4+2`a951?<^;kn64381!05=3;:7)8=4;32?x{zu2c:::4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98222=Q9=81>v*924825>"1:=0:=6sr}|9j53>=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;64V061>7}#>;?1=<5+636954=zutw0e<86:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:8n4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9=i0Z<:=:3y'273=981/:?:5109~yx{o6=4+2`a951?<@;ki7[o6P5il0:wA4d9U514=:r.=>84>7:&561<6?2wvqp5f15d94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=l0Z<:=:3y'273=j2.=>94m;|~y>o6=90;6)P5il0:wA519U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn8kn:1825?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742f3_;?>747<,?8?6{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924825>"1:=0:=6sr}|9j500=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1=<5+636954=zutw0e<8>:18'6de=9=30Z?oj:0yO6g6=9r\o;74063_;?>747<,?8?6;%410?763twvq6g>6483>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6><1]=9<52z&560<692.=>94>1:~yx=n9?<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651748R4252;q/:?;5109'272=981vqps4i044>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<<7[?;2;0x 34228;0(;<;:038yx{z3`;=47>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;35<>P6<;09w)8=5;32?!05<3;:7psr}:k22<<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6517;8R4252;q/:?;5109'272=981vqps4i06`>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;c:T207<5s-<997?8;%410?703twvq6g>4e83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>7:~yx=n9=o1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515g8R4252;q/:?;5169'272=9>1vqps4i06e>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>m7[?;2;0x 3422k1/:?:5b:~yx=n9<:1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651428R4252;q/:?;5b:&5615083>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=81]=9<52z&560g=zutw0qo;jb;2954<729q/>lm5749K6d6j6=4+2`a951?<@;ki7[;|~y>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637954=#>;>1=<5r}|8m431290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>64727[;|~y>o6>=0;6)P5il0:wA659U514=:r.=>84>1:&561<692wvqp5f17794?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9??0Z<:=:3y'273=981/:?:5109~yx{4381!05=3;:7)8=4;32?x{zu2c::54?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9822==Q9=81>v*924825>"1:=0:=6sr}|9j53?=83.9mn4>489K6dd<^;kn6P5il0:wA4b9U514=:r.=>84>1:&561<692wvqp5f15f94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:k;W376?4|,?8>6<94$707>4127[o6P5il0:wA4g9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;>;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a1`e=83;:6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=<5+636954=zutw0e<:m:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924825>"1:=0:=6sr}|9j537=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1=<5+636954=zutw0e<8;:18'6de=9=30Z?oj:0yO6g6=9r\o;74033_;?>747<,?8?6;%410?763twvq6g>6783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>?1]=9<52z&560<692.=>94>1:~yx=n9?=1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651758R4252;q/:?;5109'272=981vqps4i04;>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<37[?;2;0x 34228;0(;<;:038yx{z3`;=57>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6>01]=9<52z&560<692.=>94>1:~yx=n9=i1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742d3_;?>741<,?8?6<94}|~?l73l3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;d:T207<5s-<997?8;%410?703twvq6g>4d83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>7:~yx=n9=l1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515d8R4252;q/:?;5b:&5615183>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=91]=9<52z&560g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f0cc290>6=4?{%0bg?7b82B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4c9U514=:r.=>84m;%410?d27[2\:8?4={%411?d<,?8?6o5r}|8m406290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a0`>=8381<7>t$3c`>gc<@;k;7d?;9;29 7gd28>276a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3l0(;<;:g9~yx{n57>53;294~"5ij09m45G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6o5+6369f>{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th?il4?:083>5}#:hi1>o=4H3c3?j7b93:1(?ol:0g2?>{e?0D?o?;h37e?6=,;kh6<:6;I0bf>P5il0:wA4`9U514=:r.=>84>6:&561<6>2wvqp5f15`94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<84$707>407>50z&1ef5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj<>i6=49:183!4fk3;?;6F=a19'53g=901b=9750;&1ef<6<01]>lk51zN1f5<6s_;o<742>3_;?>7g=#>;>1n6sr}|9j`5<72-8jo7j?;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{P5il09wA84k;%410?blh54zT1=a<4s_n>6?u+6319`4=#;l31:?;4}Wf5>7}#>;91=974$2g:>3423t.=n54>3:U6a7<,:o26;<:;|Tg2?4|,?886<:6;%1f=?05<2w/:o65129~R7?c2:q]h84={%417?b63-9n578=5:U`3<5s-<9?7j?;%1f=?05=2w/:o65c:U5`1=:r\o97a7<,:o26;<:;|&5f=<602wvq6g=9b83>!4fk382o6X=ad81I4e83;pZ=:0i0Z<:=:3y'273=9>1/:?:5169~yxJ5io0:w[>4=9b9'7`?=>;?0q)8m8;34?x{z3`83h7>5$3c`>7>c3_8ji74}Q9m:1>v*>e981v*924823>"1:=0:;6sr}M0bb?7|^;k>6?uYd481!05;383h6*lm51d38?xd2<90;6>4?:1y'6de=:h30D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{i6=4+2`a951?<^;kn6=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e==;1<7?50;2x 7gd2;h87E4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5179'272=9?1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj<>?6=4<:183!4fk3=>7E{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637953=#>;>1=;5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn8:::180>5<7s-8jo79:;I0b4>o6P5il0:wA4`9U514=:r.=>84>6:&561<6>2wvqp5f15`94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=9?1/:?:5179~yx{5<4290;w){M0a4?7|^m=1>v*>e9820d=Q9=81>v*9248a?!05<3h0qpsr;h37f?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{5<4290;w){M0a4?7|^m=1>v*>e9820d=Q9=81>v*9248a?!05<3h0qpsr;h37f?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{5<4290;w)=9=k0Z<:=:3y'273=9?1/:?:5179~yx{i6=4+2`a951?<^;kn6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{53;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=;5+636953=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>740<,?8?6<84}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo;;2;29e19K6d6j6=4+2`a951?<^;kn6=9=h0Z<:=:3y'273=j2.=>94m;|~y>o6=?0;6)P5il0:wA579U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<8;;W376?4|,?8>6o5+6369f>{zut1b=;;50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9533<^8>96?u+6379f>"1:=0i7psr}:k223<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::;5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c754?6=:3:1N5i91b=9750;&1ef<6<010c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f00329096=4?{%0bg?db3A8j<6g>4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e=?k1<7850;2x 7gd28><7E{M0a4?7|^8n;6?u+1d:951?<^8>96?u+6379f>"1:=0i7psr}:kg4?6=,;kh6i>4V3cf>4}K:k:1=vX>d181!7b03n;7[?;2;0x 3422k1/:?:5b:~yx=nl80;6)a=zutF9mk4;{W0:`?5|^m?1>v*9228g5>"4m00=>85rVe496~"1::0:845+3d;92736?u+6319`4=#;l31:?;4}Wf5>7}#>;91=974$2g:>3433t.=n54>3:U6a7<,:o26;<:;|Tg2?4|,?886i>4$2g:>3423t.=n54l;|T2a2<5s_n>6?u+6319`4=#;l31:?;4}%4a52z&2a=<51j1]=9<52z&560<6?2.=>94>7:~yI4fn3;pZ?o::3yU`0<5s-<9?7<6c:&0a<<1:<1v(;l7:058yx{P6<;09w)8=5;34?!05<3;<7psrL3ce>4}Q:h?1>vXk5;0x 3442;2o7)=j9;411>{#>k21=:5r}|9l5`7=83.9mn4>e098yg3193:1?7>50z&1ef<5i01C>l>4i06b>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi9;<50;394?6|,;kh6?l<;I0b4>i6m80;6)=zj<<86=4<:183!4fk3=>7E5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?9;%410?713twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560<0;694?:1y'6de=?<1C>l>4i06b>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?8;%410?703twvq6g>4c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>7:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5169'272=9>1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj<<=6=4;:183!4fk3=>7E{zut1b=9l50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228=0(;<;:058yx{z3`;>:7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560<6?2.=>94>7:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;34?!05<3;<7psr}:k20g<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5169'272=9>1vqps4i075>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997?8;%410?703twvq6g>6083>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1=:5+636952=zutw0e<8;:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;<7)8=4;34?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`62=<72=0;6=u+2`a95`6<@;k;7d?;a;29 7gd28>27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6o5+6369f>{zut1b=8850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th>5:4?:383>5}#:hi1nh5G2`28m42>290/>lm515;8?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo;6b;296?6=8r.9mn4me:J1e5=n9=31<7*=ab820<=5<1290;w)N5i91/=;o5189j51?=83.9mn4>489U6dc=9rF9n=4>{W3g4?4|,8o36<:6;W376?4|,?8>6o5+6369f>{zut1bh=4?:%0bg?b73_8ji7?tL3`3>4}Q9m:1>v*>e98g4>P6<;09w)8=5;`8 3432k1vqps4ie394?"5ij0o=6X=ad81I4e83;pZ=l81]=9<52z&5607}#>;91h<5+3d;92734j53zTg1?4|,?886i?4$2g:>3423t\o:742>3-9n578=4:'2g>=9:1vZ?7k:2yU`0<5s-<9?7j>;%1f=?05=2w]h;4={%417?b73-9n578=5:'2g>=k2w]=h952zTg1?4|,?886i?4$2g:>3423t.=n54>8:~y>o51j0;6)P5il09wA1vqpB=ag82S4f=38pZi;52z&566<51j1/?h756378y!0e03;<7psr;h0;`?6=,;kh6?6k;W0ba?4|D;h;6{zuE8jj7?tV3c6>7}Ql<09w)8=3;0;`>"4m00=>85r$7`;>41:18'6de=9l;07pl:9983>6<729q/>lm52`;8L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615<7s-8jo79:;I0b4>o6P5il0:wA4`9U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn87l:187>5<7s-8jo79:;I0b4>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637952=#>;>1=:5r}|8m42e290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<94$707>4127[2\:8?4={%411?703-<987?8;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d0<729q/>lm5749K6d6j6=4+2`a951?<@;ki7[;|~y>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637954=#>;>1=<5r}|8m431290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;34?!05<3;<7psr}:k224<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::<5Y15096~"1:<0:;6*925823>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a1t$3c`>23<@;k;7d?;a;29 7gd28>27[;|~y>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637952=#>;>1=:5r}|8m431290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;34?!05<3;<7psr}:k224<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::<5Y15096~"1:<0:;6*925823>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a1<`=83<1<7>t$3c`>23<@;k;7d?;a;29 7gd28>27E{zut1b=9l50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228;0(;<;:038yx{z3`;>:7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560<692.=>94>1:~yx=n9?;1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651738R4252;q/:?;5169'272=9>1vqps4i047>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?285$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c7b4?6=>3:1N5i91b=9o50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228;0(;<;:038yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5169'272=9>1vqps4i042>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<:7[?;2;0x 34228=0(;<;:058yx{z3`;=87>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;350>P6<;09w)8=5;34?!05<3;<7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th>m<4?:783>5}#:hi1=h>4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9j500=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1n6*9258a?x{zu2c::<4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*9248a?!05<3h0qpsr;h350?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a5a0=8381<7>t$3c`>gc<@;k;7d?;9;29 7gd28>276a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&5600;6?4?:1y'6de=jl1C>l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{52;294~"5ij0ii6F=a19j51?=83.9mn4>4898k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6k5+6369b>{zut1vn5<7s-8jo74c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0qo?k9;295?6=8r.9mn4=b29K6d65}#:hi1>o=4H3c3?j7b93:1(?ol:0g2?>{e9mi1<7=50;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;I0bf>P5il0:wA4`9U514=:r.=>84j;%410?c27E5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c01=?6=:3:1N5i91b=9750;&1ef<6<010c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f74c29096=4?{%0bg?db3A8j<6g>4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e::>1<7<50;2x 7gd2ko0D?o?;h37=?6=,;kh6<:6;:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0m7)8=4;d8yx{z3th9>l4?:283>5}#:hi1>l74H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi>?l50;394?6|,;kh6?l<;I0b4>i6m80;6)=zj;8h6=4<:183!4fk3=>7E5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;`8 3432k1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj;8n6=49:183!4fk3=>7E5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?>;%410?763twvq6g>5783>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1=<5+636954=zutw0e<8>:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;<7)8=4;34?x{zu2c::94?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98221=Q9=81>v*924823>"1:=0:;6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi>?h50;494?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820d=Q9=81>v*924825>"1:=0:=6sr}|9j51d=83.9mn4>489K6dd<^;kn6P5il0:wA579U514=:r.=>84>1:&561<692wvqp5f17394?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6<94$707>4127[i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d3<729q/>lm5749K6d6j6=4+2`a951?<@;ki7[;|~y>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637954=#>;>1=<5r}|8m431290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;32?!05<3;:7psr}:k224<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651738R4252;q/:?;5169'272=9>1vqps4i047>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?285$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c005?6=>3:1N5i91b=9o50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228;0(;<;:038yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9<<1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74313_;?>747<,?8?6{M0a4?7|^m=1>v*>e98224=Q9=81>v*924823>"1:=0:;6sr}|9j532=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;:4V061>7}#>;?1=:5+636952=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f755290<6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=<5+636954=zutw0e<:m:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:9;4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9<<0Z<:=:3y'273=981/:?:5109~yx{o6>=0;6)P5il0:wA659U514=:r.=>84>7:&561<6?2wvqp5f17794?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9??0Z<:=:3y'273=9>1/:?:5169~yx{5<1290;w)N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+6379f>"1:=0i7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0i7)8=4;`8yx{z3`;>:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;`8 3432k1vqps4i042>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<:7[?;2;0x 3422k1/:?:5b:~yx=n9?>1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651768R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi8k850;494?6|,;kh6<:8;I0b4>"6>h0:m6g>4883>!4fk3;?56X=ad82I4e83;pZ=9=30Z<:=:3y'273=j2.=>94m;|~y>oc83:1(?ol:e28R7gb28qG>o>51zT2`5<5s-;n47j?;W376?4|,?8>6o5+6369f>{zut1bh<4?:%0bg?b63_8ji74}Q9m:1>v*>e98g5>P6<;09w)8=5;f8 3432m1vqpB=ag87S4>l39pZi;52z&566>4>489'7`?=>;?0q)8m8;30?xP51m08w[j::3y'275=l81/?h756378ySb12;q/:?=515;8 6c>2?8?7p*9b9827>{Q:0n1?vXk5;0x 3442m;0(>k6:706?xPc>38p(;<<:e28 6c>2?8>7p*9b98`?xP6m>09w[j::3y'275=l81/?h756378y!0e03;37psr;h0:g?6=,;kh6?7l;W0ba?4|D;h;6{zuE8jj7?tV3c6>7}Ql<09w)8=3;0:g>"4m00=>85r$7`;>41l;52zTg1?4|,?886?6k;%1f=?05=2w/:o65169~yx=h9l;1<7*=ab82a4=m<7>53;294~"5ij09m45G2`28m42f290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615<7s-8jo79:;I0b4>o6P5il0:wA4`9U514=:r.=>84>6:&561<6>2wvqp5f15`94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=9?1/:?:5179~yx{5<4290;w)=9=k0Z<:=:3y'273=9?1/:?:5179~yx{i6=4+2`a951?<@;ki7[i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d6<729q/>lm51d28L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>32e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`a`?6=:3:1N5i91b=9750;&1ef<6<010c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f06a29096=4?{%0bg?db3A8j<6g>4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e=8=1<7850;2x 7gd28><7E{M0a4?7|^8n;6?u+1d:951?<^8>96?u+6379f>"1:=0i7psr}:kg4?6=,;kh6i>4V3cf>4}K:k:1=vX>d181!7b03n;7[?;2;0x 3422k1/:?:5b:~yx=nl80;6)a=zutF9mk4;{W0:`?5|^m?1>v*9228g5>"4m00=>85rVe496~"1::0:845+3d;92736?u+6319`4=#;l31:?;4}Wf5>7}#>;91=974$2g:>3433t.=n54>3:U6a7<,:o26;<:;|Tg2?4|,?886i>4$2g:>3423t.=n54l;|T2a2<5s_n>6?u+6319`4=#;l31:?;4}%4a52z&2a=<51j1]=9<52z&560<6?2.=>94>7:~yI4fn3;pZ?o::3yU`0<5s-<9?7<6c:&0a<<1:<1v(;l7:058yx{P6<;09w)8=5;34?!05<3;<7psrL3ce>4}Q:h?1>vXk5;0x 3442;2o7)=j9;411>{#>k21=:5r}|9l5`7=83.9mn4>e098yg37k3:1?7>50z&1ef<5i01C>l>4i06b>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi9=j50;394?6|,;kh6?l<;I0b4>i6m80;6)=zj<:n6=4<:183!4fk3=>7E5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;`8 3432k1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj<;;6=4=1;294~"5ij0<96F=a19j51g=83.9mn4>489K6dd<^;kn6P5il0:wA4c9U514=:r.=>84>1:&561<692wvqp5f14494?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9<<0Z<:=:3y'273=981/:?:5109~yx{4381!05=3;:7)8=4;32?x{zu2c::84?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98220=Q9=81>v*924825>"1:=0:=6sr}|9j530=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;84V061>7}#>;?1=<5+636954=zutw0e<88:18'6de=9=30Z?oj:0yO6g6=9r\o;74003_;?>747<,?8?6;%410?763twvq6g>6883>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>01]=9<52z&560<692.=>94>1:~yx=n9=i1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515a8R4252;q/:?;5109'272=981vqps4i06g>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>o7[?;2;0x 34228;0(;<;:038yx{z3`;?i7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37a>P6<;09w)8=5;32?!05<3;:7psr}:k20c<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8k5Y15096~"1:<0:=6*925825>{zut1b=8>50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28?;7[?;2;0x 34228;0(;<;:038yx{z3`;>=7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;365>P6<;09w)8=5;32?!05<3;:7psr}:k217<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9?5Y15096~"1:<0:;6*925823>{zut1b=8=50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9505<^8>96?u+637952=#>;>1=:5r}|8m433290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;360>P6<;09w)8=5;34?!05<3;<7psr}:k210<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:985Y15096~"1:<0:;6*925823>{zut1b=8950;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9501<^8>96?u+6379f>"1:=0i7psr}:k21=<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:955Y15096~"1:<0i7)8=4;`8yx{z3`;>57>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;36=>P6<;09w)8=5;`8 3432k1vqps4i07b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?j7[?;2;0x 3422k1/:?:5b:~yx=n95b83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=j1]=9<52z&560g=zutw0e<;j:18'6de=9=30Z?oj:0yO6g6=9r\o;743b3_;?>7g=#>;>1n6sr}|9j50`=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=8h4V061>7}#>;?1n6*9258a?x{zu2c::=4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98225=Q9=81>v*9248a?!05<3h0qpsr;h356?6=,;kh6<:6;W0ba?7|D;h;66X>4381!05=3h0(;<;:c9~yx{=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e=8;1<7<>:183!4fk3=>7E5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?>;%410?763twvq6g>5783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560<692.=>94>1:~yx=n9?;1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651738R4252;q/:?;5109'272=981vqps4i047>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?285$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;351>P6<;09w)8=5;32?!05<3;:7psr}:k223<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::;5Y15096~"1:<0:=6*925825>{zut1b=;950;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9531<^8>96?u+637954=#>;>1=<5r}|8m40?290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<87;W376?4|,?8>64727[;|~y>o6P5il0:wA4b9U514=:r.=>84>1:&561<692wvqp5f15f94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=n0Z<:=:3y'273=981/:?:5109~yx{n6=4+2`a951?<^;kn64381!05=3;:7)8=4;32?x{zu2c:9=4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9<:0Z<:=:3y'273=981/:?:5109~yx{>6X>4381!05=3;<7)8=4;34?x{zu2c:9>4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98216=Q9=81>v*924823>"1:=0:;6sr}|9j502=83.9mn4>489K6dd<^;kn696X>4381!05=3;<7)8=4;34?x{zu2c:9:4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98212=Q9=81>v*9248a?!05<3h0qpsr;h3646X>4381!05=3h0(;<;:c9~yx{=994m;|~y>o6=k0;6)P5il0:wA5c9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;k;W376?4|,?8>6o5+6369f>{zut1b=8k50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:950c<^8>96?u+6379f>"1:=0i7psr}:k21c<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9k5Y15096~"1:<0i7)8=4;`8yx{z3`;=<7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;354>P6<;09w)8=5;`8 3432k1vqps4i041>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<97[?;2;0x 3422k1/:?:5b:~yx=n9?91<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651718R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560?0D?o?;h37e?6=,;kh6<:6;I0bf>P5il0:wA4`9U514=:r.=>84>1:&561<692wvqp5f15`94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>64727[2\:8?4={%411?763-<987?>;|~y>o6>80;6)P5il0:wA609U514=:r.=>84>1:&561<692wvqp5f17694?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?>0Z<:=:3y'273=981/:?:5109~yx{6=4+2`a951?<^;kn64381!05=3;:7)8=4;32?x{zu2c:::4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98222=Q9=81>v*924825>"1:=0:=6sr}|9j53>=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;64V061>7}#>;?1=<5+636954=zutw0e<86:18'6de=9=30Z?oj:0yO6g6=9r\o;740>3_;?>747<,?8?6{M0a4?7|^m=1>v*>e9820f=Q9=81>v*924825>"1:=0:=6sr}|9j51b=83.9mn4>489K6dd<^;kn6P5il0:wA4d9U514=:r.=>84>1:&561<692wvqp5f15d94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:i;W376?4|,?8>64727E{zut1b=8?50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28?:7[?;2;0x 34228;0(;<;:038yx{z3`;>>7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;366>P6<;09w)8=5;32?!05<3;:7psr}:k216<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9>5Y15096~"1:<0:;6*925823>{zut1b=8:50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9502<^8>96?u+637952=#>;>1=:5r}|8m432290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;361>P6<;09w)8=5;34?!05<3;<7psr}:k212<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9:5Y15096~"1:<0i7)8=4;`8yx{z3`;>47>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;36<>P6<;09w)8=5;`8 3432k1vqps4i07:>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?27[?;2;0x 3422k1/:?:5b:~yx=n95c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=k1]=9<52z&560g=zutw0e<;k:18'6de=9=30Z?oj:0yO6g6=9r\o;743c3_;?>7g=#>;>1n6sr}|9j50c=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=8k4V061>7}#>;?1n6*9258a?x{zu2c:9k4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9821c=Q9=81>v*9248a?!05<3h0qpsr;h354?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{=9?90Z<:=:3y'273=j2.=>94m;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d77=83:p(?ol:678L7g73`;?m7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9=h1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742e3_;?>747<,?8?63:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997?>;%410?763twvq6g>6083>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>81]=9<52z&560<692.=>94>1:~yx=n9?>1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651768R4252;q/:?;5109'272=981vqps4i046>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<>7[?;2;0x 34228;0(;<;:038yx{z3`;=:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;352>P6<;09w)8=5;32?!05<3;:7psr}:k222<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:::5Y15096~"1:<0:=6*925825>{zut1b=;650;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:953><^8>96?u+637954=#>;>1=<5r}|8m40>290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<86;W376?4|,?8>64727E{zut1b=9j50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>o7[?;2;0x 34228;0(;<;:038yx{z3`;?i7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9=l1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742a3_;?>747<,?8?6{M0a4?7|^m=1>v*>e98215=Q9=81>v*924825>"1:=0:=6sr}|9j507=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=8?4V061>7}#>;?1=<5+636954=zutw0e<;=:18'6de=9=30Z?oj:0yO6g6=9r\o;74353_;?>741<,?8?6<94}|~?l72;3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?:3:T207<5s-<997?8;%410?703twvq6g>5583>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=8:4V061>7}#>;?1=:5+636952=zutw0e<;::18'6de=9=30Z?oj:0yO6g6=9r\o;74323_;?>741<,?8?6<94}|~?l72?3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?:7:T207<5s-<997l4$707>g=zutw0e<;7:18'6de=9=30Z?oj:0yO6g6=9r\o;743?3_;?>7g=#>;>1n6sr}|9j50?=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=874V061>7}#>;?1n6*9258a?x{zu2c:9l4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9821d=Q9=81>v*9248a?!05<3h0qpsr;h36f?6=,;kh6<:6;W0ba?7|D;h;6n6X>4381!05=3h0(;<;:c9~yx{=994m;|~y>o6=l0;6)P5il0:wA5d9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<8?;W376?4|,?8>6o5+6369f>{zut1b=;<50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9534<^8>96?u+6379f>"1:=0i7psr}:k226<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::>5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c720?6=:80;6=u+2`a930=O:h:0e<:n:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:8o4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=981/:?:5109~yx{4381!05=3;:7)8=4;32?x{zu2c::94?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98221=Q9=81>v*924825>"1:=0:=6sr}|9j533=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;;4V061>7}#>;?1=<5+636954=zutw0e<89:18'6de=9=30Z?oj:0yO6g6=9r\o;74013_;?>747<,?8?6;%410?763twvq6g>6983>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>11]=9<52z&560<692.=>94>1:~yx=n9?31<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6517;8R4252;q/:?;5109'272=981vqps4i06`>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;c:T207<5s-<997?>;%410?763twvq6g>4e83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9j4V061>7}#>;?1=<5+636954=zutw0e<:j:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:8k4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9=l0Z<:=:3y'273=981/:?:5109~yx{;|~y>o6=80;6)P5il0:wA509U514=:r.=>84>1:&561<692wvqp5f14094?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9<80Z<:=:3y'273=9>1/:?:5169~yx{P5il0:wA559U514=:r.=>84>7:&561<6?2wvqp5f14794?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=91/:?:5169~yx{=9<20Z<:=:3y'273=j2.=>94m;|~y>o6=00;6)P5il0:wA589U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;m;W376?4|,?8>6o5+6369f>{zut1b=8m50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:950e<^8>96?u+6379f>"1:=0i7psr}:k21a<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9i5Y15096~"1:<0i7)8=4;`8yx{z3`;>i7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;36a>P6<;09w)8=5;`8 3432k1vqps4i07e>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?m7[?;2;0x 3422k1/:?:5b:~yx=n9?:1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651728R4252;q/:?;5b:&5616383>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>;1]=9<52z&560g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f072290=6=4?{%0bg?7b82B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4c9U514=:r.=>84m;%410?d27[2\:8?4={%411?d<,?8?6o5r}|8m406290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6o5+6369f>{zut1b=;:50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9532<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th>:i4?:383>5}#:hi1nh5G2`28m42>290/>lm515;8?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo;84;296?6=8r.9mn4me:J1e5=n9=31<7*=ab820<=5<4290;w)N5i91b=9o50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422k1/:?:5b:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560o0;6<4?:1y'6de=:k90D?o?;n3f5?6=,;kh6;:a127=83>1<7>t$3c`>23<@;k;7d?;a;29 7gd28>27[o6P5il0:wA4c9U514=:r.=>84>0:&561<682wvqp5f14494?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>6<>4$707>4650z&1ef<0=2B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=991/:?:5119~yx{i6=4+2`a951?<^;kn6:6X>4381!05=3;;7)8=4;33?x{zu2c::<4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9?;0Z<:=:3y'273=991/:?:5119~yx{5<2290;w){M0a4?7|^m=1>v*>e9820d=Q9=81>v*924824>"1:=0:<6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1==5+636955=zutw0e<;9:18'6de=9=30Z?oj:0yO6g6=9r\o;74313_;?>746<,?8?6<>4}|~?l7193:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*924824>"1:=0:<6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi9:>50;694?6|,;kh6o6P5il0:wA4`9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a121=8381<7>t$3c`>gc<@;k;7d?;9;29 7gd28>276a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3l0(;<;:g9~yx{53;294~"5ij09m45G2`28m42f290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615<7s-8jo79:;I0b4>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637953=#>;>1=;5r}|8m42e290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<84$707>4050z&1ef<0=2B9m=5f15c94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<84$707>4027E{zut1b=8850;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228<0(;<;:048yx{z3`;==7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;355>P6<;09w)8=5;35?!05<3;=7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th>;l4?:283>5}#:hi1=h>4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi9l850;094?6|,;kh6ok4H3c3?l7313:1(?ol:06:?>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d7<729q/>lm5bd9K6d626=4+2`a951?<3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c7bb?6=:3:1N5i91b=9750;&1ef<6<010c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7c=#>;>1j6sr}|9~f0g029086=4?{%0bg?4f12B9m=5f15c94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6o5+6369f>{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th>m54?:083>5}#:hi1>o=4H3c3?j7b93:1(?ol:0g2?>{e=h31<7:50;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;I0bf>P5il0:wA4`9U514=:r.=>84>0:&561<682wvqp5f15`94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<>4$707>4627[2\:8?4={%411?713-<987?9;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d6<729q/>lm5749K6d6j6=4+2`a951?<^;kn64381!05=3;<7)8=4;34?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`6ef<72:0;6=u+2`a930=O:h:0e<:n:18'6de=9=30Z?oj:0yO6g6=9r\o;742f3_;?>741<,?8?6<94}|~?l73j3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?8;%410?703twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06b>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?>;%410?763twvq6g>4c83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=<5+636954=zutw0e<;9:18'6de=9=30D?om;W0ba?7|D;h;6:6X>4381!05=3;:7)8=4;32?x{zu2c::<4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*924823>"1:=0:;6sr}|9j532=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;:4V061>7}#>;?1=:5+636952=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f0gb290?6=4?{%0bg?7b82B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4c9U514=:r.=>84m;%410?d27[2\:8?4={%411?d<,?8?6o5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn8l=:181>5<7s-8jo7lj;I0b4>o6<00;6)=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>32e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`6fa<72;0;6=u+2`a9f`=O:h:0e<:6:18'6de=9=307b?j1;29 7gd28o:7[50z&1ef<5i01C>l>4i06b>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi9o:50;394?6|,;kh6?l<;I0b4>i6m80;6)=zj6=4<:183!4fk3=>7E5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;`8 3432k1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj7E{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637954=#>;>1=<5r}|8m431290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;32?!05<3;:7psr}:k224<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651738R4252;q/:?;5109'272=981vqps4i047>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?94:T207<5s-<997?8;%410?703twvq6g>6483>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;;4V061>7}#>;?1=:5+636952=zutw0e<89:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;<7)8=4;34?x{zu2c:::4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98222=Q9=81>v*924823>"1:=0:;6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi9o650;;94?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?>;%410?763twvq6g>4c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9<<1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74313_;?>747<,?8?6{M0a4?7|^m=1>v*>e98224=Q9=81>v*924823>"1:=0:;6sr}|9j532=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;:4V061>7}#>;?1=:5+636952=zutw0e<8::18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;<7)8=4;34?x{zu2c::;4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9?<0Z<:=:3y'273=9>1/:?:5169~yx{;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{59;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=<5+636954=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>747<,?8?63:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924825>"1:=0:=6sr}|9j537=83.9mn4>489K6dd<^;kn6P5il0:wA659U514=:r.=>84>7:&561<6?2wvqp5f17794?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<8:;W376?4|,?8>6<94$707>4127E{zut1b=;950;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9531<^8>96?u+637952=#>;>1=:5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn8ln:18:>5<7s-8jo79:;I0b4>o6P5il0:wA4`9U514=:r.=>84>1:&561<692wvqp5f15`94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=981/:?:5109~yx{2\:8?4={%411?763-<987?>;|~y>o6>80;6)N5ik1]>lk51zN1f5<6s_n<6?u+1d:9537<^8>96?u+637952=#>;>1=:5r}|8m403290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8;;W376?4|,?8>6<94$707>4127E{zut1b=;850;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28<=7[?;2;0x 34228=0(;<;:058yx{z3`;=;7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;353>P6<;09w)8=5;34?!05<3;<7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th>no4?:883>5}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>64727E{zut1b=8850;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228;0(;<;:038yx{z3`;==7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6>81]=9<52z&560<6?2.=>94>7:~yx=n9?>1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74033_;?>741<,?8?6<94}|~?l71=3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98220=Q9=81>v*924823>"1:=0:;6sr}|9j530=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;84V061>7}#>;?1=:5+636952=zutw0e<88:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;<7)8=4;34?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`6ff<72?0;6=u+2`a95`6<@;k;7d?;a;29 7gd28>27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6o5+6369f>{zut1b=8850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+6379f>"1:=0i7psr}:k224<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::<5Y15096~"1:<0i7)8=4;`8yx{z3`;=87>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;350>P6<;09w)8=5;`8 3432k1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zje09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi9io50;094?6|,;kh6ok4H3c3?l7313:1(?ol:06:?>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d7<729q/>lm5bd9K6d626=4+2`a951?<3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;d8 3432o1vqps4}c7g3?6=;3:14381!05=3h0(;<;:c9~yx{i6=4+2`a951?<^;kn6=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e=m21<7?50;2x 7gd2;h87E29086=4?{%0bg?123A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f0be29036=4?{%0bg?123A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9=h1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742e3_;?>747<,?8?63:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997?8;%410?703twvq6g>6083>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1=:5+636952=zutw0e<8;:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;<7)8=4;34?x{zu2c::84?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9??0Z<:=:3y'273=9>1/:?:5169~yx{2\:8?4={%411?703-<987?8;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d=<729q/>lm5749K6d6j6=4+2`a951?<^;kn64381!05=3;<7)8=4;34?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924823>"1:=0:;6sr}|9j537=83.9mn4>489K6dd<^;kn6P5il0:wA659U514=:r.=>84>7:&561<6?2wvqp5f17794?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<8:;W376?4|,?8>6<94$707>4127E{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a1ab=8321<7>t$3c`>23<@;k;7d?;a;29 7gd28>27E{zut1b=9l50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228;0(;<;:038yx{z3`;>:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;34?!05<3;<7psr}:k224<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::<5Y15096~"1:<0:;6*925823>{zut1b=;:50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?285$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;351>P6<;09w)8=5;34?!05<3;<7psr}:k223<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651748R4252;q/:?;5169'272=9>1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj7E{zut1b=9l50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228;0(;<;:038yx{z3`;>:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;34?!05<3;<7psr}:k224<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651738R4252;q/:?;5169'272=9>1vqps4i047>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?94:T207<5s-<997?8;%410?703twvq6g>6483>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;;4V061>7}#>;?1=:5+636952=zutw0e<89:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;<7)8=4;34?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`6`c<7210;6=u+2`a930=O:h:0e<:n:18'6de=9=30Z?oj:0yO6g6=9r\o;742f3_;?>747<,?8?65783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560<6?2.=>94>7:~yx=n9?;1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74063_;?>741<,?8?6<94}|~?l71<3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98221=Q9=81>v*924823>"1:=0:;6sr}|9j533=83.9mn4>489K6dd<^;kn6P5il0:wA679U514=:r.=>84>7:&561<6?2wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e=l:1<7850;2x 7gd28o;7E5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;`8 3432k1vqps4i075>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 3422k1/:?:5b:~yx=n9?;1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651738R4252;q/:?;5b:&5616583>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>=1]=9<52z&560g=zutw0qom9:181>5<7s-8jo7lj;I0b4>o6<00;6)=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5617<729q/>lm5bd9K6d626=4+2`a951?<3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;d8 3432o1vqps4}ca4>5<4290;w)N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+6379f>"1:=0i7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}ca;>5<6290;w)N5i91d=h?50;&1ef<6m810qom6:182>5<7s-8jo7?kf:J1e5=h9l;1<7*=ab82a4=52;294~"5ij0ii6F=a19j51?=83.9mn4>4898k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn>8j:181>5<7s-8jo7lj;I0b4>o6<00;6)=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5f:&561{M0a4?7|^m=1>v*>e9820d=Q9=81>v*9248a?!05<3h0qpsr;h37f?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{5<6290;w)N5i91d=h?50;&1ef<6m810qo=97;297?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5b:&5614c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0qo=98;297?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5b:&5614c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0qo=99;290?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5179'272=9?1vqps4i06a>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?9;%410?713twvq6g>5783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560<6>2.=>94>6:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&561=9=k0Z<:=:3y'273=9?1/:?:5179~yx{i6=4+2`a951?<^;kn6P5il0:wA579U514=:r.=>84>6:&561<6>2wvqp5f17394?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6<84$707>4027E{zut1b=;;50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28<>7[?;2;0x 34228<0(;<;:048yx{z3`;=:7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6>?1]=9<52z&560<6>2.=>94>6:~yx=n9?=1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74003_;?>740<,?8?6<84}|~?l7103:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9822==Q9=81>v*924822>"1:=0::6sr}|9j53?=83.9mn4>489K6dd<^;kn6P5il0:wA4b9U514=:r.=>84>6:&561<6>2wvqp5f15f94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:k;W376?4|,?8>6<84$707>4027E{zut1b=9h50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>m7[?;2;0x 34228<0(;<;:048yx{z3`;><7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;364>P6<;09w)8=5;`8 3432k1vqps4i072>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?:7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&561=9=k0Z<:=:3y'273=991/:?:5119~yx{i6=4+2`a951?<@;ki7[o6=?0;6)P5il0:wA579U514=:r.=>84>0:&561<682wvqp5f17394?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?;0Z<:=:3y'273=991/:?:5119~yx{4381!05=3;;7)8=4;33?x{zu2c::;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98223=Q9=81>v*924824>"1:=0:<6sr}|9j531=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;94V061>7}#>;?1==5+636955=zutw0e<87:18'6de=9=30Z?oj:0yO6g6=9r\o;740?3_;?>746<,?8?6<>4}|~?l7113:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?99:T207<5s-<997??;%410?773twvq6g>4b83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>0:~yx=n9=n1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515f8R4252;q/:?;5119'272=991vqps4i06f>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>n7[?;2;0x 34228:0(;<;:028yx{z3`;?j7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37b>P6<;09w)8=5;33?!05<3;;7psr}:k215<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651428R4252;q/:?;5119'272=991vqps4i072>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?:1:T207<5s-<997??;%410?773twvq6g>5383>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=;1]=9<52z&560<682.=>94>0:~yx=n9<91<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651418R4252;q/:?;5179'272=9?1vqps4i077>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?:4:T207<5s-<997?9;%410?713twvq6g>5483>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=<1]=9<52z&560g=zutw0e<;7:18'6de=9=30Z?oj:0yO6g6=9r\o;743?3_;?>7g=#>;>1n6sr}|9j50?=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=874V061>7}#>;?1n6*9258a?x{zu2c:9l4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9821d=Q9=81>v*9248a?!05<3h0qpsr;h36f?6=,;kh6<:6;W0ba?7|D;h;6n6X>4381!05=3h0(;<;:c9~yx{=994m;|~y>o6=l0;6)P5il0:wA5d9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<8?;W376?4|,?8>6o5+6369f>{zut1b=;<50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9534<^8>96?u+6379f>"1:=0i7psr}:k226<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::>5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c15g?6=:80;6=u+2`a930=O:h:0e<:n:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;;7)8=4;33?x{zu2c:8o4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=991/:?:5119~yx{4381!05=3;;7)8=4;33?x{zu2c::94?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98221=Q9=81>v*924824>"1:=0:<6sr}|9j533=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;;4V061>7}#>;?1==5+636955=zutw0e<89:18'6de=9=30Z?oj:0yO6g6=9r\o;74013_;?>746<,?8?6<>4}|~?l71?3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?97:T207<5s-<997??;%410?773twvq6g>6983>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>11]=9<52z&560<682.=>94>0:~yx=n9?31<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6517;8R4252;q/:?;5119'272=991vqps4i06`>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>h7[?;2;0x 34228:0(;<;:028yx{z3`;?h7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37`>P6<;09w)8=5;33?!05<3;;7psr}:k20`<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8h5Y15096~"1:<0:<6*925824>{zut1b=9h50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951`<^8>96?u+637955=#>;>1==5r}|8m437290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;364>P6<;09w)8=5;33?!05<3;;7psr}:k214<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651438R4252;q/:?;5119'272=991vqps4i071>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?97[?;2;0x 34228:0(;<;:028yx{z3`;>?7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;367>P6<;09w)8=5;35?!05<3;=7psr}:k211<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651468R4252;q/:?;5179'272=9?1vqps4i076>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?>7[?;2;0x 3422k1/:?:5b:~yx=n9<=1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651458R4252;q/:?;5b:&5615983>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=11]=9<52z&560g=zutw0e<;n:18'6de=9=30Z?oj:0yO6g6=9r\o;743f3_;?>7g=#>;>1n6sr}|9j50d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=8l4V061>7}#>;?1n6*9258a?x{zu2c:9n4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9821f=Q9=81>v*9248a?!05<3h0qpsr;h36`?6=,;kh6<:6;W0ba?7|D;h;6h6X>4381!05=3h0(;<;:c9~yx{=994m;|~y>o6>90;6)P5il0:wA619U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<8<;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a73b=838:6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1==5+636955=zutw0e<:m:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;;7)8=4;33?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924824>"1:=0:<6sr}|9j537=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1==5+636955=zutw0e<8;:18'6de=9=30Z?oj:0yO6g6=9r\o;74033_;?>746<,?8?6<>4}|~?l71=3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?95:T207<5s-<997??;%410?773twvq6g>6783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>?1]=9<52z&560<682.=>94>0:~yx=n9?=1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651758R4252;q/:?;5119'272=991vqps4i04;>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<37[?;2;0x 34228:0(;<;:028yx{z3`;=57>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;35=>P6<;09w)8=5;33?!05<3;;7psr}:k20f<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515a8R4252;q/:?;5119'272=991vqps4i06g>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;d:T207<5s-<997??;%410?773twvq6g>4d83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9k4V061>7}#>;?1==5+636955=zutw0e<:i:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;;7)8=4;33?x{zu2c:9=4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9<:0Z<:=:3y'273=991/:?:5119~yx{o6=;0;6)P5il0:wA539U514=:r.=>84>0:&561<682wvqp5f14194?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9<90Z<:=:3y'273=9?1/:?:5179~yx{o6=<0;6)P5il0:wA549U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;7;W376?4|,?8>6o5+6369f>{zut1b=8750;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:950?<^8>96?u+6379f>"1:=0i7psr}:k21d<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9l5Y15096~"1:<0i7)8=4;`8yx{z3`;>n7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;36f>P6<;09w)8=5;`8 3432k1vqps4i07`>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?h7[?;2;0x 3422k1/:?:5b:~yx=n95d83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=l1]=9<52z&560g=zutw0e<8?:18'6de=9=30Z?oj:0yO6g6=9r\o;74073_;?>7g=#>;>1n6sr}|9j534=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;<4V061>7}#>;?1n6*9258a?x{zu2c::>4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98226=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{58;294~"5ij0:i=5G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6o5+6369f>{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+6379f>"1:=0i7psr}:k213<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9;5Y15096~"1:<0i7)8=4;`8yx{z3`;==7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;355>P6<;09w)8=5;`8 3432k1vqps4i047>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?286783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>?1]=9<52z&560g=zutw0qo750;094?6|,;kh6ok4H3c3?l7313:1(?ol:06:?>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d5<7s-8jo7lj;I0b4>o6<00;6)=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5616<729q/>lm52`;8L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5617E{zut1b=9l50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228<0(;<;:048yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c694?46290;w)=9=k0Z<:=:3y'273=981/:?:5109~yx{i6=4+2`a951?<@;ki7[;|~y>o6=?0;6)P5il0:wA579U514=:r.=>84>1:&561<692wvqp5f17394?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?;0Z<:=:3y'273=981/:?:5109~yx{4381!05=3;:7)8=4;32?x{zu2c::;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98223=Q9=81>v*924825>"1:=0:=6sr}|9j531=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;94V061>7}#>;?1=<5+636954=zutw0e<87:18'6de=9=30Z?oj:0yO6g6=9r\o;740?3_;?>747<,?8?6;%410?763twvq6g>4b83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9=n1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515f8R4252;q/:?;5109'272=981vqps4i06f>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>n7[?;2;0x 34228;0(;<;:038yx{z3`;?j7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37b>P6<;09w)8=5;32?!05<3;:7psr}:k215<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651428R4252;q/:?;5109'272=981vqps4i072>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?:7[?;2;0x 34228;0(;<;:038yx{z3`;>>7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;366>P6<;09w)8=5;34?!05<3;<7psr}:k216<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9>5Y15096~"1:<0:;6*925823>{zut1b=8:50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28??7[?;2;0x 34228=0(;<;:058yx{z3`;>97>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;361>P6<;09w)8=5;34?!05<3;<7psr}:k212<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9:5Y15096~"1:<0i7)8=4;`8yx{z3`;>47>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;36<>P6<;09w)8=5;`8 3432k1vqps4i07:>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?27[?;2;0x 3422k1/:?:5b:~yx=n95c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=k1]=9<52z&560g=zutw0e<;k:18'6de=9=30Z?oj:0yO6g6=9r\o;743c3_;?>7g=#>;>1n6sr}|9j50c=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=8k4V061>7}#>;?1n6*9258a?x{zu2c:9k4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9821c=Q9=81>v*9248a?!05<3h0qpsr;h354?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{=9?90Z<:=:3y'273=j2.=>94m;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d42f3_;?>747<,?8?6{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924825>"1:=0:=6sr}|9j500=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1=<5+636954=zutw0e<8>:18'6de=9=30Z?oj:0yO6g6=9r\o;74063_;?>747<,?8?6;%410?763twvq6g>6483>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6><1]=9<52z&560<692.=>94>1:~yx=n9?<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651748R4252;q/:?;5109'272=981vqps4i044>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<<7[?;2;0x 34228;0(;<;:038yx{z3`;=47>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;35<>P6<;09w)8=5;32?!05<3;:7psr}:k22<<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::45Y15096~"1:<0:=6*925825>{zut1b=9m50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>h7[?;2;0x 34228;0(;<;:038yx{z3`;?h7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9=o1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742b3_;?>747<,?8?6{M0a4?7|^m=1>v*>e9820c=Q9=81>v*924825>"1:=0:=6sr}|9j506=83.9mn4>489K6dd<^;kn6=6X>4381!05=3;:7)8=4;32?x{zu2c:9?4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98217=Q9=81>v*924823>"1:=0:;6sr}|9j505=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=8=4V061>7}#>;?1=:5+636952=zutw0e<;;:18'6de=9=30D?om;W0ba?7|D;h;686X>4381!05=3;<7)8=4;34?x{zu2c:984?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98210=Q9=81>v*924823>"1:=0:;6sr}|9j501=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=894V061>7}#>;?1n6*9258a?x{zu2c:954?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9821==Q9=81>v*9248a?!05<3h0qpsr;h36=?6=,;kh6<:6;W0ba?7|D;h;656X>4381!05=3h0(;<;:c9~yx{=994m;|~y>o6=j0;6)P5il0:wA5b9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;j;W376?4|,?8>6o5+6369f>{zut1b=8h50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:950`<^8>96?u+6379f>"1:=0i7psr}:k225<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::=5Y15096~"1:<0i7)8=4;`8yx{z3`;=>7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;356>P6<;09w)8=5;`8 3432k1vqps4i040>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<87[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&561lm5749K6d6j6=4+2`a951?<@;ki7[;|~y>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637954=#>;>1=<5r}|8m431290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>64727[;|~y>o6>=0;6)P5il0:wA659U514=:r.=>84>1:&561<692wvqp5f17794?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9??0Z<:=:3y'273=981/:?:5109~yx{4381!05=3;:7)8=4;32?x{zu2c::54?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9822==Q9=81>v*924825>"1:=0:=6sr}|9j53?=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;74V061>7}#>;?1=<5+636954=zutw0e<:l:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:8i4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9=n0Z<:=:3y'273=981/:?:5109~yx{n6=4+2`a951?<@;ki7[;|~y>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951`<^8>96?u+637954=#>;>1=<5r}|8m437290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;364>P6<;09w)8=5;32?!05<3;:7psr}:k214<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9<5Y15096~"1:<0:=6*925825>{zut1b=8<50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9504<^8>96?u+637952=#>;>1=:5r}|8m434290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<;<;W376?4|,?8>6<94$707>4127E{zut1b=8;50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9503<^8>96?u+637952=#>;>1=:5r}|8m430290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<;8;W376?4|,?8>6o5+6369f>{zut1b=8650;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:950><^8>96?u+6379f>"1:=0i7psr}:k21<<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:945Y15096~"1:<0i7)8=4;`8yx{z3`;>m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;36e>P6<;09w)8=5;`8 3432k1vqps4i07a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?i7[?;2;0x 3422k1/:?:5b:~yx=n95e83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=m1]=9<52z&560g=zutw0e<;i:18'6de=9=30Z?oj:0yO6g6=9r\o;743a3_;?>7g=#>;>1n6sr}|9j536=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;>4V061>7}#>;?1n6*9258a?x{zu2c::?4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98227=Q9=81>v*9248a?!05<3h0qpsr;h357?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{1<729q/>lm51d28L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&5607>50z&1ef5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zjmh1<7=50;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3;>7)8=4;36?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924821>"1:=0:96sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wihn4?:583>5}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>64727[;|~y>o6=?0;6)N5ik1]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+637952=#>;>1=:5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vnij50;694?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?>;%410?763twvq6g>4c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9<<1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74313_;?>741<,?8?6<94}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qojj:187>5<7s-8jo79:;I0b4>o6P5il0:wA4`9U514=:r.=>84>1:&561<692wvqp5f15`94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=981/:?:5109~yx{2\:8?4={%411?703-<987?8;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228;0(;<;:038yx{z3`;>:7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560<6?2.=>94>7:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5611<729q/>lm5749K6d6j6=4+2`a951?<^;kn64381!05=3;:7)8=4;32?x{zu2c:9;4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9<<0Z<:=:3y'273=9>1/:?:5169~yx{{M0a4?7|^m=1>v*>e9820d=Q9=81>v*9248a?!05<3h0qpsr;h37f?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{=9?;0Z<:=:3y'273=j2.=>94m;|~y>o6>=0;6)P5il0:wA659U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vnh<50;094?6|,;kh6ok4H3c3?l7313:1(?ol:06:?>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228?0(;<;:078yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}cg7>5<4290;w){M0a4?7|^m=1>v*>e9820d=Q9=81>v*924823>"1:=0:;6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=:5+636952=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f`3=8391<7>t$3c`>23<@;k;7d?;a;29 7gd28>27[o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637952=#>;>1=:5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vnh850;694?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820d=Q9=81>v*924825>"1:=0:=6sr}|9j51d=83.9mn4>489K6dd<^;kn6:6X>4381!05=3;<7)8=4;34?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`f3?6=<3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637952=#>;>1=:5r}|8m42e290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;34?!05<3;<7psr}:k213<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9;5Y15096~"1:<0:;6*925823>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:aa=<72=0;6=u+2`a930=O:h:0e<:n:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;<7)8=4;34?x{zu2c:8o4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=9>1/:?:5169~yx{2\:8?4={%411?703-<987?8;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?de19K6d6j6=4+2`a951?<^;kn6=9=h0Z<:=:3y'273=j2.=>94m;|~y>o6=?0;6)P5il0:wA579U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<8;;W376?4|,?8>6o5+6369f>{zut1b=;;50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9533<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3thnm7>52;294~"5ij0ii6F=a19j51?=83.9mn4>4898k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vnhl50;194?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?:;%410?723twvq6g>4c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>5:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5611<729q/>lm5749K6d6j6=4+2`a951?<@;ki7[o6P5il0:wA4c9U514=:r.=>84>7:&561<6?2wvqp5f14494?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9<<0Z<:=:3y'273=9>1/:?:5169~yx{5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>7:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5169'272=9>1vqps4i075>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228=0(;<;:058yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}cgf>5<3290;w)=9=k0Z<:=:3y'273=9>1/:?:5169~yx{i6=4+2`a951?<^;kn6:6X>4381!05=3;<7)8=4;34?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`fb?6=<3:1N5i91b=9o50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228=0(;<;:058yx{z3`;?n7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;34?!05<3;<7psr}:k213<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9;5Y15096~"1:<0:;6*925823>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:ab5<72;;1<7>t$3c`>23<@;k;7d?;a;29 7gd28>27E{zut1b=9l50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228;0(;<;:038yx{z3`;>:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;32?!05<3;:7psr}:k224<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::<5Y15096~"1:<0:=6*925825>{zut1b=;:50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9532<^8>96?u+637954=#>;>1=<5r}|8m402290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8:;W376?4|,?8>64727[2\:8?4={%411?763-<987?>;|~y>o6>>0;6)P5il0:wA669U514=:r.=>84>1:&561<692wvqp5f17:94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?20Z<:=:3y'273=981/:?:5109~yx{4381!05=3;:7)8=4;32?x{zu2c:8i4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820a=Q9=81>v*924825>"1:=0:=6sr}|9j51c=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9k4V061>7}#>;?1=<5+636954=zutw0e<:i:18'6de=9=30Z?oj:0yO6g6=9r\o;742a3_;?>747<,?8?6{M0a4?7|^m=1>v*>e98215=Q9=81>v*924825>"1:=0:=6sr}|9j507=83.9mn4>489K6dd<^;kn6>6X>4381!05=3;:7)8=4;32?x{zu2c:9>4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98216=Q9=81>v*924823>"1:=0:;6sr}|9j502=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=8:4V061>7}#>;?1=:5+636952=zutw0e<;::18'6de=9=30D?om;W0ba?7|D;h;696X>4381!05=3;<7)8=4;34?x{zu2c:9:4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98212=Q9=81>v*9248a?!05<3h0qpsr;h3646X>4381!05=3h0(;<;:c9~yx{=994m;|~y>o6=k0;6)P5il0:wA5c9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;k;W376?4|,?8>6o5+6369f>{zut1b=8k50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:950c<^8>96?u+6379f>"1:=0i7psr}:k21c<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9k5Y15096~"1:<0i7)8=4;`8yx{z3`;=<7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;354>P6<;09w)8=5;`8 3432k1vqps4i041>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<97[?;2;0x 3422k1/:?:5b:~yx=n9?91<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651718R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&56050z&1ef<6m91C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422k1/:?:5b:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5b:&5615783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560g=zutw0e<8;:18'6de=9=30Z?oj:0yO6g6=9r\o;74033_;?>7g=#>;>1n6sr}|9j533=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;;4V061>7}#>;?1n6*9258a?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`e6?6=:3:1N5i91b=9750;&1ef<6<010c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~fc5=83>1<7>t$3c`>23<@;k;7d?;a;29 7gd28>27E{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637952=#>;>1=:5r}|8m431290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;34?!05<3;<7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3thm87>54;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=:5+636952=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>741<,?8?6<94}|~?l72>3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924823>"1:=0:;6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wij84?:283>5}#:hi1=h>4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wij;4?:383>5}#:hi1nh5G2`28m42>290/>lm515;8?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qoh8:180>5<7s-8jo79:;I0b4>o6P5il0:wA4`9U514=:r.=>84>5:&561<6=2wvqp5f15`94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=9<1/:?:5149~yx{=9=k0Z<:=:3y'273=981/:?:5109~yx{i6=4+2`a951?<@;ki7[;|~y>o6=?0;6)P5il0:wA579U514=:r.=>84>1:&561<692wvqp5f17394?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?;0Z<:=:3y'273=981/:?:5109~yx{4381!05=3;:7)8=4;32?x{zu2c::;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98223=Q9=81>v*924825>"1:=0:=6sr}|9j531=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;94V061>7}#>;?1=<5+636954=zutw0e<87:18'6de=9=30Z?oj:0yO6g6=9r\o;740?3_;?>747<,?8?6;%410?763twvq6g>4b83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9=n1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515f8R4252;q/:?;5109'272=981vqps4i06f>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>n7[?;2;0x 34228;0(;<;:038yx{z3`;?j7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37b>P6<;09w)8=5;32?!05<3;:7psr}:k215<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651428R4252;q/:?;5109'272=981vqps4i072>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?:1:T207<5s-<997?>;%410?763twvq6g>5383>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=;1]=9<52z&560<692.=>94>1:~yx=n9<91<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651418R4252;q/:?;5169'272=9>1vqps4i077>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28??7[?;2;0x 34228=0(;<;:058yx{z3`;>97>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6=<1]=9<52z&560<6?2.=>94>7:~yx=n9<=1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651458R4252;q/:?;5b:&5615983>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=11]=9<52z&560g=zutw0e<;n:18'6de=9=30Z?oj:0yO6g6=9r\o;743f3_;?>7g=#>;>1n6sr}|9j50d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=8l4V061>7}#>;?1n6*9258a?x{zu2c:9n4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9821f=Q9=81>v*9248a?!05<3h0qpsr;h36`?6=,;kh6<:6;W0ba?7|D;h;6h6X>4381!05=3h0(;<;:c9~yx{=994m;|~y>o6>90;6)P5il0:wA619U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<8<;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:ab<<72;;1<7>t$3c`>23<@;k;7d?;a;29 7gd28>27E{zut1b=9l50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228;0(;<;:038yx{z3`;>:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;32?!05<3;:7psr}:k224<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::<5Y15096~"1:<0:=6*925825>{zut1b=;:50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9532<^8>96?u+637954=#>;>1=<5r}|8m402290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8:;W376?4|,?8>64727[2\:8?4={%411?763-<987?>;|~y>o6>>0;6)P5il0:wA669U514=:r.=>84>1:&561<692wvqp5f17:94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?20Z<:=:3y'273=981/:?:5109~yx{4381!05=3;:7)8=4;32?x{zu2c:8i4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820a=Q9=81>v*924825>"1:=0:=6sr}|9j51c=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9k4V061>7}#>;?1=<5+636954=zutw0e<:i:18'6de=9=30Z?oj:0yO6g6=9r\o;742a3_;?>747<,?8?6{M0a4?7|^m=1>v*>e98215=Q9=81>v*924825>"1:=0:=6sr}|9j507=83.9mn4>489K6dd<^;kn6>6X>4381!05=3;:7)8=4;32?x{zu2c:9>4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98216=Q9=81>v*924823>"1:=0:;6sr}|9j502=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=8:4V061>7}#>;?1=:5+636952=zutw0e<;::18'6de=9=30D?om;W0ba?7|D;h;696X>4381!05=3;<7)8=4;34?x{zu2c:9:4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98212=Q9=81>v*9248a?!05<3h0qpsr;h3646X>4381!05=3h0(;<;:c9~yx{=994m;|~y>o6=k0;6)P5il0:wA5c9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;k;W376?4|,?8>6o5+6369f>{zut1b=8k50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:950c<^8>96?u+6379f>"1:=0i7psr}:k21c<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9k5Y15096~"1:<0i7)8=4;`8yx{z3`;=<7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;354>P6<;09w)8=5;`8 3432k1vqps4i041>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<97[?;2;0x 3422k1/:?:5b:~yx=n9?91<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651718R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560<4?:1y'6de=?<1C>l>4i06b>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?>;%410?763twvq6g>4c83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=<5+636954=zutw0e<;9:18'6de=9=30Z?oj:0yO6g6=9r\o;74313_;?>747<,?8?6;%410?763twvq6g>6583>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>=1]=9<52z&560<692.=>94>1:~yx=n9??1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651778R4252;q/:?;5109'272=981vqps4i045>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<=7[?;2;0x 34228;0(;<;:038yx{z3`;=;7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;353>P6<;09w)8=5;32?!05<3;:7psr}:k22=<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::55Y15096~"1:<0:=6*925825>{zut1b=;750;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:953?<^8>96?u+637954=#>;>1=<5r}|8m42d290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:l;W376?4|,?8>64727[;|~y>o6P5il0:wA4d9U514=:r.=>84>1:&561<692wvqp5f15d94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=l0Z<:=:3y'273=981/:?:5109~yx{;|~y>o6=80;6)P5il0:wA509U514=:r.=>84>1:&561<692wvqp5f14094?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9<80Z<:=:3y'273=9>1/:?:5169~yx{P5il0:wA559U514=:r.=>84>7:&561<6?2wvqp5f14794?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=91/:?:5169~yx{=9<20Z<:=:3y'273=j2.=>94m;|~y>o6=00;6)P5il0:wA589U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;m;W376?4|,?8>6o5+6369f>{zut1b=8m50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:950e<^8>96?u+6379f>"1:=0i7psr}:k21a<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9i5Y15096~"1:<0i7)8=4;`8yx{z3`;>i7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;36a>P6<;09w)8=5;`8 3432k1vqps4i07e>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?m7[?;2;0x 3422k1/:?:5b:~yx=n9?:1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651728R4252;q/:?;5b:&5616383>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>;1]=9<52z&560g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~fcd=838:6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=<5+636954=zutw0e<:m:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924825>"1:=0:=6sr}|9j537=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1=<5+636954=zutw0e<8;:18'6de=9=30Z?oj:0yO6g6=9r\o;74033_;?>747<,?8?6;%410?763twvq6g>6783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>?1]=9<52z&560<692.=>94>1:~yx=n9?=1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651758R4252;q/:?;5109'272=981vqps4i04;>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<37[?;2;0x 34228;0(;<;:038yx{z3`;=57>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;35=>P6<;09w)8=5;32?!05<3;:7psr}:k20f<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515a8R4252;q/:?;5109'272=981vqps4i06g>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;d:T207<5s-<997?>;%410?763twvq6g>4d83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9k4V061>7}#>;?1=<5+636954=zutw0e<:i:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:9=4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9<:0Z<:=:3y'273=981/:?:5109~yx{;|~y>o6=;0;6)P5il0:wA539U514=:r.=>84>1:&561<692wvqp5f14194?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9<90Z<:=:3y'273=9>1/:?:5169~yx{P5il0:wA549U514=:r.=>84>7:&561<6?2wvqp5f14594?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9<=0Z<:=:3y'273=j2.=>94m;|~y>o6=10;6)P5il0:wA599U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;n;W376?4|,?8>6o5+6369f>{zut1b=8l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:950d<^8>96?u+6379f>"1:=0i7psr}:k21f<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9n5Y15096~"1:<0i7)8=4;`8yx{z3`;>h7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;36`>P6<;09w)8=5;`8 3432k1vqps4i07f>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?n7[?;2;0x 3422k1/:?:5b:~yx=n96183>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>91]=9<52z&560g=zutw0e<8<:18'6de=9=30Z?oj:0yO6g6=9r\o;74043_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wijn4?:3394?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820d=Q9=81>v*924825>"1:=0:=6sr}|9j51d=83.9mn4>489K6dd<^;kn6:6X>4381!05=3;:7)8=4;32?x{zu2c::<4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*924825>"1:=0:=6sr}|9j532=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;:4V061>7}#>;?1=<5+636954=zutw0e<8::18'6de=9=30Z?oj:0yO6g6=9r\o;74023_;?>747<,?8?63:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?96:T207<5s-<997?>;%410?763twvq6g>6683>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>>1]=9<52z&560<692.=>94>1:~yx=n9?21<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6517:8R4252;q/:?;5109'272=981vqps4i04:>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<27[?;2;0x 34228;0(;<;:038yx{z3`;?o7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9=n1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742c3_;?>747<,?8?6{M0a4?7|^m=1>v*>e9820`=Q9=81>v*924825>"1:=0:=6sr}|9j51`=83.9mn4>489K6dd<^;kn6P5il0:wA519U514=:r.=>84>1:&561<692wvqp5f14394?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<;>;W376?4|,?8>64727[;|~y>o6=:0;6)P5il0:wA529U514=:r.=>84>7:&561<6?2wvqp5f14694?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9<>0Z<:=:3y'273=9>1/:?:5169~yx{6=4+2`a951?<@;ki7[o6=>0;6)P5il0:wA569U514=:r.=>84m;%410?d27[290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<;6;W376?4|,?8>6o5+6369f>{zut1b=8o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:950g<^8>96?u+6379f>"1:=0i7psr}:k21g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9o5Y15096~"1:<0i7)8=4;`8yx{z3`;>o7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;36g>P6<;09w)8=5;`8 3432k1vqps4i07g>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?o7[?;2;0x 3422k1/:?:5b:~yx=n95g83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=o1]=9<52z&560g=zutw0e<8=:18'6de=9=30Z?oj:0yO6g6=9r\o;74053_;?>7g=#>;>1n6sr}|9j535=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;=4V061>7}#>;?1n6*9258a?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`e`?6=?3:142f3_;?>7g=#>;>1n6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1n6*9258a?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*9248a?!05<3h0qpsr;h355?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{=9??0Z<:=:3y'273=j2.=>94m;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;36?!05<3;>7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0:96*925821>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a556=83>1<7>t$3c`>23<@;k;7d?;a;29 7gd28>27E{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637952=#>;>1=:5r}|8m431290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>6<94$707>4150z&1ef<0=2B9m=5f15c94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<94$707>4127[o6=?0;6)P5il0:wA579U514=:r.=>84>7:&561<6?2wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e9981<7:50;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;I0bf>P5il0:wA4`9U514=:r.=>84>7:&561<6?2wvqp5f15`94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=9>1/:?:5169~yx{;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{54;294~"5ij0<96F=a19j51g=83.9mn4>489K6dd<^;kn64381!05=3;<7)8=4;34?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924823>"1:=0:;6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi==:50;694?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820d=Q9=81>v*924823>"1:=0:;6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=:5+636952=zutw0e<;9:18'6de=9=30Z?oj:0yO6g6=9r\o;74313_;?>741<,?8?6<94}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo??5;293?6=8r.9mn4>e19K6d6j6=4+2`a951?<^;kn6=9=h0Z<:=:3y'273=j2.=>94m;|~y>o6=?0;6)P5il0:wA579U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<8;;W376?4|,?8>6o5+6369f>{zut1b=;;50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9533<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th:<;4?:383>5}#:hi1nh5G2`28m42>290/>lm515;8?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo??7;291?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742f3_;?>747<,?8?6;%410?763twvq6g>5783>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1=<5+636954=zutw0e<8>:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`24=<72<0;6=u+2`a930=O:h:0e<:n:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:8o4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=981/:?:5109~yx{2\:8?4={%411?763-<987?>;|~y>o6>80;6)N5ik1]>lk51zN1f5<6s_n<6?u+1d:9537<^8>96?u+637952=#>;>1=:5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn<>6:180>5<7s-8jo7?j0:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5b:&5614c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0qo??a;296?6=8r.9mn4me:J1e5=n9=31<7*=ab820<=5<4290;w)=9=k0Z<:=:3y'273=9>1/:?:5169~yx{i6=4+2`a951?<^;kn6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{53;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=:5+636952=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>741<,?8?6<94}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo??d;297?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5169'272=9>1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228=0(;<;:058yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c33a?6=;3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637952=#>;>1=:5r}|8m42e290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<94$707>4150z&1ef<0=2B9m=5f15c94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<94$707>4127E{zut1b=8850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+637952=#>;>1=:5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn5<7s-8jo7?j0:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5b:&5614c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<63:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997l4$707>g=zutw0e<8>:18'6de=9=30Z?oj:0yO6g6=9r\o;74063_;?>7g=#>;>1n6sr}|9j532=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;:4V061>7}#>;?1n6*9258a?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`254<72;0;6=u+2`a9f`=O:h:0e<:6:18'6de=9=307b?j1;29 7gd28o:7[50z&1ef<0=2B9m=5f15c94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>64727E{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a545=8391<7>t$3c`>23<@;k;7d?;a;29 7gd28>27[;|~y>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637952=#>;>1=:5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn5<7s-8jo79:;I0b4>o6P5il0:wA4`9U514=:r.=>84>7:&561<6?2wvqp5f15`94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=9>1/:?:5169~yx{5<3290;w)N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+6379f>"1:=0i7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0i7)8=4;`8yx{z3`;>:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;`8 3432k1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj8;=6=4=:183!4fk3hn7Ee09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi=<950;194?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?:;%410?723twvq6g>4c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>5:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;32?!05<3;:7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0:;6*925823>{zut1b=8850;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228=0(;<;:058yx{z3`;==7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;355>P6<;09w)8=5;34?!05<3;<7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th:=44?:483>5}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>64727[o6=?0;6)N5ik1]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+637952=#>;>1=:5r}|8m406290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6<94$707>4150z&1ef<0=2B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=9>1/:?:5169~yx{i6=4+2`a951?<@;ki7[o6=?0;6)P5il0:wA579U514=:r.=>84>7:&561<6?2wvqp5f17394?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6<94$707>4150z&1ef<0=2B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=981/:?:5109~yx{i6=4+2`a951?<@;ki7[o6=?0;6)P5il0:wA579U514=:r.=>84>7:&561<6?2wvqp5f17394?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6<94$707>4127[i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d1b83>2<729q/>lm5749K6d6j6=4+2`a951?<@;ki7[;|~y>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637954=#>;>1=<5r}|8m431290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;32?!05<3;:7psr}:k224<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::<5Y15096~"1:<0:;6*925823>{zut1b=;:50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9532<^8>96?u+637952=#>;>1=:5r}|8m402290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8:;W376?4|,?8>6<94$707>4150z&1ef<6m91C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422k1/:?:5b:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5b:&5615783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560g=zutw0e<8;:18'6de=9=30Z?oj:0yO6g6=9r\o;74033_;?>7g=#>;>1n6sr}|9j533=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;;4V061>7}#>;?1n6*9258a?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`25`<72;0;6=u+2`a9f`=O:h:0e<:6:18'6de=9=307b?j1;29 7gd28o:7[50z&1ef<0=2B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=9<1/:?:5149~yx{i6=4+2`a951?<^;kn6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{55;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=<5+636954=zutw0e<:m:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;<7)8=4;34?x{zu2c:9;4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9<<0Z<:=:3y'273=9>1/:?:5169~yx{;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{56;294~"5ij0<96F=a19j51g=83.9mn4>489K6dd<^;kn6P5il0:wA4c9U514=:r.=>84>1:&561<692wvqp5f14494?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>64727E{zut1b=;:50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9532<^8>96?u+637952=#>;>1=:5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn<<=:185>5<7s-8jo79:;I0b4>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637954=#>;>1=<5r}|8m42e290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;32?!05<3;:7psr}:k213<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5109'272=981vqps4i042>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?91:T207<5s-<997?8;%410?703twvq6g>6583>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>=1]=9<52z&560<6?2.=>94>7:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9=h1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742e3_;?>747<,?8?63:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924825>"1:=0:=6sr}|9j537=83.9mn4>489K6dd<^;kn64381!05=3;<7)8=4;34?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`261<72?0;6=u+2`a930=O:h:0e<:n:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:8o4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=981/:?:5109~yx{P5il0:wA609U514=:r.=>84>7:&561<6?2wvqp5f17694?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?>0Z<:=:3y'273=9>1/:?:5169~yx{5<0290;w)N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+6379f>"1:=0i7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0i7)8=4;`8yx{z3`;>:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;`8 3432k1vqps4i042>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<:7[?;2;0x 3422k1/:?:5b:~yx=n9?>1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651768R4252;q/:?;5b:&5616483>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6><1]=9<52z&560g=zutw0qo?=6;296?6=8r.9mn4me:J1e5=n9=31<7*=ab820<=5<3290;w)=9=k0Z<:=:3y'273=981/:?:5109~yx{i6=4+2`a951?<@;ki7[;|~y>o6=?0;6)P5il0:wA579U514=:r.=>84>7:&561<6?2wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e9;21<7<50;2x 7gd2ko0D?o?;h37=?6=,;kh6<:6;:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th:>44?:883>5}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>64727E{zut1b=8850;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228=0(;<;:058yx{z3`;==7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;355>P6<;09w)8=5;34?!05<3;<7psr}:k221<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651768R4252;q/:?;5169'272=9>1vqps4i046>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?95:T207<5s-<997?8;%410?703twvq6g>6783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>?1]=9<52z&560<6?2.=>94>7:~yx=n9?=1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74003_;?>741<,?8?6<94}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo?=a;2954<729q/>lm5749K6d6j6=4+2`a951?<^;kn64381!05=3;:7)8=4;32?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924825>"1:=0:=6sr}|9j537=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1=<5+636954=zutw0e<8;:18'6de=9=30Z?oj:0yO6g6=9r\o;74033_;?>747<,?8?6;%410?763twvq6g>6783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>?1]=9<52z&560<692.=>94>1:~yx=n9?=1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74003_;?>747<,?8?6{M0a4?7|^m=1>v*>e9822==Q9=81>v*924825>"1:=0:=6sr}|9j53?=83.9mn4>489K6dd<^;kn6P5il0:wA4b9U514=:r.=>84>7:&561<6?2wvqp5f15f94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:k;W376?4|,?8>6<94$707>4127[o6P5il0:wA4g9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;>;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a57d=83;:6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5109'272=981vqps4i075>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228;0(;<;:038yx{z3`;==7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;355>P6<;09w)8=5;32?!05<3;:7psr}:k221<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::95Y15096~"1:<0:=6*925825>{zut1b=;;50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9533<^8>96?u+637954=#>;>1=<5r}|8m401290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<89;W376?4|,?8>64727E{zut1b=;650;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28<37[?;2;0x 34228=0(;<;:058yx{z3`;=57>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;35=>P6<;09w)8=5;34?!05<3;<7psr}:k20f<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515a8R4252;q/:?;5169'272=9>1vqps4i06g>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;d:T207<5s-<997?8;%410?703twvq6g>4d83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>7:~yx=n9=l1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515d8R4252;q/:?;5b:&5615183>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=91]=9<52z&560g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f44d290:=7>50z&1ef<0=2B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=981/:?:5109~yx{i6=4+2`a951?<^;kn6:6X>4381!05=3;:7)8=4;32?x{zu2c::<4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*924825>"1:=0:=6sr}|9j532=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;:4V061>7}#>;?1=<5+636954=zutw0e<8::18'6de=9=30Z?oj:0yO6g6=9r\o;74023_;?>747<,?8?63:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?96:T207<5s-<997?>;%410?763twvq6g>6683>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;94V061>7}#>;?1=<5+636954=zutw0e<87:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c::44?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9?30Z<:=:3y'273=9>1/:?:5169~yx{h6=4+2`a951?<@;ki7[o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951b<^8>96?u+637952=#>;>1=:5r}|8m42b290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:j;W376?4|,?8>6<94$707>4127[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;?;W376?4|,?8>6o5+6369f>{zut1b=8?50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9507<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th:>i4?:0394?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?>;%410?763twvq6g>4c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5109'272=981vqps4i042>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<:7[?;2;0x 34228;0(;<;:038yx{z3`;=87>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;350>P6<;09w)8=5;32?!05<3;:7psr}:k220<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::85Y15096~"1:<0:=6*925825>{zut1b=;850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9530<^8>96?u+637954=#>;>1=<5r}|8m400290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;353>P6<;09w)8=5;32?!05<3;:7psr}:k22=<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6517:8R4252;q/:?;5169'272=9>1vqps4i04:>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<27[?;2;0x 34228=0(;<;:058yx{z3`;?o7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>7:~yx=n9=n1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742c3_;?>741<,?8?6<94}|~?l73m3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;e:T207<5s-<997?8;%410?703twvq6g>4g83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0e<;>:18'6de=9=30Z?oj:0yO6g6=9r\o;74363_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi=?k50;494?6|,;kh6o6P5il0:wA4`9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>6o5+6369f>{zut1b=;?50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9537<^8>96?u+6379f>"1:=0i7psr}:k221<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::95Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c31b?6=:3:1N5i91b=9750;&1ef<6<010c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f45729086=4?{%0bg?123A8j<6g>4`83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=:5+636952=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>741<,?8?6<94}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo?<1;296?6=8r.9mn4me:J1e5=n9=31<7*=ab820<=5<4290;w){M0a4?7|^m=1>v*>e9820d=Q9=81>v*924821>"1:=0:96sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=85+636950=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f454290?6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=:5+636952=zutw0e<:m:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;<7)8=4;34?x{zu2c:9;4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9<<0Z<:=:3y'273=9>1/:?:5169~yx{5<2290;w){M0a4?7|^m=1>v*>e9820d=Q9=81>v*924825>"1:=0:=6sr}|9j51d=83.9mn4>489K6dd<^;kn6P5il0:wA579U514=:r.=>84>7:&561<6?2wvqp5f17394?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?;0Z<:=:3y'273=9>1/:?:5169~yx{5<1290;w)=9=k0Z<:=:3y'273=981/:?:5109~yx{i6=4+2`a951?<@;ki7[;|~y>o6=?0;6)N5ik1]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+637954=#>;>1=<5r}|8m406290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;355>P6<;09w)8=5;34?!05<3;<7psr}:k221<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::95Y15096~"1:<0:;6*925823>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a560=83<1<7>t$3c`>23<@;k;7d?;a;29 7gd28>27E{zut1b=9l50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228;0(;<;:038yx{z3`;>:7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560<692.=>94>1:~yx=n9?;1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74063_;?>741<,?8?6<94}|~?l71<3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?94:T207<5s-<997?8;%410?703twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&5600;6;4?:1y'6de=?<1C>l>4i06b>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?>;%410?763twvq6g>4c83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=<5+636954=zutw0e<;9:18'6de=9=30Z?oj:0yO6g6=9r\o;74313_;?>747<,?8?6{M0a4?7|^m=1>v*>e98224=Q9=81>v*924823>"1:=0:;6sr}|9j532=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;:4V061>7}#>;?1=:5+636952=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f45?290<6=4?{%0bg?7b82B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4c9U514=:r.=>84m;%410?d27[2\:8?4={%411?d<,?8?6o5r}|8m406290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6o5+6369f>{zut1b=;:50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9532<^8>96?u+6379f>"1:=0i7psr}:k220<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::85Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c30=?6=:3:1N5i91b=9750;&1ef<6<010c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f45f29086=4?{%0bg?123A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>5:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5149'272=9<1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj89i6=4;:183!4fk3=>7E1vqps4i06a>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?8;%410?703twvq6g>5783>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1=:5+636952=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f45d290>6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9=h1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742e3_;?>741<,?8?6<94}|~?l72>3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924823>"1:=0:;6sr}|9j537=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1=:5+636952=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f45c290=6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=<5+636954=zutw0e<:m:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:9;4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9<<0Z<:=:3y'273=981/:?:5109~yx{o6>=0;6)P5il0:wA659U514=:r.=>84>7:&561<6?2wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e9:o1<7850;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;I0bf>P5il0:wA4`9U514=:r.=>84>1:&561<692wvqp5f15`94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>64727E{zut1b=;?50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28<:7[?;2;0x 34228=0(;<;:058yx{z3`;=87>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;350>P6<;09w)8=5;34?!05<3;<7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th:?k4?:783>5}#:hi1;85G2`28m42f290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;32?!05<3;:7psr}:k20g<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5109'272=981vqps4i075>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228;0(;<;:038yx{z3`;==7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6>81]=9<52z&560<6?2.=>94>7:~yx=n9?>1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651768R4252;q/:?;5169'272=9>1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj8>;6=48:183!4fk3;n<6F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1n6*9258a?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*9248a?!05<3h0qpsr;h362?6=,;kh6<:6;W0ba?7|D;h;6:6X>4381!05=3h0(;<;:c9~yx{=9?>0Z<:=:3y'273=j2.=>94m;|~y>o6><0;6)P5il0:wA649U514=:r.=>84m;%410?de09>752=9=k01>>::06b?82d13;?m63;c`820d=::lh1=9o4=3g`>42f349>;7?;a:?01=<6j70:n6;37e>;3i>0:8l524`:951g<5=k26<:n;<6bf?73i27:5k4>4`9>5d6=9=k01:06b?835:3;?m63:22820d=:=;>1=9o4=73;>42f34<:57?;a:?6g0<6j70;l8;37e>;2k00:8l52524951g<5<9<6<:n;<70994>4`9>261=9=k01;=7:06b?804i3;?m6393c820d=:>hk1=9o4=3a6>42f348h:7?;a:?1g2<6n6515c8932228>j70:mb;37e>;3jj0:8l524cf951g<5=hn6<:n;<6ab?73i27=4k4>4`9>2<6=9=k01;7k:06b?85e;3;?m6342f34<<=7?;a:?2gf<6j70<>5;37e>;3010:8l5249c951g<5??i6<:n;<06e?73i2799o4>4`9>630=9=k01?88:06b?840<3;?m63=74820d=:=l31=9o4=4gb>42f34?nn7?;a:?6af<6j70;n0;37e>;5:l0:8l5223d951g<5;9;6<:n;<005?73i279??4>4`9>146=9=k018?>:06b?836:3;?m63:12820d=:=8>1=9o4=452>42f34?jh7?;a:?6`g<6j70=9b;37e>;4>j0:8l5237f951g<5=0:8l525;37e>;128>j70h?:06b?8`?28>j70h6:06b?8`f28>j70hm:06b?8`d28>j70??7;37e>;6810:8l5210:951g<58;h6<:n;<315?73i27:>?4>4`9>575=9=k01<<;:06b?874=3;?m63>37820d=:9:=1=9o4=01g>42f34;8i7?;a:?27c<64c9>753=9=h019mm:06b?82dk3;?m63=ec820g=::li1=9l4=274>42e349>47?;b:?01<<6i70:n6;37f>;3i>0:8o524`:951d<5=k26<:m;<6bf?73j27:m<4>4c9>5d4=9=k01821=9l4=73:>42e34?h97?;b:?6g3<6i70;<6;37f>;2;>0:8o5252:951d<54c9>26>=9=h01;=n:06a?804j3;?n639ac820d=::j?1=9l4=3a5>42e348h;7?;b:?1g=<6j7088e;37e>;14c9>0g`=9=h01;6i:06a?80>83;?n6399d820d=:;k91=9l4=2`7>42e349i97?;b:?0g5<6j70=l3;37e>;1?;0:8l52661951g<58kn6<:n;<3bb?73i27:n=4>4`9>5g7=9=k013;?m63;89820g=:>42f348>h7?;a:?12=<6;7515c8971328>i70<85;37f>;2m00:8o525dc951d<55i4>4c9>1<`=9=h018o?:06a?845m3;?n63=2g820g=::::1=9l4=312>42e34?:<7?;b:?654<6i70;>4;37f>;2?;0:8l52561951g<5n54>4`9>1g?=9=k018ln:06b?83ej3;?m63:de820g=:=mo1=9o4=4fe>42f349=n7?;b:?02f<642e34nh6<:n;42f34nn6<:n;42f34o;6<:n;42e34l36<:m;42e34lj6<:m;42e34lh6<:m;<333?73j27:=44>4`9>54e=9=h01<<>:06a?875:3;?n63>22820g=:9;>1=9l4=00:>42f34;897?;b:?273<69515`8945c28>i70?;6;o0:8o5rs9d94?5|50:1=h<4^9d89g4=9=30q~l=:187f~;e:3;n=63<058213=:;9?1=884=5aa>42e348nn7?:6:?1af<6=?16?8951448963?28?=70=:9;362>;4m;0:9;523d1951g<5:o?6<:n;<6b2?72>27?m:4>579>0d>=9<<019o6:075?835<3;?n639198213=:>831=884=4a:>42e34?>;7?;b:?57d<6=?16:>l5144897e228?=70;5k>0:9;522b:9500<5=hi6<;9;<6ag?72>27?ni4>579>0gc=9<<019li:075?85e;3;>:6342e34>3m7?;b:?131<6=?16>:;5144897>428>j70;j9;362>;2mh0:9;525d`9500<5==4>579>147=9<<018?=:075?836;3;>:63:158213=:=>81=9l4=4`4>42e34?i47?;b:?02g<6=?16?;m51448960c28?=70:5144890<6=?16:7?:6:?gg?73j27m<7?:6:?e27m57?:6:?ee?72>27mn7?:6:?eg?72>27:<:4>579>55>=9=h01<<>:075?875i3;?m63>2c820d=:9;i1=9o4=00g>42f34;897?:6:?27a<6=?1v5j50;1x9=c=9l80R5j4=c3951?609>753=9?;019ml:06a?84bj3;==63=eb8224=:;<=1=;?4=27;>406349>57?91:?0a7<6>816?h=515`896c328>i70:n6;355>;3i>0::<524`:9537<5=k26<8>;<710?72>27==54>609>24?=9?;018m6:075?84413;?m63:59820g=:>:k1=;?4=71a>40634>n=7?;a:?1g0<6>816>n85173897e028<:70;3jk0::<524ca9537<5=ho6<8>;<6aa?71927?nk4>609>7g5=9?;01>l;:042?85e=3;==63>ag820g=:9o91=9l4=5:b>431348<87?91:?130<6>8169h75173890cf28<:70;jb;355>;2mj0::<522209500<5<;;6<8>;<725?71927>=?4>609>145=9?;018?;:042?830;3;?n63:b8820g=:=kk1=9l4=24a>406349=o7?91:?02a<6>81687?91:?6>40634<1=;?4=g29537<5o21=;?4=g;9537<5ok1=;?4=g`9537<5oi1=;?4=024>40634;;47?:6:?267<6=?16=?o515`8944e28>i70?=c;37f>;6:m0:8o521249500<589n6<;9;|q;=?6=;r73m7?j2:\;=>;fn3;?56s|ag83>6c|5hl1=h?4=227>403349;97?94:?1ag<6>=16>hm51768963028;4=00::9523d09532<5:o86<;9;<1f0?72>27?m;4>659>0d1=9?>019o7:047?82f13;=863:238213=:>821=;:4=73:>40334?h97?:6:?17d<6;5k>0::9522b:9532<5=hi6<8;;<6ag?71<27?ni4>659>0gc=9?>019li:047?85e;3;=863403348<97?94:?6a<<6>=169ho5176890ce28;5:l0:9;525029532<5<;:6<8;;<726?71<27>=>4>659>142=9?>01>8m:047?851k3;=863<6e8221=:<3;=863::047?80=9?>01ij515`89c6=9?>01k6517689c?=9?>01ko517689cd=9?>01km51768944f28?=70?=b;362>;6:j0:9;5213f95004c53W2<70oj:06:?xufm3:1?iu2ad82a4=:;9>1=;;4=226>402348nn7?95:?1af<6><16?8951778963?28<>70=:9;351>;4m;0::8523d19537<5:o?6<8>;<6b2?71=27?m:4>649>0d>=9??019o6:046?80603;=9639188220=:=j<1=884=415>431348h97?95:?1g3<6><16>n95177897e?28<>70:mb;351>;3jj0::8524cf9533<5=hn6<8:;<6ab?71=278n>4>649>7g2=9??01>l::046?840<3;=963=748220=::1>1=9o4=4g:>40234?nm7?95:?6ag<6><169hm51778974a28?=70;>0;351>;2980::8525009533<5<;86<8:;<720?71=278:o4>649>73e=9??01>8k:046?82=9??0184>649>2?71=27oi7?;b:?e4?71=27m47?95:?e=?71=27mm7?95:?ef?71=27mo7?95:?26d<6>816=?l51738944d28<:70?=d;355>{t0<0;6>u28782a7=Y0<16mi4>489~wdb=839ow0ok:0g2?857<3;=:63<048223=::lh1=;84=3g`>401349>;7?96:?01=<6>?16?875174896c528<=70=j3;350>;4m=0::9524`49530<5=k<6<89;<6b27?m44>679>24>=9?<01;?6:045?83d?3;>:63:368213=::j?1=;84=3a5>401348h;7?96:?1g=<6>?168ol5174891dd28<=70:md;352>;3jl0::;524cd9530<5:h86<89;<1a0?71>278n84>679>7f6=9=h01?<9:06b?840<3;=:63=748223=:=l31=;84=4gb>40134?nn7?96:?6af<6>?16>>>51448907728<=70;>1;352>;29;0::;525019530<5<;?6<89;<15f?71>278:n4>679>73b=9?<0194>679>1?71>27=6<89;40134l36<89;40134lj6<89;40134lh6<89;<31e?71<27:>o4>659>57e=9?>01<X?;27jo7?;9:pef<72:np1lm51d38966328<<70=?5;353>;5mk0:::522da9531<5:?<6<88;<16669>7`4=9?=01>k<:046?85b<3;=963;a78222=:40034>j57?97:?55=<6>>16:<751758905?28?=70;5k?0:::522b59531<5;i36<88;<6af?71?27?nn4>669>0gb=9?=019lj:044?82en3;=;631=;94=2`6>400349h=7?;b:?10a<6::51758971228<<70;j9;353>;2mh0:::525d`9531<527>==4>669>147=9?=018?=:044?836;3;=;63:158222=:;?h1=;94=24`>400349=h7?97:?7>40034?1=;94=78222=:n90:::52f98222=:n00:::52f`8222=:nk0:::52fb8222=:9;91=884=00b>40234;9n7?95:?26f<6><16=?j51778yv>62908w06=:0g1?[>634ki6<:6;|qbf?6=9kq6mo4>e09>752=9?201>>::04;?84bj3;=463=eb822==:;<=1=;64=27;>40?349>57?98:?1517:8907628<370;>2;35<>;29:0::552506953><5:699>0?71027>6<87;<4953><5ml1=9l4=g2953><5o21=;64=g;953><5ok1=;64=g`953><5oi1=;64}r5g>5<4s4=n628>27p}n9;296f}:i00:i<52316953?<5::>6<86;<0ff?711279in4>689>701=9?301>;7:04:?85213;=56340?34>jn7?:6:?666<6=?169n65144897e228<370;3jk0::5524ca953><5:h86<87;<1a0?710278o?4>4c9>606=9=k01?9;:04;?83b13;=463:e`822==:=8:1=;74=432>40>34?:>7?99:?656<6>0169<:517;8960e28<270=9c;35=>;4>m0::4524;35=>;228<2708517;89c6=9?301k6517;89c?=9?301ko517;89cd=9?301km517;8944f28<=70?=b;352>{t?k0;6>u27b82a7=Y?k16m54>489~wd>=83;iw0o7:0g2?857<3;?o63<04820f=::lh1=9m4=3g`>42d349>;7?;c:?01=<6j70;>0;37g>;2980:8n52500951e<5<;86<:l;<720?73k278:o4>4b9>73e=9=i01>8k:06`?82=9=i0184>4b9>2?73k27n<7?;b:?e4?73k27m47?;c:?e=?73k27mm7?;c:?ef?73k27mo7?;c:pgg<72e39]gg=:km0:8452cd820<=:ko0:845rsbf94?5|5jn1=h?4=2d0>42f349m?7?;b:pg`<72;q6oh4>e09>25`=l81vnh50;;x9f`=9l;01<9l:e3894>>2m;019>m:e38917a2m;019?9:e38914f2m;019=>:e3891>52m;0q~m?:1878e628o97Sm?;42>34i86<:6;|q`6?6=nr7h>7?j1:?733;=7j>;<676?b634>;|q`7?6==r7h?7?j1:?6425>4k1:?544:l4k1:p3c<72:q64=4>e39]3c=:ih0:845rs`c94?4ds4kj6;<130?73l278<84>4e9>6`d=9=n01?kl:06g?852?3;?h63<59820a=:;<31=9j4=2g7>40134>j47?98:?7e<<6>1168ll51738904428<:70;l8;355>;5k>0::5522b:953><5=ho6<87;<6aa?71027?nk4>699>7g3=9?201>m<:06a?840=3;=463=7b820d=:=lh1=;64=4g`>40?34?:<7?;d:?654<6o70;>4;37`>;4>k0:8i5237a951b<5:;a83;?h63i8;37`>;a13;?h63ia;37`>;aj3;?h63ic;37`>;6:j0::;5213f95304c53W=270o8:06:?xuf?3:1=ou2a682a4=:;9>1=9k4=226>42b348nn7?;e:?1af<6n70=:9;37a>;1?j0:8o523`7951g<5<;;6<:j;<725?73m27>=?4>4d9>145=9=o018?;:06f?851j3;?i63<6b820`=:;?n1=9k4=5820`=:=3;?i639:06f?8`728>n70h7:06f?8`>28>n70hn:06f?8`e28>n70hl:06f?xu0?3:1?v388;3f6>X0?27j:7?;9:pe3<728hp1l851d38966328>m70=?5;37b>;5mk0:8k522da951`<5:?<6<:i;<164g9>22b=9=h01?:;:06b?83683;?j63:10820c=:=881=9h4=430>42a34?:87?;f:?02g<6m70:515d890<6p1<:;:0g1?[73;27:884>489>510=9=30q~?;5;2902}:9=?1=h?4=3g1>a7<5::36i?4=255>a7<5:2:6i?4=2:`>a7<5=in6i?4=3;a>a7<5;om6i?4=232>a7<5:;i6i?4=206>a7<5:8m6i?4=21:>a7<5:>86i?4=26g>a7<5:?h6i?4=2;4>a7<5;3?6i?4=3d:>a7<5:3m6i?4=2g4>a7<5=ko6i?4=7`4>a7<58k=6i?4=5c3>a7<5=h>6i?4=404>a7<5?;i6i?4=41a>a7<5a7<5=n<6i?4=5g6>a7<5?km6i?4=3aa>a7<5;n?6i?4=7:1>a7<5?>j6i?4=747>a7<5:k26i?4=5;0>a7<5=i:6i?4=712>a7<5?2j6i?4=7;5>a7<5?k96i?4=0;;>a7<58i:6i?4=2`;>a7<5:i=6i?4=74b>a7<5?==6i?4=0`7>a7<58im6i?4=0d6>a7<5;h26i?4=2f1>a7<5:n26i?4=70a>a7<5>91h<5220c9`4=::kl1h<523719`4=:<1n1h<5248;9`4=:=m91h<5264g9`4=:=h91h<524g49`4=:=8=1h<5rs065>5<3s4;?:7?j1:?0ac50;1x934628o97S8=0:?567<6<01v;<=:187e~;1:;0:i<523169506<5::>6<;?;<0ff?728279in4>519>701=9<:01>;7:073?85213;><631=;94=5c5>40>34>j;7?99:?7e=<6>0168l7517;897e228<270;5k>0::4522b:953?<5=hi6<86;<6ag?71127?ni4>689>0gc=9?3019li:04:?85e;3;=56342e34;hh7?;b:?2b5<6::517;8971228<270;j7;37e>;2m00::4525dc953?<5==4>519>147=9<:018?=:073?836;3;><63:158215=:=>;1=9l4=451>43134?;2jh0:9;525c`951d<5:519>0?72827>6<;?;<49506<5l<1=9o4=g29506<5o21=8>4=g;9506<5ok1=8>4=g`9506<5oi1=8>4=031>42f34;:>7?;b:?261<6=?16=?9515c8944>28>i70?=a;353>;6:k0:::5213a9531<588o6<88;<303?72>27:?k4>579~w1`02908w0:i8;3f6>X3n>168k7515;8yv2a13:19>u24g;95`7<5::?6<;>;<131?72927?o54>4`9>0f?=9=h019mm:075?82dk3;>:63=eb8214=:;ml1=9o4=5c5>42d34>j;7?;c:?7e=<6i70?n2;37f>;29o0:8l5260:953><5?;h6<:n;<42g?73j27>984>4`9>261=9<<01;=n:047?85f;3;?m63;bc820f=:42d34>ii7?;c:?5j70=me;37e>;1?90:8o52660951d<58h;6<:m;<3`g?72>278on4>4`9>7fb=9=k01>j?:06b?80513;?m6342f34<>m7?;a:?51g<6=?16>87515c8973f28>i70<:c;37f>;5><0:8l52274951d<5;<36<:m;<045?73i27>i:4>4c9>1`?=9=i018km:06`?83>m3;?m63:9g8213=:=881=8?4=452>43134?<>7?91:?636<6>8169lj5144890d028<:70;m9;355>;2jk0:9;525e`951d<5509>73b=9<;01?4>4`9>b5<6=816j54>509>b<<6=816jo4>509>bf<6=816=<=515c8947e28>j70?>c;362>;6:90:8l5213c953><588h6<87;<300?73i27:?n4>4`9~wd4=839p1l=51d08Zd4<5kh1=974}r`a>5;<131?72:279io4>509>70>=9<;01;9j:06a?84?j3;?m63:118214=:=891=8?4=24a>435349=h7?:2:?6>43634l;6<;=;43534lj6<;>;4353tyj87>53z?b1?7b:2Tj863mc;37=>{tjj0;6ku2bb82a4=:;9>1=8<4=3g`>435349>;7?:1:?01<<6=816::k51448977a28>j70;>1;365>;29;0:9?525069507<5:5<4s4?9m7?j2:\66<=:=;h1=974}r71f?6=;r7>>o4>e09>52g=9=k01<9n:06a?xu2kj0;69u21ef951?<5;2kj0:i<5rs0fg>5<3s4;oh7?j1:?2<6<66}:=;<3;0?73i27:494>4c9~w0>62908w0;72;3f6>X2081695=515;8yv3?;3:1:v3:8282a4=:<0o1=9o4=5;f>42e34;2?7?:6:?2=0<6=?169l7515`8yv3bn3:1?v3:f182a7=Y=ll018h>:06:?xu2n80;6;u25g395`7<5=h86<:n;<6a7?73j27:nn4>579>5gc=9<<01<<8:06a?xuc03:1?v3k9;3f6>Xc027:8<4>489~w426290hw0?;1;3f5>;38>0:8o5241:9532<5=;i6<;9;<62`?73j27?=>4>4`9>073=9=h019<9:042?84e?3;?m63=b6820g=:<;l1=9o4=50e>42e3ty>;h4?:2y>12`=9l80R89j;<7;4?7312wx95>50;1x90>728o:70=k7;37e>;4l>0:8o5rs73g>5<4s4<:i7?j2:\55a=:>8l1=974}r42b?6=;r7==k4>e09>0=6=9=k0196?:06a?xu?j3:1?v37c;3f6>X?j27i<7?;9:pf5<72;q6n=4>e09>676=9=h0q~o?:1808g628o97So?;<`b>42>3tyim7>53z?ae?7b9279>:4>4c9>61c=9=h0q~79:1808?028o97S79;<`6>42>3tyi97>52z?a1?7b9279884>4c9~w<>=839p14751d08Z<><5k<1=974}r`5>5<5s4h=6;<1b2?73j2wx5l4?:2y>=g<6m;1U5l52b6820<=z{k=1<7;e03;?56s|b983>7}:j10:i<52243951d4c53W3n70l6:06:?xue13:1>v3m9;3f5>;5?m0:8o5rs720>5<4s4<;87?j2:\546=:>991=h?4}r433?6=:r7=<>4>489>251=9l;0q~8?6;296~;18:0o86390782a4=z{=lj6=4<{<6ef?7b:2T?jl524gc95`7mi7>52z?7bd<6<0168kk51d38yv2al3:1>v3;f`8g0>;3nm0:i<5rs4:7>5<4s4?397?j2:\6<1=:=1>1=h?4}r7;494>489>1=>=9l;0q~;77;296~;20=0o863:8682a4=z{j?525g095`752z?6b7<6<0169k851d38yv3a=3:1>v3:f38g0>;2n<0:i<5rs43;>5<4s4?:57?j2:\65==:=821=h?4}r72e?6=:r7>=54>489>14g=9l;0q~8>2;297~;19:0:i?5Q6008937528o:7p}91583>7}:>881=974=737>4c63ty>nh4?:2y>1g`=9l80R8lj;<7aa?7b92wx9n>50;0x90db28>270;l0;3f5>{t=;i1<7=t=40g>4c53W?9o63:2b82a4=z{<8m6=4={<71g?73127>>k4>e09~w7662908w0X58816>=?51d38yv44=3:1>v3=00820<=:::?1=h?4}r77g?6=;r7>8i4>e39]11e<5<>h6;|q60c<72;q699m515;8902a28o:7p}>f783>6}:9o=1=h<4^0d5?87a>3;n=6s|20`94?4|58l=6<:6;<02f?7b92wx=k650;1x94`>28o97S?i8:?2b=<6m81v?<=:18187a03;?563=2382a4=z{8lo6=4<{<3ea?7b:2T:ji521gf95`752z?2ba<6<016>9>51d38yv7an3:1?v3=0182a7=Y9ol0126;|q146<72:q6>=:51d08Z764348;?7?j1:p602=838p1?><:06:?842<3;n=6s|21794?5|5;:=6;58<0:i<5rs343>5<5s48;97?;9:?125<6m81v?>8:18084703;n>6P=069>651=9l;0q~<9c;296~;58>0:845227a95`753z?14d<6m;1U>=74=32:>4c63ty9;54?:3y>65?=9=301?97:0g2?xu58k0;6>u221a95`4{t:>l1<742>348j:0g1?[47l279e09~w7>12909w0;50?0:i<5rs4g1>5<4s4?n?7?j2:\6a7=:=l81=h?4}r7f0?6=:r7>i?4>489>1`2=9l;0q~:j6;297~;3m>0:i?5Q4d4891c128o:7p};e983>7}:4c63ty>?i4?:2y>16c=9l80R8=k;<70`?7b92wx9>h50;0x905c28>270;{t=4c53W?>i63:5d82a4=z{<<;6=4={<76a?73127>:=4>e09~w0?22908w0;66;3f6>X21<1694;51d38yv3>?3:1>v3:94820<=:=0=1=h?4}r3g1?6=:r7>on4>489>5a3=9l;0q~?k6;296~;2kj0o863>d782a4=z{8li6=4<{<3eg?7b:2T:jo521g`95`752z?2bg<6<016>?751d38yv2bl3:1?v3;ed82a7=Y;|q64<<72:q69=o51d08Z06>34?;57?j1:p15d=838p18>6:06:?837j3;n=6s|57`94?5|5<;2>k0:i<5rs44g>5<5s4?=n7?;9:?62a<6m81v89::180830>3;n>6P:749>123=9l;0q~;87;296~;2?<0:845256595`753z?6e0<6m;1U9l:4=4c7>4c63ty>m;4?:3y>1d2=9=3018o9:0g2?xu2j90;6>u25c395`4{t=k81<742>34?i>7?j1:p1a2=839p18j::0g1?[3c<27>h94>e09~w0b12909w0;k4;37=>;2l?0:i<5rsb694?5|5j?1=h<4^b689f2=9l;0q~m9:1818e328>270m9:0g2?xu18l0;69u2615951?<5?:>6<:6;<425?73j27=e09~w362290?w08?5;3f5>;18j0:8l5261f951g<582>6<:n;|q54=<72;q6:=8515;8936?28o:7p}91183>7}:>921=974=733>4c63ty=<44?:3y>247=9=k01;>6:0g2?xu1980;6?u260395`7<5?:m6<:6;|q20=<72j2p1<:7:0g2?807n382o6390g814m4=424>7>c34?2?7<6c:?6=6<50m16:=?528a893662;2o70;5m;094i5231:96k278;;4=8e9>7=7=:0i01>6>:3:g?85?k382o63<8b814m4=5af>7>c34;>2;3h70<6b;0:g>;51k094i522dd96k278=<4=8e9>74d=:0i01>?m:3:g?855=382o63<24814m4=20e>7>c349857<6c:?07<<50m16?9=528a896242;2o70=;d;0:g>;4k2785:4=8e9>6<2=:0i01?7;:3:g?84a1382o63=f8814m4=2;e>7>c349n;7<6c:?0a2<50m168lj528a891gc2;2o708m7;0:g>;1j>094i521`496k27?m=4=8e9>0g3=:0i019l::3:g?835?382o63:26818h1>5j4=41a>7?d34?8n7<7d:?61d<51j1698o529f8935b2;3h708;3l>095n524e596=b<5=o>6?7l;<6f1?4?l27?;;4=9b9>020=:1n019;6:3;`?8221383h635j4=52a>7?d34>;n7<7d:?71c<51j1688h529f8917a2;3h70:>f;0;`>;3><095n5247796=b<5=2d`=:1n01?mm:3;`?84dj383h63=d581=f=::m>1>5j4=535>7?d34>::7<7d:?76d<51j168?o529f893>52;3h70872;0;`>;1520=:1n01>o6:3;`?85f1383h63;4981=f=:<=21>5j4=573>7?d34>><7<7d:?7=6<51j1684=529f891e62;3h70:l1;0;`>;1;8095n5262396=b<5?2j6?7l;<4;e?4?l27=5;4=9b9>2<0=:1n01;o=:3;`?80f:383h63>9981=f=:9021>5j4=0a2>7?d34;h=7<7d:?0f=<51j16?o6529f896e12;3h70=l6;0;`>;3;>095n5242596=b<5?220=:1n01;j528a893b=:1n01cg81=f=:9jl1>5j4=0d6>7?d34;m97<7d:?1f<<51j16>o7529f896b52;3h70=k2;0;`>;4l0095n523e;96=b<5=::6?7l;<635?4?l27??<4=9b9>067=:1n019:=:3;`?823:383h63;7b81=f=:<>i1>5j4=5:1>7?d34>3>7<7d:?56g<51j16:?l529f8925=:0i01:=529f8977f2;3h70<>a;0;`>;5jo095n522cd96=b<5:<86?7l;<157?4?l278ik4=9b9>7``=:1n0196k:3;`?82?l383h63;9881=f=:<031>5j4=4f0>7?d34?o?7<7d:?515<51j16:8>529f8933b2;3h708:e;0;`>;2m>4=9b9>1d5=:1n019h9:3;`?82a>383h63:1681=f=:=8=1>5j4}r0b6?6=:::p1?o=:0g2?807n3n;70;?7;f3?83>;3n;708?1;f3?84b:3n;70=?8;f3?857<3;>;63<05821==:;9>1=874=227>43f349;87?:b:?041<6=j16?=:514f8966328?n70=?4;36b>;48=0::=523169534<5::?6<8<;<131?72?278<84>599>753=9<301>>::07b?857=3;>n63<04821f=:;9?1=8j4=226>43b349;97?:f:?040<6>916?=;51708966228<870:le;f3?870k3n;70?79;f3?84bn3n;70;5m10:9<522d;9506<5;o26<;>;<0ff?72=279io4>569>6`d=9<201?km:07:?84bj3;>m63=ec821g=::lh1=8m4=3ga>43c348nn7?:e:?1ag<6=o16>hl5172897ce28<970;5mj0:98522da9501<5;oh6<;7;<0fg?721279in4>5`9>6`e=9h63=eb821`=::li1=8h4=3g`>407348no7?92:?1af<6>:16?74d=l916??;5d19>77`=l916??m517;8964d28>h70==c;37`>;4:j0:8h5233a951`<5:8h6<;?;<11g?729278>i4>689>77b=9=i01>4=20g>436349857j?;<102?73k278?;4>4e9>760=9=o01>=9:06e?854>3;><63<378214=:;:=1=9m4=214>42c3498;7?;e:?072<6951428965028?:70=;3;f3?85383;?h63<41820`=:;=:1=9h4=263>437349?<7?:1:?004<6m70=;1;364>;4<80:9<5235f9`5=:;=k1=9k4=26b>42a349?m7?:0:?00d<6=816?9l515g8962e28>m70=;b;364>;4437349>97?:1:?012<6=<16?8951458963028?370=:7;36=>;4=>0:9l52345950d<5:?<6<;l;<163?72l2789:4>5d9>701=9;8:043?852?3;=>63<568226=:;<21=8;4=27;>430349>47?:8:?01=<6=016?86514c8963?28?i70=:8;36g>;4=10:9i5234:950c<5:?36<;i;<16639>70>=9?901>;6:076?85213;>;63<58821==:;<31=874=27:>43f349>57?:b:?01<<6=j16?87514f8963>28?n70=:9;36b>;4=00::=5234;9534<5:?26<8<;<0e=?b7348m:7?:1:?1b2<6=816?h95d19>7`5=9=i01>k<:06g?85b;3;?i634=2g0>436349n87?;c:?0a1<6m70=j4;364>;4m=0:9<524`f9`5=:43634>j47?:1:?7e<<6=816:o95d19>5d0=l9169?95d19>24d=l916:<6515a8937?28>o708>8;37a>;1910:8k5260:9506<5?;36<;>;<42=?73k27==44>4e9>24?=9=o01;?6:06e?80613;><639188214=:=:h1h=5254c9`5=:>:o1h=524e59`5=:;<0`3?729279o54>509>6a2=l9168<85d19>07g=l916:5<5d19>21g=l916:;:5d19>520=l916?l75d19>01>=l91688>5d19>0<5=l9168n?5d19>0gd=9<:019lm:072?82ek3;><63;bb8214=:4=5`g>43634>ii7?:0:?7f`<6=8168oh5142891da28?:708<1;f3?80?i3n;70866;f3?80f:3n;70?68;f3?87d93n;70=m8;f3?85e;3;><631=8>4=2`7>436349i97?:0:?0f0<6=816?n85d19>061=l916:;o5d19>220=l916:i4k0:?2f1o4k0:?47?b7348:m7j?;<0ab?b7349=?7j?;<155?73m278:<4>4g9>737=9<:01>8>:072?85bn3n;70:7d;f3?82>13n;70;k3;f3?80283n;708:e;f3?843?3;?j63=468215=::==1=8?4=345>40>348=:7?;c:?123<6;8515g8970128>m70<96;364>;5>?0:9<52275953?<5;<<6<:l;<053?73l279::4>4d9>631=9=l01?88:073?841?3;>=63=69822<=::?21=9m4=34;>42c348=47?;e:?12=<6;651428970?28?:70<99;35=>;5>00:8n5227;951b<5;<26<:j;<05=?73n279:44>519>63?=9<;01?9;:06g?840<3;?i63=75820c=::>>1=8>4=357>436348<97?;d:?130<6:;515d8971228?;70<85;365>;2m00:8k525d;9506<5;<7fe?73n27>il4>519>1`g=9<;018km:06e?83bj3;><63:ec8214=:=li1=9h4=4g`>43734?no7?:1:?60g:l4k0:?6e6==4>569>146=9<2018??:07:?83683;>m63:11821g=:=8:1=8m4=433>43c34?:<7?:e:?655<6=o169<>51728907728<970;>0;357>;2980:9:52503950><5<;:6<;6;<725?72i27>=<4>5c9>147=9:07g?83693;>i63:10821c=:=8;1=;>4=432>40534?:=7?93:?657<6=>169<<514:8907528?270;>2;36e>;29;0:9o52500950e<5<;96<;k;<726?72m27>=?4>5g9>144=9?:018?=:041?836:3;=?63:128212=:=891=864=430>43>34?:?7?:a:?656<6=k169<=514a8907428?o70;>3;36a>;29:0:9k525019536<5<;86<8=;<727?71;27>=94>569>142=9<2018?;:07:?836<3;>m63:15821g=:=8>1=8m4=437>43c34?:87?:e:?651<6=o169<:51728907328<970;>4;357>;4>h0:9=5237c9507<5:599>73d=9<301>8m:07b?851j3;>n63<6c821f=:;?h1=8j4=24a>43b349=n7?:f:?02g<6>916?;l51708960e28<870=9c;361>;4>j0:9:5237a950><5:5c9>73e=98l:07g?851k3;>i63<6b821c=:;?i1=;>4=24`>405349=o7?93:?02a<6=<16?;j51458960c28?370=9d;36=>;4>m0:9l5237f950d<5:5d9>73b=98k:043?851l3;=>63<6e8226=:<3;>;63;:07;?82=9<30194>5`9>0?72j27?6<;l;<6950b<5=0:9h524;36b>;328<;70:5170891<6>:1697?:7:?6>43?34?1=874=4821d=:=3;>n63::07`?83=95d9>1?72n27>6<8?;<79534<5<0::>526;363>;128?3708514;893<6=h16:7?:b:?5>43d34<1=8j4=7821`=:>3;>j639:043?80=9?801;4>629>b5<6=>16j=4>599>b5<6=016j=4>5`9>b5<6=k16j=4>5b9>b5<6=m16j=4>5d9>b5<6=o16j=4>619>b5<6>;16j=4>629>b=<6=>16j54>599>b=<6=016j54>5`9>b=<6=k16j54>5b9>b=<6=m16j54>5d9>b=<6=o16j54>619>b=<6>;16j54>629>b<<6=>16j44>599>b<<6=016j44>5`9>b<<6=k16j44>5b9>b<<6=m16j44>5d9>b<<6=o16j44>619>b<<6>;16j44>629>bd<6=>16jl4>599>bd<6=016jl4>5`9>bd<6=k16jl4>5b9>bd<6=m16jl4>5d9>bd<6=o16jl4>619>bd<6>;16jl4>629>bg<6=>16jo4>599>bg<6=016jo4>5`9>bg<6=k16jo4>5b9>bg<6=m16jo4>5d9>bg<6=o16jo4>619>bg<6>;16jo4>629>bf<6=>16jn4>599>bf<6=016jn4>5`9>bf<6=k16jn4>5b9>bf<6=m16jn4>5d9>bf<6=o16jn4>619>bf<6>;16jn4>629>57g=9=l01<=63>2c820c=:9;h1=8>4=00a>43634;9o7?;f:?26f<6=916=?m51438944c28>m70?=d;364>;6:m0:9<5rs72b>5<5s4<;57?;a:?54d<6m81v;>m:18180713;?n6390c82a4=z{=:86=4i{<43g?73j27=4c9>5=3=9=h019><:0g2?827?3;?m63;09820d=:<931=9o4=53a>42f34>:o7?;a:?754<6j70:=6;37e>;3:>0:8l5243:951g:;7>5bz?54f<6=?16:=j5144894>228?=70:?8;37f>;3800:8o5240595`7<5=;o6<:n;<620?73j27?>;4>4c9>071=9=h019<7:06a?xu38m0;6iu261a9537<5?:o6<8>;<3;1?71927?<54>579>05?=9<<019?m:06a?826k3;?n63;0e82a4=:<8;1=9l4=531>42e34>9:7?:6:?762<6=?168?651448yv2593:15v390b8221=:>9n1=;:4=0:6>40334>;47?91:?74<<6>8168<:51448914628o:70:=5;37e>;3:10::<5rs72`>5<5s4<;o7?j1:?54g<66c82a4=:<0;1=9o4=5;2>42e34?=;7?;a:p02b=838p1;>k:045?820l3;n=6s|61f94?4|5?:o6;<43f?73j2wxni4?:06x937728>j708>0;37f>;2810:8l5251:951d<5<3?6<:n;<7:0?73j27=4`9>254=9=h0199<:06b?820<3;?m63;6`820d=:42f34<<6<:n;<60`?73i27>:84>4`9>fa<6m8169:l515c8901d28>j70h<:06b?xu28?0;6>u24gg951?<5=lh6<:6;<733?7b92wx8km50;7x91`d28o:70?8d;37e>;6?m0:8o5219c951g<582j6<:m;|q7bc<72;q68kj515;891`a28o:7p}:0983>7}:4c63ty><=4?:3y>151=9=3018>?:0g2?xu2880;6?u2512951g<5<::6;|q647<72;q69=>515`8906528o:7p};5083>41|5<:86<:n;<730?73i27><84>4`9>1=c=9=k018hl:06b?82293;n=63;57820d=:<<=1=9o4=050>42f34>?h7?;a:?70`<64c9>2=<64`9>2d<64`9>7cd=9=k01>hl:06b?85al3;?m63;41820d=:=?91=9o4=445>42f34?=;7?;b:p7c6=83lp18><:06a?87??3;?m63;72820g=:<>>1=9l4=575>42e349m<7?j1:?72d<6i70:;d;37f>;34`9~w13f290:8v3:028213=:=9>1=9l4=426>42e34?3j7?;a:?6ba<6i70::a;3f5>;6>o0:8l5217d951d<5?=1=884=7:951d<5?31=9l4=7c951d<5?h1=9l4=2da>431349mo7?;b:?0ba<6515`8900428>i7p};6183>45|5<:86<8>;<730?72>27><84>579>1<6=9=k018hj:06b?822l3;?m63;5e820g=:42f34;<<7?;b:?53?71927=47?:6:?5=?72>27=m7?:6:?5f?72>278jo4>609>7ce=9<<01>hk:075?82383;>:6s|47494?74s4?;?7?94:?641<6>8169=;5173890?628>j70;if;37e>;3>:0:8l52471951d<5=<=6;<345?73i27:;<4>4c9>22<6>=16:54>609>2<<6>816:l4>609>2g<6>816?kl5176896`d28<:70=id;355>;3<90::<5rs420>5<5s4?;?7?j1:?647<6;<646?73i27?:l4>579>03d=9<<01<9;:06a?80028<>7087:047?80>28;4nj0::9523gd951d<5=9o6<:m;<60a?73j27??k4>4`9>016=9?>0188::06a?831?3;>:6s|51694?4|5<:?6;<736?73j2wx8><50;cx906228;3?:0:9;5247c9537<58=?6<;9;<606?7b927=n7?95:?0bc<6=?168>h515`8901d28>i7p};7683>d}:=9?1=;;4=557>43134>=n7?91:?5f?71>278jl4>579>7cb=9?>019=i:075?820?3;n=63:648213=:=>i1=884}r731?6=:r7><84>e09>154=9<<0q~;62;297~;2010:8452594951?<5<386;|q6<3<72;q695851d3890?628?=7p}:8883>7}:=1=1=974=4::>4c63ty>594?:3y>1=?=9=30187;:0g2?xu20h0;6?u2581951?<5<2j6;|q6e28o:7p}:8b83>7}:=1k1=9l4=4:`>4c63ty8jh4?:2y>1=b=9=k0186k:06a?85am3;n=6s|59f94?4|5<2o6;<7;g?73i2wx=5m50;cx90>b28>i70;7f;37f>;2190:8o52583951d<582h6;<3:7?73i27:594>4`9>5<3=9=k01>hi:042?874j3;?m6s|59g94?4|5<2n6;<7;g?73j2wx95h50;0x90>a28o:70;7c;362>{t=0:1<74c634?3o7?91:p1<7=838p187>:0g2?83?k3;=86s|61294?5|5e09~w0`32909w0;i4;3f5>;2no0:9;5rs4d4>5<5s4?m97?;9:?6b2<6m81v;>=:18183a?3;?56390382a4=z{j54>e09~w0`>2909w0;i8;37e>;2n00:i<5rs4db>5<5s4?m47?;b:?6bd<6m81v<69:18083aj3;?m63:fc820g=:91<1=h?4}r7ef?6=:r7>jo4>e09>1cg=9=k0q~?m5;29e~;2nj0:8o525gf951d<5609>5g3=9l;01bd820d=:9:91=9o4}r7eg?6=:r7>jn4>e09>1cg=9=h0q~;id;296~;2nm0:i<525gc950052z?6b`<6m8169ko51738yv3an3:1>v3:fg82a4=:=ok1=;:4}r0f5?6=:r79h;4>489>6`4=9l;0q~42f348oh7?;a:?1``<6ih515c897c728>j70=?0;37e>;48;0:8l522d:951g<5;o26<:n;<13a?73i2784`9>74>=9=k01>?6:06b?855:3;?m63<22820d=:;;i1=9o4=20g>42f3498:7?;a:?072<6515c8962628>j70=;a;37e>;44`9>737=9=k01?:8:06b?851i3;?m63jc;37e>;bl3;?m63je;37e>;bn3;?m63>01820d=:99;1=9o4=021>42f34;;?7?;a:?241<6e09~w7b>2909w0;5l00:i<5rsdc94?5|5;nj6<:n;<0ge?73j27nm7?j1:p6ag=838p1?jn:0g2?84c13;?m6s|fd83>6}::mh1=9o4=3fa>42e34ln6;|q1`g<72;q6>il51d3897b>28>i7p}<6g83>77|5;nh6<:m;<0g`?73j279hh4>4c9>6a`=9=h01?k?:06a?85793;?m63<03820g=:;9>1=8=4=226>43434?:<7?:2:?654<6=;169<<51418907428?970;>4;366>;4>o0:i<524;366>;228?9708514089`e=9=h01hj515`89`c=9=h01hh515`89c6=9<901k6514189c?=9<901ko514089cd=9<901km51418946728>i70??1;37f>;68;0:8o52111951d<58:?6<:m;|q1`f<72;q6>im51d3897b>28?=7p}=c183>61|5;no6<;9;<130?72<278<84>559>6`1=9=k01?k7:06a?84bj3;>>63=eb8216=:;9n1=9o4=22f>42e349:;7?;a:?05=<6i70==b;37e>;4:j0:8o52327951g<5:9=6<:m;<10b?73i2788=4>4c9>71?=9=k01>:n:06a?852<3;?m63<54820g=:;<=1=8<4=27;>435349>57?:2:?1b0<6k8515`8905428>j70;<4;37e>;5k90:i<522b1951g<5;n:6<:n;<0g6?73i27>h<4>4`9>146=9<9018?>:070?836:3;>863:128216=:=8>1=8=4=24b>42e349=n7?:3:?02f<6=:16?;j5141891<6=:1697?:3:?5>43434l;6<;;;42e34l?6<:n;43334l26<;;;43434li6<;;;4333ty9hi4?:3y>6ab=9l;01?j6:042?xu5km0;6>9t=3ff>431349;87?:5:?040<6=<16>h9515`897c>28>i70;5mj0:995231f951d<5::m6<:m;<123?73j278=44>4c9>777=9=h01><<:06a?855j3;?n63<2e820g=:;:?1=9l4=214>42e3498j7?;b:?004<6i70=:4;37f>;4=>0:9>5234:9505<5:?26<;<;<0e1?73j279j:4>4c9>165=9=h018=;:06a?84d;3;?n63=ce82a4=::m;1=9l4=3f1>42e34?o=7?;b:?102<651468907628??70;>2;361>;29:0:99525069502<5:559>73b=9<>0194>559>1?72<27=6<;;;43234l86<;9;42e34l36<;:;43234lj6<;;;43234lh6<;:;|q1``<72;q6>ik51d3897b>284e|5;nm6<;9;<0ef?7b9278<=4>4c9>757=9=h01>>=:075?84b03;>:63=e88213=:;9o1=884=22e>431349:47?:6:?05<<6=?16??<51448964428?=70==c;362>;4:m0:9;523249500<5:9<6<;9;<174?72>2788<4>579>71g=9<<01>:m:075?852=3;>:63=f78213=::o=1=884=0d2>42e349==7?;b:?102<6=?16?;o51738yv4cn3:1>v3=dg82a4=::m31=;;4}r13=?6=9>q6>h>5144897c?28<:70;4800:i<5231f9500<5:;36<8>;<12=?719278>?4>609>775=9?;01>406349?=7?91:?00d<6>816?9l51738963228<:70;5n>0::<523739500<5;><6<8>;<15e?71<2wx>h>50;0x97c728o:70{t;9=1<742>349;47?j1:p750=838p1>><:06:?857>3;n=6s|31194?5|5::86;42f34lm6<:m;|q1bf<72;q6?=6515;897`d28o:7p}=fe83>7}::oi1=9o4=3dg>4c63ty9jh4?:3y>6ce=9=h01?hj:0g2?xua>3:1?v3=fg820d=::ol1=9l4=g495`752z?1bc<6m816>kk515c8yv5783:1>v3<0182a4=::oo1=9l4}r135?6=:r78<<4>e09>6cc=9<<0q~=?2;296~;48;0:i<522gg953752z?041<6m816?=8515c8yv57=3:1>v3<0482a4=:;9<1=9l4}r141?6=;r78;=4>489>721=9=h01>99:0g2?xu4?90;6ou236295`7<5:=?6<:n;<14`?73i278;h4>4`9>7=1=9=k01>66:06b?85>:3;?m63<92820d=:;0>1=9o4=3;1>42f3492h7?;a:p724=838p1>98:06b?850:3;n=6s|36594?4|5:=<6;<142?7312wx?:?50;0x96112m:01>9>:0g2?xu4?:0;6?u2360951g<5:=86;|q031<72;q6?:<515`8961328o:7p}<9883>4c|5:=?6<:m;<14b?73i2784l4>4`9>6`>=9?>01?k6:047?857m3;==63<0g8224=:;821=;:4=23:>4033499>7?94:?066<6>=16??m51768964c28;4;>0::9523529532<5:>:6<8;;<17e?71<2788o4>659>703=9?>01>7=:06a?85>=3;?m63=f78221=::o=1=;:4=2;:>4c6348h?7?:6:?1`4<6=?16>i<51448972028{t:k>1<7<8{<140?72>278;<4>4`9>72`=9=h01>96:06b?85?i3;?n63<82820d=::0<1=9o4=3g;>402348n57?95:?04`<6>=16?=h51768967?28<>70=>9;351>;4:;0::8523319533<5:8h6<8:;<11`?71=278?;4>649>761=9??01>:?:046?85393;=963<4`8220=:;=h1=;;4=276>4023492?7?;b:?0=0<6a28>j70;5n>0::85238c951g<5;i86<8>;<0g5?719279h?4>609>6g2=9l;01?lk:06b?84el3;?n63=468220=:;?31=9l4}r0ae?6=:i70=8f;362>;4?00:8o5239c9500<5:286<:m;<0:2?73j279i54>679>6`?=9?<01>>j:046?857n3;=963<198223=:;831=;84=201>4013499?7?96:?06f<6>?16??j51748965128<=70=<7;352>;4<90::;523539530<5:>j6<89;<17f?71>278984>679>7<2=9=h01>7::075?85?m3;?n63=8g820g=::o<1=;84=3d4>4013492m7?;b:?1g6<6>=16>i?5176897b528;5<>0::;5237;950052z?03=<6<016?5?51d38yv5003:1nv3<7982a4=:;>n1=9l4=25f>42e349347?;a:?0<<<647515c896?528?=70=63;362>;41=0:9;52280951d<5:3o6<:m;|q03d<72;q6?5?515;8961f28o:7p}<7883>7}:;1;1h=5236;95`752z?03d<6v3<7`820g=:;>i1=h?4}r14`?6=:r78;i4>e09>72e=9=k0q~=8e;296~;4?l0:i<5236a951d52z?03c<6m816?:m51448yv5?j3:1>v3<83820<=:;1i1=h?4}r1;6?6=ir784?4>e09>7=1=9=h01>67:06a?85?13;>:63=98820g=:;081=;?4=2;0>406349287?91:?1=7<6=?16?4j51448yv5?<3:1>v3<8b820<=:;1>1=h?4}r1;7?6=:r784n4k0:?0<6<6m81v>6::18185?<3;?m63<8482a4=z{:2=6=4={<1;0?73j2784;4>e09~w6>02909w0=77;3f5>;40?0:8l5rs2:;>5<5s49347?j1:?0<3<666:18185?13;n=63<878213=z{:2j6=4={<1;e?7b92784;4>609~w1ec2908w0:l2;37=>;3ko0:8o524bg95`7h>7>531y>0f4=9l;019m7:06a?82d13;>:63;c`820g=:40634>j:7?;d:?7e2<628>o70;=0;37e>;3lo0:8l522b7951e<5;i=6<:l;<0`3?73k279o54>4b9>0gd=9=n019ll:06g?82el3;?h63;bd820a=:42f34;ij7?;a:?0f6<6h70?ne;362>;6io0:9;521c29500<58h:6<:m;<3`g?71927:oi4>579>624=9=k018k6:06g?83bi3;?o63:ec820a=:=li1=9m4=4`4>40334?i47?91:?6f<<6>=169oo5173890de28<:70k8:06b?87513;>:63>2`822<=:9;h1=;64=00`>40>34;9h7?98:p0f5=838p19mi:06b?82d;3;n=6s|4bd94?4|5=im6;<6`a?7312wx8n:50;0x91e428>j70:l4;3f5>{t42e34>h97?j1:p5db=839p19m9:06b?82d>3;?n63>ae82a4=z{=i=6=4={<6`2?7b927?o84>4`9~w0c?2908w0:l7;37e>;3k>0:8o525d:95`7h;7>52z?7g2<6m8168n;515`8yv5bi3:18lu24b:9500<5=ij6<;9;<0ff?72<2789:4>559>70>=9<>01>;6:077?85cn3;?n63>a1820g=:9h;1=884=0c0>42e34?:j7?;b:?55<<6>11698;515`8935?28?=708;5k<0:8i522b4951b<5;i<6<:k;<0`4>4c9>0g`=9=n01;7?:075?80>:3;?n63992820d=:>h:1=9l4=2`0>42c349i87?;d:?0f0<6i70?ne;355>;6io0::<521c39500<58io6<8>;<1`g?73j278oh4>4`9>7a6=9=h01;<6:06a?85bi3;n=63;88820g=:>42e348>n7?;b:?11a<6;;515`8970028>i70<99;37f>;5?80:8o525dc951b<5m=4>579>146=9:076?836;3;>963:158210=:=k21=;:4=4`b>40334?oo7?;b:?6`a<6=?169ih515`897<643234<1=8;4=gc9503<58;86<:m;<32f?73j27:>=4>4c9>57?=9?;01<35820g=:9:i1=9l4}r6`e09>0f3=9<<0q~:k0;296<}:40634>hn7?94:?7gf<6>=168l8515g891g?28>n70:k0;3f5>;3l<0:8l524ef951g<5=nn6<:n;<0`1?73m279o:4>4d9>0gd=9=o019lk:06f?87>83;?m63>91820g=:9k31=9o4=0`:>42e349i?7?;e:?0f0<6;6j90::<521c39537<58i26<:n;<3`f?73i27:on4>659>5fb=9?>01969:06b?83e?3;=963:b98220=:=k31=;;4=4`b>40234?in7?94:?f0?73i27:>44>659>57g=9=i01<2e820f=z{=n36=4;fz?7g<<6>=168no5176891ee28<>70:lc;351>;3i>0:8h524`;951c<5=ki6<8;;<716?71927>>>4>659>172=9?;018m::042?83d>3;==63:c68224=:=j21=;:4=4a:>40634?8:7?91:?672<6>8169>65173891b328>j70:k4;37f>;3l10:i<524ef951d<5=nm6<:m;<0`2?73m279o54>4d9>0ge=9=o019lj:06f?82en3;?i63>97820g=:9kl1=9l4=2`7>42b34;jo7?;b:?2e`<6><16=lh5177894d728;6k00:8o521bc951g<58ii6<:m;<3`g?71=27:oi4>649>34<64c9>0=0=9=h01967:075?82?13;>:63;8`8224=::;o1=;?4=30e>4063488<7?91:?174<6>816>><5173890d028<=70;m8;352>;2j00::;525cc9530<542f34o=6<:m;42e34o36<:n;<314?72>27:><4>609>574=9?;01<<<:042?875<3;==63>288220=:9;k1=9j4=00a>42c34;9o7?;d:?26a<6:51448945228<:70?<6;355>;6;>0::<5212a9500<589o6<8>;<30a?71927:?k4>609~w22=83?hw0:l9;351>;3kh0::8524b`9530<5=ih6<89;<1f7?710278i94>699>0d0=9=l019o8:06e?82f03;?j63;a8820c=:40334?9?7?95:?661<6>=169n;5176890e128;2k10::8525b;9532<5<9=6<8;;<703?71<27>?54>659>0a`=9<<01?m::06e?84d>3;?j63=c6820c=::j21=9h4=5`a>42a34>io7?;f:?7fa<6m70?63;37f>;61=0:8o52187951d<583=6<;9;<3ag?73j27:ni4>4c9>5gc=9=h0140134;jj7?96:?2f5<6><16=o?5177894ee28?=70?lc;352>;6km0::;5249:9537<5=226<8>;<6;e?71<27>i44>4d9>1`g=9=o018km:06f?83bk3;?i63=2d8221=::;l1=;:4=313>4033488=7?94:?177<6>=16;94>e09>0c2=9=k018l8:044?83e03;=;63:b88222=:=kk1=;94=4`a>40134o=6<;9;43134o36<:m;<314?71927:><4>659>574=9?>01<<<:047?875<3;=863>288223=:9;k1=9k4=00a>42b34;9o7?;e:?26a<6=515`8945328<:70?<5;350>;6;?0::9521259532<589i6<:m;<30g?71927:?i4>659>56c=9?>01<=i:047?xu3k00;6?u24b;95`7<5=i>6<8>;|q7gd<72;q68no51d3891e2287}:4023ty?on4?:3y>0fe=9l;019m::045?xu6?k0;6?u2165951?<58=h6;|q232<72i70:;d;362>;2>?0:8o52122951g52z?23`<6v3>7d82a4=:9>i1>5j4}r34489>52>=9l;0q~?89;296~;6?10:8l5216;95`752z?23=<6v3>7g820<=:9131=h?4}r34b?6=>r7:;k4>e09>001=9<<019:j:075?82>?3;?m63;96820g=:=?<1=884}r3;3?6=:r7:4;4>489>5=1=9l;0q~?7a;296~;60k0:8l5219c95`752z?2v3>88820<=:91:1=h?4}r3;5?6=:r7:4=4>4`9>5=7=9l;0q~?72;296~;6090:8o5219095`752z?2<6<6m816=5<515c8yv7?<3:1>v3>8582a4=:9181=9l4}r3;1?6=:r7:484>e09>5=4=9<<0q~<6a;296~;51<0:845228`95`7523y>6<3=9l;01;l;:06b?80fi3;?n639ac820g=:>hi1=9o4=7cg>42f34j7086c;37e>;11m0:8o5268g951d<5?3m6<:n;<4b4?72>279=84>4c9>640=9=h01??8:06b?84603;?m63956820d=:><31=9o4=77b>431348>57?:6:?120<6=?16996515c890?d28>j70;6d;362>;21l0:9;5211`951g<58:m6<:n;<324c9>54g=9=k010;6?u228`951?<5;3<6;|q1=3<72;q6>4l5d19>6<0=9l;0q~<68;296~;51>0:8l5228:95`752z?1=2<64751d38yv5?l3:19v3=988213=:;1n1=h?4=2;6>4063482>7?91:?0=a<6>81v?kj:18084b;3;?563=f1820g=::ll1=h?4}r0f7?6=?r79i>4>e09>6`1=9<<01?h9:044?84a?3;=;63<608224=:;?k1=;;4=da950052z?1ad<6<016>hj51d38yv4bi3:1?v3=e`82a4=:;?=1=9o4=244>42e3ty9i94?:3y>6c6=9=k01?k;:0g2?xu5n90;6?u22g295`7<5;om6<:6;|q1a0<72;q6>h:515c897c228o:7p}=e783>7}::l>1=9l4=3g5>4c63ty9i:4?:3y>6`1=9l;01?k9:06b?xu49:0;6<;t=3g;>400348n57?97:?056<6m816?<951448964528<<70==3;353>;4:j0:::5233f9531<5:9=6<88;<103?71?2788=4>669>717=9?=01>:n:044?853j3;=;63<548222=::o<1=;64=3d4>40?349==7?94:?102<6>>16?;o51748946728?=7p}<1e83>45|5;o36<87;<0f=?710278=i4>e09>777=9<<01>40?349?=7?98:?00d<6>116?9l517:8963228<370;5n>0::4523739533<5;><6<87;<15e?71?27:<<4>579~w640290:=v3=e9822<=::l31=;74=204>4c63499n7?:6:?073<6>016?>9517;8962728<270=;1;35=>;46<86;<0e2?73k279j:4>4b9>737=9?<01?:8:04:?851i3;=463>038213=z{:9:6=4i{<0f4b9>767=9l;01>=::075?85383;?o63<40820f=:;=k1=9m4=26a>42d349>97?;c:?1b3<6k9515f8960628<<70<;7;37g>;4>h0::45211195005dz?1a=<6h7515f8965e28o:70=;46<:k;<0e2?73m279j:4>4d9>737=9?201?:8:06g?851i3;?o63>058213=z{:>>6=4m{<0f4d9>713=9l;01>:6:075?852=3;?i63=f7820c=::o=1=9h4=242>40>348?;7?;e:?02d<6579~w62a2902w0;5m00:8k5235d95`7<5:??6<;9;<0e2?728279j:4>519>737=9=i01>8n:06f?8cb28?=7p}=e983>7}::l21=h?4=3g5>42e3ty9i44?:3y>6`?=9l;01?k9:075?xu5mk0;6?u22d`95`7<5;oo6<:n;|q1af<72;q6>hm51d3897cc28>i7p}<1183>6}:;931=974=231>42e349:=7?j1:p75g=838p1>?=:06b?857i3;n=6s|30094?4|5:;96;<125?7312wx?=l50;0x966f28>j70=?b;3f5>{t;9i1<742e349;o7?j1:p75b=838p1>>k:0g2?857k3;?m6s|31g94?4|5::n6;<13g?73j2wx?=h50;0x966a28o:70=?c;362>{t;8k1<7=t=230>42>349:o7?;b:?05g<6m81v>?;:181856k3;?m63<1582a4=z{:;h6=4={<12g?7b9278=o4>489~w6722909w0=>4;37e>;49<0:i<5rs235>5<5s49:87?;b:?053<6m81v>?8:181856?3;n=63<17820d=z{:;36=4={<124c9~w67>2909w0=>9;3f5>;49?0:9;5rs207>5<4s49:h7?;9:?063<6v3<27820d=:;8o1=h?4}r112?6=:r78>;4>e09>773=9=30q~=>f;296~;49l0:8l5230d95`752z?05`<651d38yv5593:1>v3<2082a4=:;;:1=9o4}r116?6=:r78>?4>e09>776=9=h0q~==3;296~;4::0:i<52332950053z?062<6<016?>>515`8964a28o:7p}<2983>7}:;::1=9o4=20;>4c63ty8?=4?:3y>766=9l;01>;|q06d<72;q6??6515`8964f28o:7p}<2c83>7}:;;h1=h?4=20b>42f3ty8>n4?:3y>77e=9l;01>?515;8965f28>i70=<9;3f5>{t;:81<742f3498>7?j1:p76g=838p1>=n:0g2?85413;?56s|32194?4|5:996<:n;<107?7b92wx?>:50;0x965528>i70=<4;3f5>{t;:?1<74c6349887?;a:p760=838p1>=9:0g2?854<3;?n6s|32594?4|5:9<6;<100?72>2wx?9<50;1x965e28>270=;4;37f>;4<:0:i<5rs21`>5<5s49?87?;a:?07f<6m81v>:;:181853<3;n=63<42820<=z{:9o6=4={<10g?73i278?i4>e09~w65b2909w0=;4;l0:i<5rs21e>5<5s498j7?j1:?07`<6:?:18185383;n=63<3d820g=z{:>:6=4={<175?7b9278?h4>579~w62d2908w0=;5;37=>;452z?00`<6v3<4d82a4=:;=n1=974}r173?6=:r788;4>4`9>711=9l;0q~=;8;296~;452z?00<<6m816?96515c8yv53i3:1>v3<4`82a4=:;=21=9l4}r17f?6=:r788o4>e09>71>=9<<0q~=:b;297~;4;|q01d<72;q6?88515;8963f28o:7p}<5783>6}:;<<1=h?4=24;>42f349=47?;b:p706=838p1>;k:06b?85283;n=6s|34f94?4|5:?o6;<16g?7312wx?8?50;0x963728>j70=:1;3f5>{t;<81<742e349>>7?j1:p610=839p1>;<:06b?852;3;?n63=4782a4=z{:?86=4={<167?7b92789?4>4`9~w6332909w0=:4;3f5>;4=;0:8o5rs276>5<5s49>97?j1:?017<6=?1v>;8:181852?3;n=63<5`820d=z{:?36=4={<164c9~w63>2909w0=:9;3f5>;4=h0:9;5rs2;5>5<4s493h7?;9:?0==<6v3<99820d=:;1l1=h?4}r1:e09>7<1=9=30q~=7e;296~;41>0o<63<8d82a4=z{:3;6=4={<1;b?73i2785=4>e09~w6?62909w0=7f;37f>;4180:i<5rs2;1>5<5s492>7?j1:?0=4<67<:18185>;3;n=63<90820g=z{:3?6=4={<1:0?7b92785<4>579~w6?22909w0=65;3f5>;4180::<5rs3;0>5<5s483i7?;9:?1=1<6m81v?6j:1823~;50l0:i<526c6951d<583m6<;9;<3b4?72>27:m?4>579>5d5=9<<01;9?:075?80093;>:639738213=:>>91=884=37b>431348>n7?:6:?11f<6=?16>8j51448970128?=70<97;362>;5>10:9;5227;9500<527>hh4>579>1a`=9<<01<>i:06a?xu5190;6?u2286951?<5;3;6;|q14:5d19>6=`=9l;0q~<61;296~;5190:8l5228395`77>52z?1=5<64<51d38yv4a03:1?v3=f0820<=::ok1=9l4=3d:>4c63ty9j<4?:4y>6c7=9l;01?h::075?85193;?h63<6`820c=:mo0:9;5rs3d1>5<5s48mm7?;a:?1b7<6m81v?hn:18184ai3;n=63=f8820<=z{;l86=4={<0e6?73i279j>4>e09~w7`32909w0;5n=0:i<5rs3d6>5<5s48m97?j1:?1b1<63;n=63=f5820g=z{;l<6=4={<0e3?7b9279j94>579~w6?b2909w0=69;37=>;41o0:i<5rs2;a>5<5s492j7?;9:?0=g<6m81v>7n:18185>n3n;70=6a;3f5>{t;0i1<742f3492o7?j1:p77m:06a?85>l3;n=6s|3d494?5|5:nj6<:6;<1fe09~w6bf290:;v340634?987?95:?6g<<6><16:>951738935?28<:708;1;k0::8526`a951d<5?2m6<8>;<4:4?71927:n=4>679>5g7=9?<0196n:046?802j3;==63=338220=:=hn1=;?4=4`a>40034?oh7?91:?24=<6>816=28<<7p}7}:;l:1=974=2g6>4c63ty8i=4?:2y>7`6=9l;018;<:06b?832;3;?n6s|3e`94?4|5:o36<:n;<1gf?7b92wx?h650;0x96c?28o:70=j7;37=>{t;mi1<742f349oo7?j1:p7ab=838p1>jm:06a?85cl3;n=6s|62;94?5|5:nn6<:n;<1ga?73j27=?44>e09~w6bb2909w0=ke;3f5>;4lm0:8l5rs2fe>5<5s49oj7?j1:?0`a<61=;74=0;b>4c634<:47?99:?55<<6>01698:515`8903128>j70;:7;362>;2=10:9;52260951d<5;=86<:n;<040?73k279;84>4b9~w76a2909w0=j1;362>;58o0:i<5rs2g2>5<5s49n=7?j1:?0a0<6k=:18185b:3;n=63579~w6c32909w0=j4;3f5>;4m<0::<5rs5c`>5<4s4>j=7?;9:?7e`<64=5c4>43734>j47?:0:?7e<<6=916>n;5142897e128?;70;5k10:9=521b`9537<5l21=884}r6bf?6=:r7?ml4>489>0dd=9l;0q~:na;297~;3ih0:i<5212c951g<589j6<:m;|q7e7<72;q68lk515c891g528o:7p};ad83>7}:42>3ty?m>4?:3y>0d4=9=k019o<:0g2?xu3i=0;6?u24`0951d<5=k?6;|q1g1<72:q68l;515c891g228>i70{t4c634>j87?;a:p0d0=838p19o9:0g2?82f<3;?n6s|4`594?4|5=k<6;<6b0?72>2wx8l650;0x91g?28o:70:n4;355>{t4c634>j87?94:p2g0=838p1;l?:06:?80e?3;n=6s|6c294?54s4;6i:0::<526`c9500<5?ki6<;9;<4bg?72>27=mi4>4c9>213=9<<01;:9:075?803?3;?n63949820g=:>0i1=9l4=7;g>43134<2i7?:6:?5=c<651738931728<:70881;355>;1?;0::<526619537<5;;>6<;9;<022?72>279=:4>4c9>64>=9=h01;;8:06a?80213;?n6395`8224=::<31=;?4=37b>406348>n7?91:?11f<6>816>8j51738970228<:70<96;355>;5>>0::<5227:9537<5;<26<8>;<7gf?71927>hn4>609>1ac=9?;018ji:042?877k3;?m63>0e820d=:99o1=9o4=03;>43134;:57?:6:?25d<6v39b6820<=:>k;1=h?4}r4a6?6=:r7=n<4>4`9>2g4=9l;0q~8m3;296~;1j80:8o526c195`752z?5f1<6m816:o=515c8yv02n3:1>8u26c7951d<5?k26<:n;<4be?71927=mo4>609>2de=9?;01;ok:075?803<3;?m639448224=:>=<1=;?4=764>43134<:515c8977228<:70<>6;355>;59>0:9;5220:9500<5??26<;9;<46e?71<27=9o4>659>60?=9?>01?;n:047?842j3;=863=5b8221=::403348=:7?94:?122<6>=16>;651768970>28;2<:0:8o525e`9532<5hh4>659>1a`=9?>0q~867;29<~;1j<0:9;5268595`7<5?4`9>203=9=h018:7:06a?877k3;?n6s|67794?0|5?h>6<8>;<456?73i27=:?4>4c9>233=9l;018:;:06b?833<3;?n6s|67`94?0|5?h>6<8;;<454c9>23d=9l;018:::06b?833=3;?n6s|6c794?4|5?h>6;<4a7?73j2wx=l;50;0x94?f28>270?n6;3f5>{t9h>1<742>34;j87?j1:p516820g=z{83i6=4={<3b2?73127:5o4>e09~w4?d2909w0?6b;37e>;61j0:i<5rs0;g>5<5s4;2n7?;b:?2=a<6m81v?9?:18087>l3;?m63>9e820g=::>:1=h?4}r4b7?6=:=q6=4h5176894g728;6i;0::9521`19532<5?9<6<8;;<404>e09>2d?=9=h01;:;:06a?803?3;==6398g8221=:>0:1=;:4=7;g>40634<2i7?91:?5=c<6=?16:l>51768931728;1?;0::9526619532<5;;?6<:m;<023?71927=944>609>1m3;==63:9g8224=:=h:1=;?4=02g>42e34;;j7?:6:?25=<6>816=<751738947f28?=70?>b;350>;69j0::95rs705>5<6?r7:5k4>649>5d6=9??01>;1=;;4=751>40234<8o51778973e28<>70<:c;351>;5=m0::8522749533<5;<<6<8:;<05649>142f3ty:5k4?:3y>5<`=9l;01:046?804?3;=9639398220=:>hn1=;?4=71e>4c634<16:4>5177893?a28<:70<>8;355>;1=k0::85258d9532<5hi4>649>54g=9?;01a283>7}:9h91=h?4=0c7>4033ty?5k4?:3y>0u248c95`7<583?6<;9;<30f?72>2wx84m50;0x91g728>270:6c;3f5>{t<0h1<7a6<5=3i6;|q7=a<72;q684m515c891?c28o:7p};9d83>7}:<0i1=9l4=5;f>4c63ty?n;4?:6y>042f34>m?7?;b:p0g2=838p19oi:06:?82e=3;n=6s|4`d94?5|5=km6;<3a`?72>27:?>4>579~w1d62909w0:m5;37=>;3j80:i<5rs5`3>5<5s4>i97j?;<6a4?7b92wx8o<50;0x91d628>j70:m2;3f5>{t42e34>i?7?j1:p170=839p18?n:06:?83503;?563:2682a4=z{<836=4<{<71>=4>4c9>566=9=h0q~;=5;296~;2:80:845253795`753z?664<6m816=><515c8945528>i7p}:1c83>7}:=;=1=974=43a>4c63ty>=n4?:3y>14d=9=k018?l:0g2?xu29m0;6?u250`951d<5<;o6;|q26c<72:q69i70?=f;3f5>{t=8o1<74c634?:h7?;a:p14`=838p18?i:0g2?836l3;?n6s|53294?4|5<8;6;<72`?72>2wx9?<50;0x904528o:70;=5;37e>{t=;91<74c634?997?;b:p172=838p18<;:0g2?835=3;>:6s|60c94?4|5?;?6<:6;<42f?7b92wx:<;50;0x937e28>2708>5;3f5>{t>8i1<77?d34<:o7?j1:p240=838p1;?::06b?806>3;n=6s|60594?4|5?;>6<:m;<423?7b92wx:<650;0x937?28o:708>7;37e>{t>831<74c634<:;7?;b:p1fd=838p18m?:06:?83dj3;n=6s|5bc94?4|5f;37e>;69o0:8o5rs4a2>5<5s4?hn7?;9:?6g4<6m81v8m=:18183d93;?m63:c382a4=z{o>4>e09~w0gf2908w0;l3;37e>;2k:0:8o525`c95`752z?6g0<6m8169no515c8yv3d>3:1>v3:c782a4=:=jk1=9l4}r7`3?6=:r7>o:4>e09>1fg=9<<0q~;l8;296~;2k10:i<525bc953752z?6g<<6m8169no51768yv34i3:18v3:2g820<=:=;o1=974=41`>42e34?8n7?j1:p17c=83>p18:63:358213=:n=0:9;5rs41:>5<5s4?897?;9:?67<<6m81v8=::180834=3;n=63>b`820d=:9kk1=9l4}r704?6=:r7>?n4>4`9>166=9l;0q~;52z?675<6?51d38yv34:3:1>v3:31820g=:=:81=h?4}r707?6=:r7>?>4>e09>164=9=k0q~=k4;297~;2;=0::<522b19533<5:n?6;|q671<72;q69>:51d38905528>i7p}:3783>7}:=:<1=h?4=41:>42f3ty>?:4?:3y>161=9l;018=6:06a?xu2;10;6?u252:95`7<5<926<;9;|q17c<72;q6>>;515;8975a28o:7p}=3d83>7}:::h1=974=31f>4c63ty9?o4?:2y>66d=9l;01?8<:06b?841;3;?n6s|22494?4|5;9m6<:6;<002?7b92wx>>950;0x975128>j70<<7;3f5>{t::21<742e348847?j1:p264=83;iw0<<9;37f>;5;h0:8o5222a951d<5;9o6<:n;<406?7b927?i<4>4c9>0`4=9=h01>o::06a?85f>3;?m63=1g820g=::;:1=9o4=305>42e3489;7?;a:?101<69;515c8972c28>i70<;e;37e>;5=90:8o52243951g<5;=h6<:m;<04`?73i2794>4>4c9>6=2=9=h01?6n:06a?84?j3;?n63:a88213=:9;=1=884}r00=?6=:r79?44>e09>66>=9=k0q~<52z?17f<6m816>>k515c8yv44l3:1>v3=3e82a4=:::o1=9l4}r76=?6=;r7>8k4>489>11c=9=3018;n:0g2?xu2u255g95`7<5?8m6<:n;<41b?73j2wx98>50;0x903f28>270;:0;3f5>{t=<;1<742f34?>=7?j1:p104=838p18;?:06a?832:3;n=6s|54194?4|5;<766?73i2wx98:50;0x903328o:70;:2;37f>{t=4c634?>>7?:6:p5`?=839p18;9:06a?87b13;n=63>f3820d=z{9?4>609~w0302909w0;:7;3f5>;2=;0::95rs47;>5<5s4?>47?j1:?617<6><1v;=k:181804:3;?56393d82a4=z{?9h6=4={<40=?73127=?n4>e09~w3542909w08;1;:0:i<5rs717>5<5s4<8?7?;a:?571<6m81v;=::181804;3;?n6393482a4=z{?2i6=4>1z?573<68515`893>028>j70877;37f>;10k0:i<522069500<5;;>6<8;;<022?71<279=:4>659>64>=9?>01;:j:06b?803m3;?n63=648220=::?<1=;84=344>401348=47?96:?12<<6>?1v;=9:181804>3;n=63934820d=z{?9<6=4={<403?7b927=?84>4c9~w35?2909w08<8;3f5>;1;<0:9;5rs71b>5<5s4<8m7?j1:?57f<6e09~w1b62909w0:k7;37=>;3l80:i<5rs5f1>5<5s4>o=7?;a:?7`7<6m81v9j<:18182c93;?n63;d282a4=z{=n?6=4={<6g0?7b927?h>4>4`9~w1>b290>w0:k5;37f>;3ll0:8o5249g95`7<58ij6<:m;42e3ty?h84?:3y>0a3=9l;019j<:06a?xu3m=0;6?u24e:951?<5=o>6;|q7a6<72;q68h>515;891c428o:7p};e183>6}:42f3489o7?;b:p0a?=838p19k::06:?82c13;n=6s|4ec94?4|5=n26<:n;<6ge?7b92wx8il50;0x91b>28>i70:kb;3f5>{t98o1<7=t=5f`>42f34>oo7?;b:?25`<6m81v9jl:18182ck3;n=63;dc820d=z{=no6=4={<6g`?7b927?ho4>4c9~w1bb2909w0:ke;3f5>;3lk0:9;5rs5fe>5<5s4>oj7?j1:?7`g<6>81v9k>:18182b93;n=63;e2820d=z{=o96=4={<6f6?7b927?i>4>4c9~w1122909w0:9e;37=>;3??0:i<5rs54e>5<5s4><:7?;9:?72c<6m81v99?:181821n3;?m63;7182a4=z{==:6=4={<65b?73j27?;<4>e09~w1242903w0:82;37f>;3?:0::<524669537<5=>86;<4;>40234>8o7?;a:?77f<6v3;7382a4=:<>;1=9o4}r647?6=:r7?;>4>e09>027=9=h0q~:84;296~;3?=0:i<524639500>47>52z?714<6<01688751d38yv22:3:1>v3;58820<=:<<81=h?4}r667?6=:r7?9?4>4`9>005=9l;0q~::4;296~;3=;0:8o5244695`7>97>52z?710<6m81688:515c8yv22>3:1>v3;5782a4=:<<>1=9l4}r663?6=:r7?9:4>e09>002=9<<0q~=i4;296~;4n90:84523g795`752z?0b0<6<016?k?51d38yv5a:3:1>v34c9>7c5=9l;0q~:?a;297~;38:0:845241a951d<5=:i6;|q741<72;q68=m515c8916328o:7p};0b83>7}:<9i1=h?4=52a>42>3ty?<84?:3y>052=9=k019>::0g2?xu38?0;6?u2416951d<5=:=6;|q76f<721q68=951448916>28c;362>;39m0:9;52401951d<5=8>6<;9;<613?71927?>n4>e09~w1602909w0:?7;3f5>;38?0:8l5rs52;>5<5s4>;47?j1:?743<66:18182713;n=63;078213=z{=?n6=4={<66e?73127?9k4>e09~w13e2909w0::f;37=>;3=k0:i<5rs57`>5<5s4>>n7?;a:?71f<6m81v9;k:181822j3;?n63;5e82a4=z{=;n6=4<{<623?73127?>=4>4c9>04`=9l;0q~:>8;296~;3:90:8l5240:95`79<7>52z?765<6m8168v3;19820d=:<831=h?4}r62e?6=:r7?=54>4c9>04g=9l;0q~:>b;296~;39k0:i<5240c951g:o7>52z?75f<6m8168v3;1e82a4=:<8k1=884}r650?6=:r7?:=4>489>033=9l;0q~:91;296~;3><0:845247395`7=>7>52z?724<6v3;60820g=:489>03b=9l;0q~:97;296~;3>m0:845247595`7=47>52z?722<6v3;66820g=:e09>03?=9=k0q~:9b;296~;3>k0:i<5247;951d52z?5e6<6<016:lh51d38yv0f<3:1>v39ag820<=:>h>1=h?4}r4b1?6=:r7=m94>4`9>2d3=9l;0q~8n6;296~;1i=0:8o526`495`753z?5e2<67}:>h=1=h?4=7c5>42f3ty=954?:2y>2d>=9=k01;o7:06a?80203;n=6s|6`:94?4|5?k36;<4b2?73j2wx::950;3580f13;>:6397682a4=:>=>1=884=7;`>431348:87?91:?512<6=?16:8l51748973f28<=70<:b;352>;5=j0::;5224f9530<5;<=6<88;<053?71?279:54>669>63?=9?=018jm:045?83ck3;=:63:de8223=:=mo1=;84=4fe>40134;;n7?;b:?24`<6609~w3ge2909w08nb;3f5>;1i?0::95rs7c`>5<5s4<1v;ok:18180fl3;n=639a78223=z{;ij6=4<{<0`4?731279on4>4c9>6fd=9l;0q~52z?1gf<6n?51d38yv4dk3:1>v3=cb82a4=::jh1=974}r0`6?6=:r79o<4>4`9>6f4=9l;0q~i7>54z?1g6<6>?16>i?5177897b528<>70=:e;3f5>{t:j?1<74c6348h57?;a:p6f0=838p1?m9:0g2?84d13;?n6s|2b594?4|5;i<6;<0`=?72>2wx>n650;0x97e?28o:70{t:m91<7=t=3ag>42>348o97?;b:?1`1<6m81v?mj:18184c=3;?m63=cd82a4=z{;n>6=4={<0g1?7b9279h94>489~w7ea2909w0;5ko0:i<5rs3f3>5<5s48hi7?;b:?1`5<6m81v?j>:18184c93;n=63=d1820d=z{;n96=4={<0g6?7b9279h=4>4c9~w1722909w0:?d;37=>;39?0:i<5rs52f>5<5s4>::7?;9:?74`<6m81v9>i:181827m3;?m63;0g82a4=z{=;;6=4={<63a?73j27?==4>e09~w1762909w0:>1;3f5>;3990:8l5rs531>5<5s4>:>7?j1:?755<6609~w14>2908w0:=1;37=>;3:k0:8o5243c95`79>7>52z?76g<6v3;2c82a4=:<;k1=974}r617?6=:r7?>?4>4`9>075=9l;0q~:=4;296~;3:;0:8o5243695`7997>52z?760<6m8168?:515c8yv25>3:1>v3;2782a4=:<;>1=9l4}r613?6=:r7?>:4>e09>072=9<<0q~:=8;296~;3:10:i<52436953752z?532<6<016:5<51d38yv0?83:1>v397c820<=:>1:1=h?4}r44f?6=;r7=;o4>e09>`g<64c9~w31?2909w0872;37=>;1?10:i<5rs75:>5<5s4<<47?;a:?53<<6m81v;9n:18180003;?n6397`82a4=z{?8h6=4:{<44e?73i27=>n4>e09>2=>=9=k018:6:06b?876<3;?n6s|69194?c|5?=j6<:m;<4;7?7b927=454>4c9>642=9?>01??::046?846>3;=963=168220=::821=;;4=346>401348=:7?98:?122<6>116>;6517:8970>28<370;;9;37f>{t13:1=?u266a9500<5?=o6<;9;<44a?71927=;k4>4`9>7f6=9<<01>m>:075?85d:3;>:6342e34;m>7?;b:?2b6<6=?1657?j1:?gg?72>27oh7?:6:?ga?72>27oj7?:6:?f4?72>2wx::m50;0x931d28o:70870;37e>{t>>n1<74c634<3<7?;b:p22c=838p1;9j:0g2?80?83;>:6s|3`294??|5?=m6<:m;<1b4?7b9278m>4>579>2<5=9=h01>l?:06b?85e93;?m6342e3ty8mo4?:9y>22`=9<<01;7<:075?85fj3;n=63431349hh7?:6:?0g`<6=?1v>l6:186800n3;==639938213=:;k31=h?4=2ag>406349hi7?91:p7f>=839p1;9i:047?85d03;n=63609~w32>2909w08;15<5s4:18180383;?m6394082a4=z{?>96=4={<474?73j27=8?4>e09~w73?2908w08;3;37e>;1<:0:8o5224:95`752z?506<6m816:9<515c8yv03<3:1>v394582a4=:>=81=9l4}r471?6=:r7=884>e09>214=9<<0q~8;6;296~;152z?502<6m816:9<51768yv0303:1>v394982a4=:>=81=;;4}r457?6=:r7=9k4>489>232=9l;0q~890;296~;1>=0:845267295`752z?525<6v3961820g=:>?81=h?4}r341?6=:r7::o4>489>520=9l;0q~?9c;296~;6??0:845217a95`752z?22f<6v3>6b820g=:9?o1=h?4}r35b?6=:r7::k4>e09>53c=9=k0q~?80;296~;6?90:i<5217g951d52z?234<6m816=;k51448yv31<3:1?v3>73820d=:9>81=9l4=447>4c63ty:;?4?:3y>524=9l;01<8j:042?xu6?:0;6?u216195`7<587p}6}:;h:1=974=2cb>42e349j57?j1:p7d1=838p1>o;:06:?85f?3;n=6s|3`694?5|5:k?6;<063?73i2799:4>4c9~w6g62909w0=na;37e>;4i80:i<5rs2cb>5<5s49jm7?j1:?0e<<6<01v>o=:18185f93;?m634>e09~w6g22909w0=n5;3f5>;4i>0:8l5rs2c5>5<5s49j:7?j1:?0e2<6?6=4={<67e09~w1222909w0:;4;37e>;3<<0:i<5rs565>5<5s4>?87?;b:?703<6m81v9:6:185823>3;?m63;47820g=:<=31=h?4=7;9533<5=>;6<8:;<753?71<2wx89h50;0x912>28>270::0;3f5>{t<=k1<742>34>?m7?j1:p01d=838p19:n:06b?823j3;n=6s|45a94?4|5=>j6<:m;<67g?7b92wx89j50;0x912c28o:70:;c;37e>{t<=o1<74c634>?o7?;b:p0<4=838p196j:06:?82>;3;n=6s|49d94?4|5=386<:6;<6;b?7b92wx84>50;0x91>a28>j70:60;3f5>{t<0;1<742e34>2=7?j1:p0f6=838p19l9:06:?82d93;n=6s|4c594?4|5=i:6<:6;<6a3?7b92wx8o650;0x91d028>j70:m8;3f5>{t42e34>i57?j1:p7g4=839p19ln:06b?82ei3;?n634`9~w1de2909w0:mb;3f5>;3j00:8o5rs5``>5<5s4>io7?j1:?7f<<6=?1v9lk:18182el3;n=63;b88224=z{=hn6=4={<6aa?7b927?n44>659~w1da2909w0:mf;3f5>;3j00::85rs713>5<5s4<9o7?;9:?574<6m81v;h4>e09~w34a2909w08=d;37f>;1:o0:i<5rs7::>5<5s4<3?7?;9:?56=4={<4;0?73i27=484>e09~w3>12909w0874;37f>;10?0:i<5rs7:4>5<5s4<3;7?j1:?5<3<66=4={<4;f?73127=5;4>e09~w3?32909w0861;37=>;11=0:i<5rs7;2>5<4s4<2=7?j1:?0fa<6v3997820<=:>1i1=h?4}r4;`?6=:r7=4n4>4`9>2=b=9l;0q~87e;296~;10j0:8o5269g95`752z?583:1>v399182a4=:>1o1=9l4}r4:6?6=:r7=5?4>e09>2<2=9=k0q~863;296~;11:0:i<52686951d52z?5=2<6<016:l<51d38yv0>03:1>v39a3820<=:>021=h?4}r4:=?6=:r7=554>4`9>253z?5=g<67}:>0h1=h?4=7;b>42f3ty=5n4?:3y>27}:>0l1=h?4=7;b>4033ty=m=4?:3y>2d6=9l;01;7n:046?xu61>0;6>u219a951?<58326<:m;<3:28>j70?7d;3f5>{t9031<74c634;247?;9:p5=c=838p1<6k:06b?87?m3;n=6s|19d94?4|582o6<:m;<3;b?7b92wx=4>50;0x94?728o:70?7f;37e>{t:;n1<7=t=0;2>42f34;2=7?;b:?16a<6m81v<7>:18187>93;n=63>8g820g=z{8926=4<{<3:6?73i27:5?4>4c9>56?=9l;0q~?62;296~;61;0:i<5219d950052z?2=6<6m816=5h51738yv7><3:1>v3>9582a4=:91l1=;:4}r3:1?6=:r7:584>e09>5=`=9??0q~?66;296~;61?0:i<5219d953053z?2f0<6<016=n<515`894e628o:7p}>b783>7}:9j81=9o4=0`5>4c63ty:o?4?:3y>5f4=9l;01:06:?xu6j>0;6?u21c4951g<58h<6;|q2f=<72;q6=o8515`894d?28o:7p}>b883>7}:9k31=h?4=0`;>42f3ty:nl4?:3y>5gg=9l;01u21c`951g<58hi6<:m;<305?7b92wx=ol50;0x94de28o:70?m8;362>{t9ki1<74c634;i47?91:p5gb=838p1;<3a{t;k=1<742>349i47?j1:p7g0=838p1>l=:06:?85e>3;n=6s|3`a94?4|5:h36<:6;<1bg?7b92wx?lj50;0x96gd28>j70=nd;3f5>{t;ho1<742e349ji7?j1:p7f`=839p1>oi:06b?85fn3;?n634`9~w6d72909w0=m0;3f5>;4il0:8o5rs2`2>5<5s49i=7?j1:?0e`<6=?1v>l<:18185e;3;n=634c9~w6d22909w0=m5;3f5>;4j?0:9;5rs2a6>5<4s49i57?;9:?0g2<6v31=h?4}r1ab?6=;r78nk4>e09>5`b=9=k01;|q0g2<72;q6?n951d3896e128>27p}7}:;kk1=9o4=2`a>4c63ty8nn4?:3y>7gg=9=h01>ll:0g2?xu4jm0;6?u23cf95`7<5:hh6<:n;|q0f`<72;q6?ok51d3896dd28>i7p}7}:;j:1=h?4=2a7>42f3ty8o<4?:3y>7f7=9l;01>m;:06a?xu4k;0;6?u23b095`7<5:i?6<;9;|q0g6<72;q6?n=51d3896e328<:7p};3783>7}:<:81=974=514>4c63ty??>4?:3y>061=9=3019=<:0g2?xu3;=0;6?u2421951g<5=9?6;|q770<72;q68>=515`8915228o:7p}>a683>1}:<:?1=9o4=516>42e34;j;7?j1:?f1?73j2wx:;750;0x930228>27089a;3f5>{t>?<1<742>34<=:7?j1:p231=838p1;89:06b?801?3;n=6s|67:94?4|5?<=6<:m;<45270886;3f5>{t>>>1<742>34<<87?j1:p23e=838p1;99:06:?801k3;n=6s|67f94?4|5?i7089e;3f5>{t>>:1<74c634<<87?;a:p227=838p1;9>:0g2?800<3;?n6s|66094?4|5?=96;<440?72>2wx::=50;0x931428o:70884;355>{t>j0;6?u262820<=:>m0:i<5rs7194?5|5?91=h?4=7c9533<5=oi6<:n;|q50?6=:r7=h7?;9:?50?7b92wx:84?:3y>21<6e09~w30=838p1;:515`8930=9l;0q~88:18180028o:7089:06b?xu103:1>v398;3f5>;1>3;?n6s|6883>7}:>00:i<52678213=z{?k1<75<5s4;<45>4033ty:n>4?:3y>5d1=9=301;|q2e=<72;q6=o:515;894g?28o:7p}>a883>7}:9h21=9o4=0c:>4c63ty:ml4?:3y>5d>=9=h01ac820d=:9hh1=9l4=d095`752z?2eg<6m816=lo515c8yv7fk3:1>v3>ab82a4=:9hk1=9l4}r3ba?6=:r7:mh4>e09>5g4=9=k0q~?nf;296~;6io0:i<521c0951d52z?2f5<6m816=o<51448yv7e93:1>v3>b082a4=:9k81=;?4}r3`a?6=:r7:o>4>489>5f`=9l;0q~?l3;291~;6k:0:i<521b;9500<58ij6<;9;<64e?73i27?;l4>4c9~w4e32909w0?lf;37=>;6k=0:i<5rs0a6>5<5s4;h87?;a:?2g0<6m81vc782a4=z{4c9>1g0=9l;0q~?l7;296~;6k>0:i<521b4951g53z?2g=<6c983>7}:9j21=h?4=0a5>42e3ty:o44?:3y>5f?=9l;01;|q2gg<72;q6=nl51d3894e128cb83>7}:9ji1=h?4=0a5>4023ty:oi4?:3y>5fb=9l;016;|q2ad<72;q6=k;515;894cf28o:7p}>ec83>7}:9lk1=9o4=0ga>4c63ty:in4?:3y>5`g=9=h014`9>5`c=9=h01io51d38yv7bm3:1>v3>ed82a4=:9li1=9l4}r3fb?6=:r7:ik4>e09>5`e=9<<0q~?i0;296~;6n90:i<521da953752z?2b4<6m816=hm51768yv7a:3:1>v3>f382a4=:9li1=;;4}r3e7?6=:r7:j>4>e09>5`e=9?<0q~52z?1f<<6<016>o;51d38yv4e>3:1>v3=b4820d=::k<1=h?4}r0a3?6=:r79n84>4c9>6g1=9l;0q~=k1;297~;4k10:84523e1951d<5:n96;|q0`5<72;q6?nh515;896b728o:7p}7}:;m91=9o4=2a:>4c63ty8h>4?:3y>7a5=9l;01>j=:06:?xu4kh0;6?u23b;951g<5:ij6;|q0gg<72;q6?n7515`896ee28o:7p}7}:;ji1=h?4=2aa>42f3ty8oi4?:3y>7fb=9l;01>mm:06a?xu4kl0;6?u23bg95`7<5:ii6<;9;|q0`=<72;q6?i:515;896b>28o:7p}7}:;m31=974=2f6>4c63ty8h;4?:3y>7a3=9=k01>j9:0g2?xu4l>0;6?u23e7951d<5:n<6;|q745<72:q6?k8515;8916528>i70:?1;3f5>{t;o<1<78t=2d5>4c6349mm7?91:?0bg<6><16?km5177896`c28<>70;8b;37f>{t;ol1<742>349mj7?j1:p7c1=838p19>=:06b?85a?3;n=6s|41094?4|5=:96;<635?7312wx?k650;0x96`028>j70=i8;3f5>{t;o31<742e349m57?j1:p7cg=838p1>hn:0g2?85a13;?m6s|3g`94?4|5:li6;<1e=?73j2wx?km50;0x96`d28o:70=i9;362>{t;on1<74c6349m57?91:p066=838p19j70:=e;3f5>{t<;l1<742e34>9j7?j1:p017=838p19=7:06:?823:3;n=6s|42:94?5|5=936;<674?71>27>;n4>609~w15>2909w0:;2;37=>;3;00:i<5rs51b>5<5s4>857?;a:?77d<6m81v9=m:18182413;?n63;3c82a4=z{=9h6=4={<60g?7b927??o4>4`9~w15c2909w0:;3;k0:8o5rs51f>5<5s4>8i7?j1:?77g<6=?1v9=i:181824n3;n=63;3c8224=z{=>;6=4={<674?7b927??o4>659~w11e2909w0:87;37=>;3?j0:i<5rs55;>5<5s4>e09~w1>62909w0:8d;37=>;30;0:i<5rs55f>5<5s4>3>7?;9:?73`<6m81v99i:181820m3;?m63;7g82a4=z{=2;6=4={<64a?73j27?4=4>e09~w34f2909w08=6;37=>;1:k0:i<5rs704>5<5s4<9n7?;9:?562<6m81v;<7:181805?3;?m6392982a4=z{?826=4={<413?73j27=>44>e09~w24=838p1;k515;8925=9l;0q~8j:18180b28o:70:jb;37f>{t>o0;6?u272820<=:>o0:i<5rs6294?4|5?l1=9o4=6295`742e34=:6;|q15<<72;q6>=h515;8977f28o:7p}=1183>7}::8k1=974=333>4c63ty9=<4?:3y>646=9=k01??>:0g2?xu59;0;6?u2202951d<5;;96;|q121<72:q6><=515c8977428>i70<94;3f5>{t:891<74c6348:>7?;a:p642=838p1??;:0g2?846:3;?n6s|20794?4|5;;>6;<026?72>2wx><850;0x977128o:70<>2;355>{t:8=1<74c6348:>7?94:p64>=838p1??7:0g2?846:3;=96s|2cg94?4|5;hj6<:6;<0ab?7b92wx>ol50;0x97da28>270{t:ki1<742f348io7?j1:p6gb=838p1?lm:06a?84el3;n=6s|37094?4|5:?n6<:6;<157?7b92wx?8h50;0x960428>270=:f;3f5>{t;?:1<742f349=<7?j1:p737=838p1>;i:06a?85193;n=6s|3dg94?4|5:oj6<:6;<1fb?7b92wx?hl50;0x96ca28>270=jb;3f5>{t;li1<742f349no7?j1:p7`b=838p1>km:06a?85bl3;n=6s|49a94?4|5=286<:6;<6;`?7b92wx85=50;0x91>428o:70:i4;37f>{t<1h1<742>34>3n7?j1:p0=1=839p1968:0g2?8c428>j70k<:06a?xu30=0;6?u249f951?<5=2?6;|q7<0<72;q685:515c891>228o:7p};8783>7}:<1>1=9l4=5:5>4c63ty?454?:3y>0=>=9l;0196m:06b?xu3000;6?u249;95`7<5=2i6<:m;|q7e28?=7p};9983>7}:<0>1=974=5;:>4c63ty?594?:3y>0<2=9l;01?9<:06a?xu31<0;6?u248;951?<5=3>6;|q7=3<72;q684;515c891?128o:7p};9683>7}:<0?1=9l4=5;4>4c63ty>h?4?:3y>1fc=9=3018j<:0g2?xu2kl0;6>u25bg95`7<58nh6<:n;<3gg?73j2wx9nh50;0x90b428>270;lf;3f5>{t=m:1<742f34?o<7?j1:p1a7=838p18mi:06a?83c93;n=6s|65d94?4|5?>i6<:6;<464?7b92wx:9l50;1x932e28o:70;nb;37e>;2ik0:8o5rs76`>5<5s4<><7?;9:?50f<6m81v;:k:181803k3;?m6394e82a4=z{?>n6=4={<47g?73j27=8h4>e09~w33c2909w08:1;37=>;1=l0:i<5rs772>5<4s4<>=7?j1:?6ef<6v3959820<=:>489>204=9l;0q~8:3;296~;1=;0:8l5264195`787>52z?517<6v395482a4=:><>1=9o4}r322?6=;r7=9;4>4`9>200=9=h017}:><31=h?4=77`>42f3ty=9l4?:3y>20g=9l;01;;l:06a?xu1=k0;6?u264`95`7<5??h6<;9;|q164<72;q6>7}::;;1=974=33`>4c63ty9=i4?:3y>64e=9=k01??k:0g2?xu59l0;6?u220a951d<5;;n6;|q15c<72;q6>j7p}=2183>7}::;:1=h?4=33f>42e3ty9>54?:3y>674=9=301?<7:0g2?xu5::0;6?u223:951?<5;886;|q161<72;q6>?=515c8974328o:7p}=2483>7}::;91=9l4=306>4c63ty9>;4?:3y>670=9l;01?<::06b?xu5:>0;6?u223595`7<5;8>6<:m;|q10=<72;q6>9>515;8972?28o:7p}=4683>7}::=<1=974=364>4c63ty98<4?:3y>61>=9=301?:>:0g2?xu5<;0;6?u2253951g<5;>96;|q106<72;q6>9?515`8972428o:7p}=4583>7}::=>1=h?4=360>42f3ty9884?:3y>613=9l;01?:<:06a?xu5=:0;6?u225;951?<5;?86;|q117<72;q6>9h515;8973528o:7p}=4g83>6}::=l1=h?4=34e>42f348=j7?;b:p61g=838p1?;<:06:?843i3;n=6s|25`94?4|5;>j6<:n;<07f?7b92wx>9m50;0x972f28>i70<;c;3f5>{t:=n1<74c6348?o7?;a:p61c=838p1?:j:0g2?843k3;?n6s|24294?4|5;?;6;<066?73i2wx>8?50;0x973628o:70<:2;37f>{t:42>348>j7?j1:p60c=838p1?;7:06:?842m3;n=6s|24794?4|5;?m6<:6;<061?7b92wx>8850;0x973228>j70<:6;3f5>{t:<=1<742e348>;7?j1:p60?=838p1?;6:0g2?842m3;?m6s|24c94?4|5;?j6;<06a?73j2wx>8l50;0x973e28o:70<:e;362>{t:4c6348>i7?91:p60b=838p1?;k:0g2?842m3;=86s|27`94?4|5;<;6<:6;<05f?7b92wx>;o50;0x970328>270<9a;3f5>{t:?;1<742>348==7?j1:p634=838p1?8>:06b?841:3;n=6s|27194?4|5;<:6<:m;<057?7b92wx>;;50;0x970228o:70<9a;37e>{t:?<1<74c6348=m7?;b:p631=838p1?88:0g2?841i3;>:6s|27:94?4|5;<36;<05e?7192wx>;750;0x970>28o:70<9a;350>{t:>=1<742>348<;7?j1:p620=838p1?9?:06:?840>3;n=6s|27f94?4|5;=<6<:6;<05`?7b92wx>;k50;0x970c28>j70<9e;3f5>{t:?l1<742e348=j7?j1:p627=838p1?9>:0g2?840>3;?m6s|26094?4|5;=96;<042?73j2wx>:=50;0x971428o:70<86;362>{t:>>1<74c6348<:7?91:p623=838p1?9::0g2?840>3;=86s|26g94?4|5;=36<:6;<04a?7b92wx>:750;0x971b28>270<89;3f5>{t:>k1<742f348;<04f?73i2wx>:j50;0x971c28o:70<8b;37f>{t:1?1<742>348397?j1:p6=6=838p1?6::06:?84?83;n=6s|29394?4|5;2;6<:n;<0;5?7b92wx>5<50;0x97>728>i70<72;3f5>{t:191<74c63483>7?;a:p6=2=838p1?6;:0g2?84?:3;?n6s|29a94?4|5;2=6<:6;<0;g?7b92wx>5950;0x97>d28>270<77;3f5>{t:121<742f348347?j1:p6=?=838p1?68:06a?84?13;n=6s|29c94?4|5;2j6;<0;=?73i2wx>5l50;0x97>e28o:70<79;37f>{t=lo1<742>34?ni7?j1:p1`b=838p18k7:06:?83bl3;n=6s|5d794?4|5j70;j6;3f5>{t=l=1<742e34?n;7?j1:p1`?=838p18k6:0g2?83bl3;?m6s|5dc94?4|5;<7f`?73j2wx9hl50;0x90ce28o:70;jd;362>{t=li1<74c634?nh7?91:p0`e=838p19k7:06:?82bk3;n=6s|4d;94?4|5=oh6<:6;<6f=?7b92wx8ho50;0x91c>28>j70:ja;3f5>{t42e34>nn7?j1:p11g=838p18=i:06:?833j3;n=6s|55294?4|5<>i6<:6;<774?7b92wx99?50;0x902728>j70;;1;3f5>{t==81<742e34??>7?j1:p115=838p18:<:0g2?833:3;?m6s|55694?4|5<>?6;<776?73j2wx99;50;0x902228o:70;;2;362>{t99k1<7=t=465>42f34??:7?;b:?24d<6m81v8:9:181833>3;n=63:438224=z{<3i6=4<{<773?73i27>8:4>4c9>10:i<52550953252z?60=<6m81699<51778yv3313:1>v3:4882a4=:==81=;84}r75=?6=:r7>:=4>489>13g=9l;0q~;98;296~;2>=0:845257:95`752z?62d<6<0169;?51d38yv31:3:1>v3:60820d=:=?81=h?4}r757?6=:r7>:<4>4c9>135=9l;0q~;95;296~;2><0:i<5257:951g52z?623<6m8169;6515`8yv31?3:1>v3:6682a4=:=?21=884}r7b6?6=:r7>5:4>489>1d5=9l;0q~;n1;296~;21k0:84525`395`752z?6e6<6<01694651d38yv3>13:1>v3:99820d=:=031=h?4}r7:e?6=:r7>554>4c9>11;297~;21h0:8l5258c951d<58;:6;|q6=f<72;q694m51d3890g628>j7p}:9e83>7}:=0n1=h?4=4c2>42e3ty>5h4?:3y>1:075?xu21o0;6?u258d95`7<5;|q6e5<72;q69l>51d3890g628dc83>7}:9m?1=974=0fa>4c63ty:h:4?:3y>5a0=9=301;|q2`=<72;q6=il515;894b?28o:7p}>d883>7}:9m21=9o4=0f:>4c63ty:hl4?:3y>5a>=9=h01;|q176<72;q6>?j515;8975428o:7p}=2`83>7}:::>1=974=30b>4c63ty9>o4?:3y>67g=9=k01?;|q16`<72;q6>?k51d38975428>j7p}=2g83>7}::;l1=h?4=310>42e3ty9?=4?:3y>666=9l;01?=<:075?xu5;80;6?u222395`7<5;986<8>;|q177<72;q6>><51d389754286}:;|q7b5<72;q68k8515;891`728o:7p};f083>7}:4c63ty?j?4?:3y>0c6=9=h019h=:0g2?xu3n:0;6?u24g195`7<5=l96<:n;|q7b1<72;q68k:51d3891`528>i7p}:1783>6}:=9h1=974=cf951?<5<;<6;|q650<72;q69=h515;8907228o:7p}:0g83>6}:=9l1=h?4=d`951g<5lh1=9l4}r73g?6=:r7>=:4>489>15e=9l;0q~;?d;296~;28j0:8l5251f95`752z?64f<6;28l0:8o52f382a4=z{<;;6=4={<724?7b927>=84>4`9~w0762909w0;>1;3f5>;29<0:8o5rs431>5<5s4?:>7?j1:?650<6=?1v8?<:181836;3;n=63:148224=z{<;?6=4={<720?7b927>=84>659~w0132909w0;9d;37=>;2?=0:i<5rs44f>5<5s4?<87?;9:?62`<6m81v88i:181831m3;?m63:6g82a4=z{<=;6=4={<75a?73j27>;=4>e09~w0162909w0;81;3f5>;2?90:8l5rs451>5<5s4?<>7?j1:?635<6;i4>e09~w01?2909w0;8d;37=>;2?10:i<5rs45:>5<5s4?<47?;a:?63<<6m81v89n:18183003;?n63:7`82a4=z{<=i6=4={<74f?7b927>;l4>4`9~w01d2909w0;8c;3f5>;2?h0:8o5rs4ce>5<5s4?j:7?;9:?6ec<6m81v8oj:18183fi3;?563:ad82a4=z{m:4>e09~w0g?2909w0;n7;37e>;2i10:i<5rs4c:>5<5s4?j;7?;b:?6e<<6m81v8om:18183fj3;n=63:ad820d=z{mh4>4c9~w0gc2909w0;nd;3f5>;2il0:9;5rs4`g>5<5s4?i>7?;9:?6fa<6m81v8ll:18183e>3;?563:bb82a4=z{n>4>e09~w0d32909w0;m3;37e>;2j=0:i<5rs4`6>5<5s4?i?7?;b:?6f0<6m81v<<9:18083e=3;?m63:b4820g=:9;<1=h?4}r7a3?6=:r7>n:4>e09>1ge=9=k0q~;m8;296~;2j10:i<525ca951d52z?6f<<6m8169om51448yv3ei3:1>v3:b`82a4=:=ki1=;?4}r7af?6=:r7>no4>e09>1ge=9?>0q~;j1;296~;2l?0:84525d395`752z?6`d<6<0169h>51d38yv3c?3:1>v3:e0820<=:=m=1=h?4}r7gh:4>4`9>1a>=9l;0q~;k9;296~;2l>0:8o525e;95`753z?6`<<67}:=mh1=h?4=4g3>42f3ty>hn4?:3y>1ae=9l;018k?:06a?xu2lm0;6?u25ef95`7<57}:=ml1=h?4=4g3>4033tyhm7>52z?`2?73127hm7?j1:pg2<72;q6ol4>489>g2<6m81vn650;0x9f1=9=k01n651d38yve>2909w0m8:06a?8e>28o:7p}<6d83>7}:;?l1=974=24f>4c63ty8:94?:3y>73c=9=301>8;:0g2?xu4><0;6?u2376951g<5:<>6;|q023<72;q6?;:515`8960128o:7p}<6683>7}:;?=1=h?4=245>42f3ty8:54?:3y>73>=9l;01>89:06a?xu4>00;6?u237;95`7<5:<=6<;9;|q02d<72;q6?;o51d38960128<:7p}<6c83>7}:;?h1=h?4=245>4033ty8:n4?:3y>73e=9l;01>89:046?xu4>m0;6?u237f95`7<5:<=6<89;|q;>5<5s431=974=982a4=z{>0;6?u23;37=>;028o:7p}<:18085=9l;01k9515c89c1=9=h0q~>50;0x9=<6<016<7?j1:p5?6=:r7;6<:n;<395`7e09>3?73j2wx:7>52z?5>4c634=1=884}rg2>5<5s4nj6<:6;4c63tyon7>52z?gf?7b927n=7?;a:p`f<72;q6hn4>e09>a4<6{tm90;6?u2e182a4=:m80::85rsd;94?4|5l81=974=d;95`74c634o26<:n;|qf0?6=:r7n87?j1:?f=?73j2wxi84?:3y>a0<6m816i44>579~w`0=838p1h851d389`?=9?;0q~k8:1818c028o:70k6:047?xub03:1>v3j8;3f5>;b13;=96s|f083>7}:mh0:8452f082a4=z{lh1<75<5s4oh6;42e3tynh7>52z?f`?7b927m=7?:6:pa`<72;q6ih4>e09>b4<6>81vhh50;0x9``=9l;01k?51768yv`72909w0h?:0g2?8`628<>7p}i5;296~;a:3;?563i5;3f5>{tn:0;6?u2f282a4=:n<0:8l5rsg694?4|5o>1=h?4=g7951d42>34lo6;|qe3?6=:r7m;7?j1:?e`?73i2wxj54?:3y>b=<6m816ji4>4c9~wc?=838p1k751d389cb=9<<0q~hn:1818`f28o:70hk:042?xuaj3:1>v3ib;3f5>;al3;=86s|fb83>7}:nj0:i<52fe8220=z{8:>6=4={42>34;;97?j1:pbc<72;q6jk4>e09>553=9=k0q~??0;296~;6890:i<52117951d52z?244<6m816==;51448yv77:3:1>v3>0382a4=:99?1=;?4}r337?6=:r7:<>4>e09>553=9?>0q~??4;296~;68=0:i<52117953352z?243<6<016==751d38yv77?3:1>v3>0682a4=:9931=9o4}r33e09>55?=9=h0q~?>0;296~;68h0:845210295`752z?24g<6m816=<>515c8yv77k3:1>v3>0b82a4=:98:1=9l4}r33`?6=:r7:e09>546=9<<0q~??e;296~;68l0:i<52102953752z?24c<6m816=<>51768yv76=3:1>v3>10820<=:98?1=h?4}r326?6=:r7:=?4>e09>543=9=k0q~?>3;296~;69:0:i<52107951d52z?251<6m816=<;51448yv76l3:1>v3>17820<=:98n1=h?4}r323?6=:r7:=:4>e09>54b=9=k0q~?>8;296~;6910:i<5210f951d52z?25<<6m816=v3>1`82a4=:98n1=;?4}r32f?6=:r7:=o4>e09>54b=9?>0q~?>c;296~;69j0:i<5210f953352z?25`<6<016=?;51d38yv76n3:1>v3>1g82a4=:9;?1=9o4}r314?6=:r7:>=4>e09>573=9=h0q~?=1;296~;6:80:i<5213795007>52z?267<6m816=?;51738yv75;3:1>v3>2282a4=:9;?1=;:4}r310?6=:r7:>94>e09>573=9??0q~?=7;296~;6:?0:845213595`752z?26=<6<016=?k51d38yv7513:1>v3>2882a4=:9;o1=9o4}r31e?6=:r7:>l4>e09>57c=9=h0q~?=b;296~;6:k0:i<5213g950052z?26f<6m816=?k51738yv75l3:1>v3>2e82a4=:9;o1=;:4}r304?6=:r7:>k4>489>566=9l;0q~?<8;296~;6;80:845212:95`77>52z?277<6m816=>6515c8yv74;3:1>v3>3282a4=:9:21=9l4}r300?6=:r7:?94>e09>56>=9<<0q~?<5;296~;6;<0:i<5212:953752z?273<6m816=>651768yv74?3:1>v3>3682a4=:9:21=;;4}r374?6=:r7:?44>489>516=9l;0q~?52z?27g<6m816=9>515`8yv74k3:1>v3>3b82a4=:9=:1=884}r30`?6=:r7:?i4>e09>516=9?;0q~?52z?27c<6m816=9>51778yxh0im<1<7?t}o5b`2<728qvb:ok8;295~{i?hn26=4>{|l4eag=83;pqc9ndc83>4}zf>koo7>51zm3dbc290:wp`8aeg94?7|ug=jhk4?:0y~j2gb83:1=vsa7`g2>5<6std{|l4e`g=83;pqc9nec83>4}zf>kno7>51zm3dcc290:wp`8adg94?7|ug=jik4?:0y~j2ga83:1=vsa7`d2>5<6std{|l4ecg=83;pqc9nfc83>4}zf>kmo7>51zm3d`c290:wp`8agg94?7|ug=jjk4?:0y~j2d783:1=vsa7c22>5<6std::182xh0j9<1<7?t}o5a42<728qvb:l?8;295~{i?k:26=4>{|l4f5g=83;pqc9m0c83>4}zf>h;o7>51zm3g6c290:wp`8b1g94?7|ug=i5<6std8;295~{i?k;26=4>{|l4f4g=83;pqc9m1c83>4}zf>h:o7>51zm3g7c290:wp`8b0g94?7|ug=i=k4?:0y~j2d583:1=vsa7c02>5<6std{|l4f7g=83;pqc9m2c83>4}zf>h9o7>51zm3g4c290:wp`8b3g94?7|ug=i>k4?:0y~j2d483:1=vsa7c12>5<6std<50;3xyk1e;:0;6{|l4f6g=83;pqc9m3c83>4}zf>h8o7>51zm3g5c290:wp`8b2g94?7|ug=i?k4?:0y~j2d383:1=vsa7c62>5<6std26=4>{|l4f1g=83;pqc9m4c83>4}zf>h?o7>51zm3g2c290:wp`8b5g94?7|ug=i8k4?:0y~j2d283:1=vsa7c72>5<6std{|l4f0g=83;pqc9m5c83>4}zf>h>o7>51zm3g3c290:wp`8b4g94?7|ug=i9k4?:0y~j2d183:1=vsa7c42>5<6std:0;6{|l4f3g=83;pqc9m6c83>4}zf>h=o7>51zm3g0c290:wp`8b7g94?7|ug=i:k4?:0y~j2d083:1=vsa7c52>5<6std<1<7?t}o5a32<728qvb:l88;295~{i?k=26=4>{|l4f2g=83;pqc9m7c83>4}zf>h51zm3g1c290:wp`8b6g94?7|ug=i;k4?:0y~j2d?83:1=vsa7c:2>5<6std{|l4f=g=83;pqc9m8c83>4}zf>h3o7>51zm3g>c290:wp`8b9g94?7|ug=i4k4?:0y~j2d>83:1=vsa7c;2>5<6std{|l4f4}zf>h2o7>51zm3g?c290:wp`8b8g94?7|ug=i5k4?:0y~j2df83:1=vsa7cc2>5<6std{|l4fdg=83;pqc9mac83>4}zf>hjo7>51zm3ggc290:wp`8b`g94?7|ug=imk4?:0y~j2de83:1=vsa7c`2>5<6std{|l4fgg=83;pqc9mbc83>4}zf>hio7>51zm3gdc290:wp`8bcg94?7|ug=ink4?:0y~j2dd83:1=vsa7ca2>5<6std{|l4ffg=83;pqc9mcc83>4}zf>hho7>51zm3gec290:wp`8bbg94?7|ug=iok4?:0y~j2dc83:1=vsa7cf2>5<6std{|l4fag=83;pqc9mdc83>4}zf>hoo7>51zm3gbc290:wp`8beg94?7|ug=ihk4?:0y~j2db83:1=vsa7cg2>5<6std{|l4f`g=83;pqc9mec83>4}zf>hno7>51zm3gcc290:wp`8bdg94?7|ug=iik4?:0y~j2da83:1=vsa7cd2>5<6std{|l4fcg=83;pqc9mfc83>4}zf>hmo7>51zm3g`c290:wp`8bgg94?7|ug=ijk4?:0y~j2e783:1=vsa7b22>5<6std::182xh0k9<1<7?t}o5`42<728qvb:m?8;295~{i?j:26=4>{|l4g5g=83;pqc9l0c83>4}zf>i;o7>51zm3f6c290:wp`8c1g94?7|ug=h5<6std8;295~{i?j;26=4>{|l4g4g=83;pqc9l1c83>4}zf>i:o7>51zm3f7c290:wp`8c0g94?7|ug=h=k4?:0y~j2e583:1=vsa7b02>5<6std{|l4g7g=83;pqc9l2c83>4}zf>i9o7>51zm3f4c290:wp`8c3g94?7|ug=h>k4?:0y~j2e483:1=vsa7b12>5<6std<50;3xyk1d;:0;6{|l4g6g=83;pqc9l3c83>4}zf>i8o7>51zm3f5c290:wp`8c2g94?7|ug=h?k4?:0y~j2e383:1=vsa7b62>5<6std26=4>{|l4g1g=83;pqc9l4c83>4}zf>i?o7>51zm3f2c290:wp`8c5g94?7|ug=h8k4?:0y~j2e283:1=vsa7b72>5<6std{|l4g0g=83;pqc9l5c83>4}zf>i>o7>51zm3f3c290:wp`8c4g94?7|ug=h9k4?:0y~j2e183:1=vsa7b42>5<6std:0;6{|l4g3g=83;pqc9l6c83>4}zf>i=o7>51zm3f0c290:wp`8c7g94?7|ug=h:k4?:0y~j2e083:1=vsa7b52>5<6std<1<7?t}o5`32<728qvb:m88;295~{i?j=26=4>{|l4g2g=83;pqc9l7c83>4}zf>i51zm3f1c290:wp`8c6g94?7|ug=h;k4?:0y~j2e?83:1=vsa7b:2>5<6std{|l4g=g=83;pqc9l8c83>4}zf>i3o7>51zm3f>c290:wp`8c9g94?7|ug=h4k4?:0y~j2e>83:1=vsa7b;2>5<6std{|l4g4}zf>i2o7>51zm3f?c290:wp`8c8g94?7|ug=h5k4?:0y~j2ef83:1=vsa7bc2>5<6std{|l4gdg=83;pqc9lac83>4}zf>ijo7>51zm3fgc290:wp`8c`g94?7|ug=hmk4?:0y~j2ee83:1=vsa7b`2>5<6std{|l4ggg=83;pqc9lbc83>4}zf>iio7>51zm3fdc290:wp`8ccg94?7|ug=hnk4?:0y~j2ed83:1=vsa7ba2>5<6std{|l4gfg=83;pqc9lcc83>4}zf>iho7>51zm3fec290:wp`8cbg94?7|ug=hok4?:0y~j2ec83:1=vsa7bf2>5<6std{|l4gag=83;pqc9ldc83>4}zf>ioo7>51zm3fbc290:wp`8ceg94?7|ug=hhk4?:0y~j2eb83:1=vsa7bg2>5<6std{|l4g`g=83;pqc9lec83>4}zf>ino7>51zm3fcc290:wp`8cdg94?7|ug=hik4?:0y~j2ea83:1=vsa7bd2>5<6std{|l4gcg=83;pqc9lfc83>4}zf>imo7>51zm3f`c290:wp`8cgg94?7|ug=hjk4?:0y~j2b783:1=vsa7e22>5<6std::182xh0l9<1<7?t}o5g42<728qvb:j?8;295~{i?m:26=4>{|l4`5g=83;pqc9k0c83>4}zf>n;o7>51zm3a6c290:wp`8d1g94?7|ug=o5<6std8;295~{i?m;26=4>{|l4`4g=83;pqc9k1c83>4}zf>n:o7>51zm3a7c290:wp`8d0g94?7|ug=o=k4?:0y~j2b583:1=vsa7e02>5<6std{|l4`7g=83;pqc9k2c83>4}zf>n9o7>51zm3a4c290:wp`8d3g94?7|ug=o>k4?:0y~j2b483:1=vsa7e12>5<6std<50;3xyk1c;:0;6{|l4`6g=83;pqc9k3c83>4}zf>n8o7>51zm3a5c290:wp`8d2g94?7|ug=o?k4?:0y~j2b383:1=vsa7e62>5<6std26=4>{|l4`1g=83;pqc9k4c83>4}zf>n?o7>51zm3a2c290:wp`8d5g94?7|ug=o8k4?:0y~j2b283:1=vsa7e72>5<6std{|l4`0g=83;pqc9k5c83>4}zf>n>o7>51zm3a3c290:wp`8d4g94?7|ug=o9k4?:0y~j2b183:1=vsa7e42>5<6std:0;6{|l4`3g=83;pqc9k6c83>4}zf>n=o7>51zm3a0c290:wp`8d7g94?7|ug=o:k4?:0y~j2b083:1=vsa7e52>5<6std<1<7?t}o5g32<728qvb:j88;295~{i?m=26=4>{|l4`2g=83;pqc9k7c83>4}zf>n51zm3a1c290:wp`8d6g94?7|ug=o;k4?:0y~j2b?83:1=vsa7e:2>5<6std{|l4`=g=83;pqc9k8c83>4}zf>n3o7>51zm3a>c290:wp`8d9g94?7|ug=o4k4?:0y~j2b>83:1=vsa7e;2>5<6std{|l4`4}zf>n2o7>51zm3a?c290:wp`8d8g94?7|ug=o5k4?:0y~j2bf83:1=vsa7ec2>5<6std{|l4`dg=83;pqc9kac83>4}zf>njo7>51zm3agc290:wp`8d`g94?7|ug=omk4?:0y~j2be83:1=vsa7e`2>5<6std{|l4`gg=83;pqc9kbc83>4}zf>nio7>51zm3adc290:wp`8dcg94?7|ug=onk4?:0y~j2bd83:1=vsa7ea2>5<6std{|l4`fg=83;pqc9kcc83>4}zf>nho7>51zm3aec290:wp`8dbg94?7|ug=ook4?:0y~j2bc83:1=vsa7ef2>5<6std{|l4`ag=83;pqc9kdc83>4}zf>noo7>51zm3abc290:wp`8deg94?7|ug=ohk4?:0y~j2bb83:1=vsa7eg2>5<6std{|l4``g=83;pqc9kec83>4}zf>nno7>51zm3acc290:wp`8ddg94?7|ug=oik4?:0y~j2ba83:1=vsa7ed2>5<6std{|l4`cg=83;pqc9kfc83>4}zf>nmo7>51zm3a`c290:wp`8dgg94?7|ug=ojk4?:0y~j2c783:1=vsa7d22>5<6std::182xh0m9<1<7?t}o5f42<728qvb:k?8;295~{i?l:26=4>{|l4a5g=83;pqc9j0c83>4}zf>o;o7>51zm3`6c290:wp`8e1g94?7|ug=n5<6std8;295~{i?l;26=4>{|l4a4g=83;pqc9j1c83>4}zf>o:o7>51zm3`7c290:wp`8e0g94?7|ug=n=k4?:0y~j2c583:1=vsa7d02>5<6std{|l4a7g=83;pqc9j2c83>4}zf>o9o7>51zm3`4c290:wp`8e3g94?7|ug=n>k4?:0y~j2c483:1=vsa7d12>5<6std<50;3xyk1b;:0;6{|l4a6g=83;pqc9j3c83>4}zf>o8o7>51zm3`5c290:wp`8e2g94?7|ug=n?k4?:0y~j2c383:1=vsa7d62>5<6std26=4>{|l4a1g=83;pqc9j4c83>4}zf>o?o7>51zm3`2c290:wp`8e5g94?7|ug=n8k4?:0y~j2c283:1=vsa7d72>5<6std{|l4a0g=83;pqc9j5c83>4}zf>o>o7>51zm3`3c290:wp`8e4g94?7|ug=n9k4?:0y~j2c183:1=vsa7d42>5<6std:0;6{|l4a3g=83;pqc9j6c83>4}zf>o=o7>51zm3`0c290:wp`8e7g94?7|ug=n:k4?:0y~j2c083:1=vsa7d52>5<6std<1<7?t}o5f32<728qvb:k88;295~{i?l=26=4>{|l4a2g=83;pqc9j7c83>4}zf>o51zm3`1c290:wp`8e6g94?7|ug=n;k4?:0y~j2c?83:1=vsa7d:2>5<6std{|l4a=g=83;pqc9j8c83>4}zf>o3o7>51zm3`>c290:wp`8e9g94?7|ug=n4k4?:0y~j2c>83:1=vsa7d;2>5<6std{|l4a4}zf>o2o7>51zm3`?c290:wp`8e8g94?7|ug=n5k4?:0y~j2cf83:1=vsa7dc2>5<6std{|l4adg=83;pqc9jac83>4}zf>ojo7>51zm3`gc290:wp`8e`g94?7|ug=nmk4?:0y~j2ce83:1=vsa7d`2>5<6std{|l4agg=83;pqc9jbc83>4}zf>oio7>51zm3`dc290:wp`8ecg94?7|ug=nnk4?:0y~j2cd83:1=vsa7da2>5<6std{|l4afg=83;pqc9jcc83>4}zf>oho7>51zm3`ec290:wp`8ebg94?7|ug=nok4?:0y~j2cc83:1=vsa7df2>5<6std{|l4aag=83;pqc9jdc83>4}zf>ooo7>51zm3`bc290:wp`8eeg94?7|ug=nhk4?:0y~j2cb83:1=vsa7dg2>5<6std{|l4a`g=83;pqc9jec83>4}zf>ono7>51zm3`cc290:wp`8edg94?7|ug=nik4?:0y~j2ca83:1=vsa7dd2>5<6std{|l4acg=83;pqc9jfc83>4}zf>omo7>51zm3``c290:wp`8egg94?7|ug=njk4?:0y~j2`783:1=vsa7g22>5<6std::182xh0n9<1<7?t}o5e42<728qvb:h?8;295~{i?o:26=4>{|l4b5g=83;pqc9i0c83>4}zf>l;o7>51zm3c6c290:wp`8f1g94?7|ug=m5<6std8;295~{i?o;26=4>{|l4b4g=83;pqc9i1c83>4}zf>l:o7>51zm3c7c290:wp`8f0g94?7|ug=m=k4?:0y~j2`583:1=vsa7g02>5<6std{|l4b7g=83;pqc9i2c83>4}zf>l9o7>51zm3c4c290:wp`8f3g94?7|ug=m>k4?:0y~j2`483:1=vsa7g12>5<6std<50;3xyk1a;:0;6{|l4b6g=83;pqc9i3c83>4}zf>l8o7>51zm3c5c290:wp`8f2g94?7|ug=m?k4?:0y~j2`383:1=vsa7g62>5<6std26=4>{|l4b1g=83;pqc9i4c83>4}zf>l?o7>51zm3c2c290:wp`8f5g94?7|ug=m8k4?:0y~j2`283:1=vsa7g72>5<6std{|l4b0g=83;pqc9i5c83>4}zf>l>o7>51zm3c3c290:wp`8f4g94?7|ug=m9k4?:0y~j2`183:1=vsa7g42>5<6std:0;6{|l4b3g=83;pqc9i6c83>4}zf>l=o7>51zm3c0c290:wp`8f7g94?7|ug=m:k4?:0y~j2`083:1=vsa7g52>5<6std<1<7?t}o5e32<728qvb:h88;295~{i?o=26=4>{|l4b2g=83;pqc9i7c83>4}zf>l51zm3c1c290:wp`8f6g94?7|ug=m;k4?:0y~j2`?83:1=vsa7g:2>5<6std{|l4b=g=83;pqc9i8c83>4}zf>l3o7>51zm3c>c290:wp`8f9g94?7|ug=m4k4?:0y~j2`>83:1=vsa7g;2>5<6std{|l4b4}zf>l2o7>51zm3c?c290:wp`8f8g94?7|ug=m5k4?:0y~j2`f83:1=vsa7gc2>5<6std{|l4bdg=83;pqc9iac83>4}zf>ljo7>51zm3cgc290:wp`8f`g94?7|ug=mmk4?:0y~j2`e83:1=vsa7g`2>5<6std{|l4bgg=83;pqc9ibc83>4}zf>lio7>51zm3cdc290:wp`8fcg94?7|ug=mnk4?:0y~j2`d83:1=vsa7ga2>5<6std{|l4bfg=83;pqc9icc83>4}zf>lho7>51zm3cec290:wp`8fbg94?7|ug=mok4?:0y~j2`c83:1=vsa7gf2>5<6std{|l4bag=83;pqc9idc83>4}zf>loo7>51zm3cbc290:wp`8feg94?7|ug=mhk4?:0y~j2`b83:1=vsa7gg2>5<6std{|l4b`g=83;pqc9iec83>4}zf>lno7>51zm3ccc290:wp`8fdg94?7|ug=mik4?:0y~j2`a83:1=vsa7gd2>5<6std{|l4bcg=83;pqc9ifc83>4}zf>lmo7>51zm3c`c290:wp`8fgg94?7|ug=mjk4?:0y~j=6783:1=vsa8122>5<6std3<=<50;3xyk>78:0;6::182xh?89<1<7?t}o:342<728qvb5>?8;295~{i09:26=4>{|l;45g=83;pqc6?0c83>4}zf1:;o7>51zm<56c290:wp`701g94?7|ug2;5<6std3<<<50;3xyk>79:0;6>8;295~{i09;26=4>{|l;44g=83;pqc6?1c83>4}zf1::o7>51zm<57c290:wp`700g94?7|ug2;=k4?:0y~j=6583:1=vsa8102>5<6std37::0;6=8;295~{i09826=4>{|l;47g=83;pqc6?2c83>4}zf1:9o7>51z~yxFGKr2>494:84d514czHIHp??4$NrW|!"Hx]r/JUQKC%172ZOI^-?8?#KWP348 JvSp-.D|Yv+FY]GG!53>VCEZ);<3/G[TZVSW98=7)ATy&'KuR,ORTHN*<47]JJS"2;:$NT]Q_T^312>"Hx]r/(B~[x%D[[AE#;=VCEZ);<3/S15>"Hx]r/(B~[x%D[[AE#;=5/a3!:1<7>& )294?6.991#==>?:0234?7789#:?6&>1623>470890:=:>?)028,41783;<<=4>712*55=/91:;6<6?0;3;45/682"94=>52923>7>78 ;;7%=?0180456=;9:;%??4(2234?578908<=>& )1645<4=9:1?8>?)028,637839><=4<512*`>.3890?<=4;01+24>.189:1:=>?:7234,773!=;<=4801293567!8:0$4>?0;;345<>89:"=6>>;008554<9880=??=;009KPRW]]0YM1?>:1<1?45538>97<;=;041?41538297<7>;308654<:;80>>?;;319MKVR\3KTJ^L32282:7=5<;199?5=7391<7=51818>6=?2:116>54:29?>6=:2:156>5092>979>=;531?1453=99798=;421?0453<9978:=;471?0053<2:7;<46208214<><80:;?4739447=0:;1<8?58639437=00;1<5<572::36>>6:229>66:2::56>>0:222=67=;821?<75308974==;861?<3530<9749:;@NF4H1B.E0g?DJB8D$O>R^[_1f8EIC7E'N9S]ZP1e9BH@6J&M8T\YQ=d:COA5K)L;U[XR=k;@NF4H(C:VZ_S994AMG3I+W>3HFN<@ ]EF78EIC7[>1J@H>\.E:8EIC7['N:46OCE1Q-@710MAK>3:CM@<=FFGE_MYKK9:C\BVD;994o7LQISC>24;(WIM30MRH\B=32:a=FWOYI0GXNZH7=?0k;@]EWG:6:7$[MI74A^DPF9746m1JSK]M<01=*UGC12KTJ^L3152i5N_GQA8439&YKO56OPFR@?538c3HUM_O2>6?,SEA?e9B[CUE48=5"]OK9:C\BVD;914o7LQISC>2<;(WIM30MRH\B=3::a=FWOYI0<71.QCG<>GXNZH7=3m4A^DPF979&YKO56OPFR@?658c3HUM_O2=0?,SEA?>e9B[CUE4;;5"]OK9:C\BVD;:;4o7LQISC>16;(WIMh0MRH\B=00>48>3HUM_O2=3?f8EZ@TJ5882#^ND99B[CUE4;4h7LQISC>1:+VFL11JSK]M<2<`?DYA[K682#^ND99B[CUE4=4h7LQISC>7:+VFL11JSK]M<4<`?DYA[K6>2#^ND99B[CUE4?4h7LQISC>5:+VFL11JSK]M<6<`?DYA[K6<2#^ND99B[CUE414h7LQISC>;:+VFL11JSK]M<8<`?DYA[K622#^NDe9B[CUEW8:TEO[Id:C\BVDX98UBNXHk;@]EWGY6:VCIYKj4A^DPFZ74W@H^Ji5N_GQA[42XAK_Mh6OPFR@\50YNJ\Lo7LQISC]22ZOE]On0MRH\B^34[LDRNm1JSK]M_0:\MGSAl2KTJ^LP18]JFP@d3HUM_OQ>_H@VBa=FWOYIS?>PICWE`>GXNZHT>RGMUGf8EZ@TJV88SDLZFb9B[CUEW;UBNXHl;@]EWGY4W@H^Jn5N_GQA[1YNJ\Lh7LQISC]6[LDRNj1JSK]M_7]JFP@d3HUM_OQ8_H@VBf=FWOYIS5QFBTD`?DYA[KU2SDLZF79BkprHM:1IYK64BTQ\MK@H<2I::@64C04N*UGCm2I::@QFBTD,BFJLn2I::@QFBTD,BFJL%?1H>8CJN`9@60KBF'ZJHo5L24OFJZIE]On0O?;BEO]LFP@)Ll1H>8CJN^MAQC(C9l1H>8CJN^MAQC(C:m1H>8CJN^MAQC(V;2I3A:5L8L,SEA>C_X8:T"HV__QV\5c=BPY;;S#KWP^RW[7`P.DZS[URX=8h0IU^>0^,F\UYs{`gyicobee]maqYf3LR[=C_X8;T"HV__QV\5c=BPY;:S#KWP^RW[7`_/G[TZrtadxnblcjd^lfpZgR JXQd8A]V6:V$NT]Q_T^2e?@^W9;U%IU^PPU]2b>C_X88T"HV__QV\6c=BPY;9S#KWP^RW[6`R JXQ]SPZ2a3LR[=?Q!EYR\TQY29k1NT]?=_/G[TZrtadxnblcjd^lfpZgC_X89T"HV__QV\6c=BPY;8S#KWP^RW[6`Q!EYR\TQY29k1NT]?<_/G[TZrtadxnblcjd^lfpZgi;DZS50Y)MQZT\YQ>f:G[T43X&LR[S]ZP2g9F\U72W'OS\R^[_2d8A]V6=V$NT]Q_T^6e?@^W9S#KWP^vpmhtbfhgnhR`jt^c8A]V6>V$NT]h4EYR22Z(BPYU[XR>i;DZS53Y)MQZT\YQ>f:G[T40X&LR[S]ZP2g9F\U71W'OS\R^[_2d8A]V6>V$NT]Q_T^6e?@^W9?U%IU^PPU]65g=BPY;=S#KWP^vpmhtbfhgnhR`jt^c8A]V6?V$NT]h4EYR23Z(BPYU[XR>i;DZS52Y)MQZT\YQ>1c9F\U70W'OS\Rz|ilpfjdkblVdnxRo4EYR28^,F\UYW\V:m7HV_19]-A]VXX]U:j6KWP0:\*@^WWY^T>k5JXQ3;[+C_XVZ_S>h4EYR2b:G[T4>X&LR[Sy}fmsgmehccWgoSl5JXQ3:[+C_Xo1NT]?6_/G[TZVSW9l0IU^>9^,F\UYW\V;m7HV_18]-A]VXX]U9j6KWP0;\*@^WWY^T?k5JXQ3:[+C_XVZ_S9h4EYR2=Z(BPYU[XR;>b:G[T4?X&LR[Sy}fmsgmehccWgoSl5JXQ03[+C_Xo1NT]_/G[TZVSW8l0IU^=1^,F\UYW\V8m7HV_20]-A]VXX]U8j6KWP33\*@^WWY^T8k5JXQ02[+C_XVZ_S8?m;DZS64Y)MQZTx~gbrdlbi`bXfl~Tm6KWP30\*@^Wn2OS\?C_X;>T"HV__QV\6c=BPY8?S#KWP^RW[6`S#KWP0`8A]V5=V$NT]Q{shoqakgjmmUeiyQn;DZS63Y)MQZm7HV_27]-A]VXX]U;j6KWP34\*@^WWY^T=k5JXQ05[+C_XVZ_S?h4EYR12Z(BPYU[XR=i;DZS63Y)MQZT\YQ;f:G[T70X&LR[S]ZP50`8A]V5>V$NT]Q{shoqakgjmmUeiyQn;DZS62Y)MQZm7HV_26]-A]VXX]U;j6KWP35\*@^WWY^T=k5JXQ04[+C_XVZ_S?h4EYR13Z(BPYU[XR=i;DZS62Y)MQZT\YQ;f:G[T71X&LR[S]ZP50`8A]V5?V$NT]Q{shoqakgjmmUeiyQ9;D]JFP@03Lnli[oc4:D@HN>OI9;>0EC?<4:KM51286GA1768MK70<2CE=5:4IO3:7>OI:=1BB?>;;HL151=NF;8?7D@=359JJ7233@D9995FN347?LH5?=1BB?6;;HL1=6=NF:>0EC=?4:KM74587D@:3:KM26=NF>90EC6<;HL:=>OIA]Y_MYK:;HMBGQ1R^[_1f8MJGD\'N9S]ZP1e9JKDES&M8T\YQ=d:KLEFR)L;U[XR=k;HMBGQ(C:VZ_S9j4INC@P+B5WY^T9:5FO@AW*T?OHLFDN"Ij;HMGKKC)L;U[XR?j;HMGKKC)L;U[XR?1BCA>!Q99JKI6)ZLM:96G@L1]wwlkdzlkiiQwos]:?LIIX[ojhtl4INLSV`gcq'Nh7DAAPSgb`|(C9j1BCC^]e`fz*A4692CDB]\jae{-@7YW\V::=6G@NQPfea)L;U[XR?k;HMMTWcflp$NT]?=;HMMTWcflp$NT]Q_T^226>OHFYXnmiw!EYR\TQY69;1BCC^]e`fz*@^WWY^T><<4INLSV`gcq'OS\R^[_23f?LIIX[ojht JXQ]wwlkumgkfiiQaeu]a?LIIX[ojht ^d:KLJUTbims%^HI8;HMQ@WCV12CD^I\JQ/Fb?LIUL[OZ"I?n;HMQ@WCV&M8m7DA]DSGR*A4XX]U;j6G@REPFU+B5WY^T=k5FOSFQAT(C:VZ_S?l4INPGV@W)MQZ:<6G@REPFU+C_XVZ_S=??;HMQ@WCV&LR[S]ZP1028MJTCZL[%IU^PPU]15f=NG[NYI\ JXQ]wwlkumgkfiiQaeu]:?LIUL[OZ"\l4INPGV@W)ZLM?7DAZ079JKP6)L>1BCX>!D058MJS7&M8h7DAZ0/F1[URX8j1BCX>!D3]SPZ7d3@E^<#J=_QV\6f=NG\:%H?Q_T^1`?LIR8'N9S]ZP4b9JKP6)L;U[XR;l;HMV4+B5WY^T:;5FOT2-U==NG\:%^HI>5:KLQ5Ys{`gh~ho{ee]{kwY03@E\^_K^9:KLSWTBY'Nj7DAXRSGR*A7f3@E\^_K^.E0e?LIPZ[OZ"IOH_[XN]#J=_QV\5c=NG^XYI\ K2^RW[7`1E<#Z]SU18J5703GO_[B\D3:MMA7=HZ:1DYY=4P@F7?UGU\>1[EC@NMDc8TLHXJ\YBHUl4PHL\FPUIIDO=7]k}inf;?Uiu|Ykd55^oRPfeaf3XeX^hoky/Fa?TiTZlkou#J>b:SlWWcflp$O><>4QnQQadb~&M8T\YQ?119RkVTbims%H?Q_T^324>Wh[[ojht K2^RW[7773XeX^hoky/F1[URX;8:0]b]]e`fz*A4XX]U?==5^oRPfea)L;U[XR;>0:SlWWcflp$O>R^[_7c8UjUUmhnr"\m4QnQQadb~&[OL96\N<1<:?WG;87$[MI84R@>24;gTF48;5"]OK5:PB848>3[K7=3 _AE78VD:5601YM1<1.QCG1>TF4:427_O33?,SEA389QE909&YKO96\N<6<:?WG;?7$[MI;4R@>;:<=UI525"]OK5:PB8<8>3[K753 _AE:8VDKUmhnrm6\NMSgb`|(Cj2XJA_kndx,G5g=UIDXnmiw!D333?WGJZlkou#J=_QV\446TFW9UDNXH!D3]SPZ6692XJS=Q@BTD-@7YW\V;i7_OP0^MAQC(Vi2XJS<>POCWEg>TFW8:TCO[I.Ef8VDY68VEIYK K1e9QEZ77WFH^J#J=139QEZ77WFH^J#J=_QV\4440^MAQC(Vn2XJS1^MAQC"E]O:%H<<4R@]25ZIE]O.IYK>!D031?WGX98UDNXH+BTD3*A46;2XJS!EYR\TQY7911YMR?>_N@VB!DRN9$NT]Q_T^32<>TFW8;TCO[I$CWE4+C_XVZ_S??7;SC\54YHJ\L/NXH?.DZS[URX;820^LQ>1^MAQC"E]O:%IU^PPU]761=UIV;:SBLZF%@VB5(BPYUdc}eocnaaYim}U:=6\N_03\KGSA,K_M<#_l;SC\54YHJ\L/]n5]A^32[JDRN'No7_OP10]LFP@)L8n0^LQ>1^MAQC(C:880^LQ>1^MAQC(C:VZ_S=?=;SC\54YHJ\L%H?Q_T^3`?WGX98UDNXH!Q89QEZ7XGK_Mn6\N_0]LFP@)Lj1YMR?POCWE*A7d3[KT=RAMUG,G647_N@VB+B5WY^T=i5]A^3\KGSA&LR[=h5]A^3\KGSA&LR[Sy}fmsgmehccWgoSo5]A^3\KGSA&X30^LQ=_N@VBg=UIV8TCO[I.Ea8VDY5WFH^J#J>c:PB[7YHJ\L%H??>;SC\6ZIE]O$O>R^[_132?WGX:VEIYK K2^RW[4b2:PB[7YHJ\L%IU^PPU]157=UIV8TCO[I.DZS[URX;8o0^LQ=_N@VB+C_XV~xe`|jn`of`Zhb|Vh0^LQ=_N@VB+W>3[KT8RAMUG`8VDY3WFH^J#Jl;SC\0ZIE]O$O=n5]A^6\KGSA&M8:=6\N_5]LFP@)L;U[XR>>1:PB[1YHJ\L%H?Q_T^3g?WGXTFW>2:PB[0YHJ\L%IU^PPU]257=UIV?TCO[I.DZS[URX:8o0^LQ:_N@VB+C_XV~xe`|jn`of`Zhb|Vh0^LQ:_N@VB+W>3[KT:RAMUG`8VDY1WFH^J#Jl;SC\2ZIE]O$O=n5]A^4\KGSA&M8:=6\N_7]LFP@)L;U[XR>>1:PB[3YHJ\L%H?Q_T^3g?WGX>VEIYK JXQ3f?WGX>VEIYK JXQ]wwlkumgkfiiQaeu]a?WGX>VEIYK ^9:PB[2YHJ\Li7_OP7^MAQC(Ck2XJS:Q@BTD-@4eTFW1UDNXH!Db9QEZ>XGK_M"I?l;SC\WFH^Jo5]A^;\KGSA&Mi0^LQ6_N@VB+B6k2XJS4Q@BTD-@7763[KT5RAMUG,G6ZVSW9;:7_OP9^MAQC(C:VZ_S3Zoy}F`le18PWO33]S[In5ZSDP\RLUNJEO27[GJW^VZT@5<^ji=7Zo}pRGb?RguxZO%bjo:;Vkgpm4d3QI29<:;XM/24(RV99:+$^~jee"Emic=(_oydaa 2,3-1=_LDF:h6VFLHL[)]BE(9$:,^ZZB!2-5%GTK@C87UA]6:ZgfZOcj2RodR^}ilKmrf=_laU[~dc[yqge?]boWYxba[k}shmm<>^c`V^bahj4Xej\PlkbKaohgi5Wdi]WmhcCmekr==5Wdl]Neoiu^lxxeb`>0:ZgiZKnffx]i}foo68^@TH12iex"K}<1ei|&CXSK]B_GDg5+C_XVZ_S??7;blw+LUXNZGTJKj>.DZS[URX;;>0ocz IR]EWHYANm;%IU^Ptrknv`hfelnTbhzP109`jq)N[VLXARHId0,R56=df}%B_RH\M^DE`4(UMN8;7n`{/HQ\BVKXNOn:Sy}fmbpfeqccWqeySk5lnu-JWZ@TEVLMh??>;blw+LUXNZGTJKj=.E31?fhs'@YTJ^CPFGf1*A76:2iex"G\_GQN[C@c:'N9=:5lnu-JWZ@TEVLMh? K2^RW[5703jd#D]PFRO\BCb5&M8T\YQ>109`jq)N[VLXARHId3,R56=df}%B_RH\M^DE`7(UMN8;7n`{/HQ\BVKXNOn9Sy}fmbpfeqccWqeySi5lnu-OPlkbz5:5j6mat.NWmhcu494%H<>4cov,HQojm{6;2#J>119`jq)K\`gn~1>1.E021>ei|&F_e`k}<1<-@7YW\V::96mat.NWmhcu494%H?Q_T^321>ei|&F_e`k}<1<-@7YW\V8:96mat.NWmhcu494%H?Q_T^121>ei|&F_e`k}<1<-@7YW\V>:96mat.NWmhcu494%H?Q_T^721>ei|&F_e`k}<1<-@7YW\V1119`jq)K\`gn~1??>/F25>ei|&F_e`k}<02=*A7692iex"B[ilgq8469&M8::6mat.NWmhcu48:5"I24;(BPYU[XR?=3:amp*JSadoy0<>1.DZS[qune{oem`kk_ogw[4624;(V9;1hby!CThofv9776'XNK0:amp*JSadoy0ei|&F_e`k}<03=*A4XX]U:=;5lnu-OPlkbz5;:2#J=_QV\64625;(V9;1hby!CThofv9766'XNK0:amp*JSadoy0<0!D033?fhs'E^bah|31?,G6432:+B5WY^T<<;4cov,HQojm{6:2#J=_QV\5432:+B5WY^T><;4cov,HQojm{6:2#J=_QV\7472:+C_X8<0ocz LUknaw:66'OS\R^[_135?fhs'E^bah|31?,F\UYW\V;9>6mat.NWmhcu484%IU^Ptrknv`hfelnTbhzPf:amp*JSadoy0<0!Q038gkr(D]cfi2>>/PFCa=df}%GXdcjr=0=b>ei|&F_e`k}<3<-@461:+B6991hby!CThofv949&M8:96mat.NWmhcu4;4%H?Q_T^221>ei|&F_e`k}<3<-@7YW\V;:96mat.NWmhcu4;4%H?Q_T^0e?fhs'E^bah|32?,R54=df}%GXdcjr=0=*WC@9l1hby!CThofv949W}yban|jaugg[}iuWm1hby!CThofv959n2iex"B[ilgq868)L8:0ocz LUknaw:46'N:==5lnu-OPlkbz595"I<>5:amp*JSadoy0>0!D3]SPZ66=2iex"B[ilgq868)L;U[XR?>5:amp*JSadoy0>0!D3]SPZ4a3jd#AZfmdp?7;(V981hby!CThofv959&[OL=h5lnu-OPlkbz595Sy}fmbpfeqccWqeySi5lnu-OPlkbz5>5j6mat.NWmhcu4=4%H<>4cov,HQojm{6?2#J>119`jq)K\`gn~1:1.E021>ei|&F_e`k}<5<-@7YW\V::96mat.NWmhcu4=4%H?Q_T^321>ei|&F_e`k}<5<-@7YW\V8m7n`{/MVji`t;<7$Z=<5lnu-OPlkbz5>5"_KH1d9`jq)K\`gn~1:1_uqjiftbi}ooSua}_e9`jq)K\`gn~1;1f:amp*JSadoy080!D028gkr(D]cfi2:>/F255=df}%GXdcjr=7=*A46=2iex"B[ilgq808)L;U[XR>>5:amp*JSadoy080!D3]SPZ76=2iex"B[ilgq808)L;U[XRei|&F_e`k}<7<-@465:+B6991hby!CThofv909&M8:96mat.NWmhcu4?4%H?Q_T^221>ei|&F_e`k}<7<-@7YW\V;:96mat.NWmhcu4?4%H?Q_T^0e?fhs'E^bah|36?,R54=df}%GXdcjr=4=*WC@9l1hby!CThofv909W}yban|jaugg[}iuWm1hby!CThofv919n2iex"B[ilgq828)L8:0ocz LUknaw:06'N:==5lnu-OPlkbz5=5"I<>5:amp*JSadoy0:0!D3]SPZ66=2iex"B[ilgq828)L;U[XR?>5:amp*JSadoy0:0!D3]SPZ4a3jd#AZfmdp?3;(V981hby!CThofv919&[OL=h5lnu-OPlkbz5=5Sy}fmbpfeqccWqeySi5lnu-OPlkbz525j6mat.NWmhcu414%H<>4cov,HQojm{632#J>119`jq)K\`gn~161.E021>ei|&F_e`k}<9<-@7YW\V::96mat.NWmhcu414%H?Q_T^321>ei|&F_e`k}<9<-@7YW\V8m7n`{/MVji`t;07$Z=<5lnu-OPlkbz525"_KH1d9`jq)K\`gn~161_uqjiftbi}ooSua}_e9`jq)K\`gn~171f:amp*JSadoy040!D028gkr(D]cfi26>/F255=df}%GXdcjr=;=*A46=2iex"B[ilgq8<8)L;U[XR>>5:amp*JSadoy040!D3]SPZ76=2iex"B[ilgq8<8)L;U[XR<>1:amp*JSadoy040!EYR22>ei|&F_e`k}<8<-A]VXX]U;=;5lnu-OPlkbz535"HV__QV\540::+C_XVZ_S?<=;blw+IRnelx753 JXQ]wwlkumgkfiiQaeu]e?fhs'E^bah|39?,R54=df}%GXdcjr=;=*WC@9l1hby!CThofv9?9W}yban|jaugg[}iuWj1hby!CThofvQEb3jd#AZfmdpWG+Ba3jd#AZfmdpWG+B6n2iex"B[ilgqPF(C:l1hby!CThofvQE)Y8:0ocz LUknawRD&[OL>h5lnu-OPlkbzV;Tbbz?013,gkr(D]cfiQ>_omw4566WM8%H?h4cov,HQojm{U:Sca{0122+fhs'E^bah|P1^llp5679VN9"I?=f:amp*JSadoyS_omw4566'jd#AZfmdp\5Zhh|9:;=RJ=.E0\TQY7;=1hby!CThofvZ7Xff~;<=? cov,HQojm{U:Sca{0122[A4)L;U[XR?<4:amp*JSadoyS>_E0-@7YW\V?886mat.NWmhcuW8Uecy>?00-`jq)K\`gn~R?Pnnv3457XL;$O>R^[_70f?fhs'E^bah|P1^llp5679&iex"B[ilgq[4Yig}:;<ei|&F_e`k}_0]mkq6788%hby!CThofvZ7Xff~;<=?PD3,VMHeei|&^bah|30?,@A`=df}%_e`k}<1<-@c=df}%_e`k}<1<-@4`3:+W682iex"Zfmdp?4;(UMN;o7n`{/Uknaw:76V~xe`m}e`vf`Z~hzVi0ocz Thofv979n2iex"Zfmdp?5;(DMl1hby![ilgq848)Lo1hby![ilgq848)L8l0ocz Thofv979&M8:86mat.Vji`t;97$O>R^[_137?fhs']cfi2>>/F1[URX98>0ocz Thofv979&M8T\YQ=e:amp*Rnelx7=3 ^119`jq)Sadoy0<0!RDE`?fhs']cfi2=>g9`jq)Sadoy0?0!CDg8gkr(\`gn~1<1.Ed8gkr(\`gn~1<1.E3e?fhs']cfi2=>/F151=df}%_e`k}<3<-@7YW\V::86mat.Vji`t;:7$O>R^[_037?fhs']cfi2=>/F1[URX:8>0ocz Thofv949&M8T\YQ3 ^119`jq)Sadoy0?0!RDE`?fhs']cfi2<>g9`jq)Sadoy0>0!CDg8gkr(\`gn~1=1.Ed8gkr(\`gn~1=1.E3e?fhs']cfi2<>/F151=df}%_e`k}<2<-@7YW\V::86mat.Vji`t;;7$O>R^[_037?fhs']cfi2<>/F1[URX:8>0ocz Thofv959&M8T\YQ0!RDE2`>ei|&^bah|33?]wwlkdzlkiiQwos]a?fhs']cfiZLe:amp*Rnelx_O#MJd:amp*Rnelx_O#Jj;blw+Qojm{^H"I?j;blw+Qojm{^H"I3:+Bd3jd#Z]30?,G5f=df}%\_1>1.E0a?fhs'^Y7<3 ^d:amp*QT494%^HI>a:amp*QT494Tx~gbcsgbp`bXpfxT56mat.UP848e3jd#Z]31?,Gg>ei|&]X0<0!D0a8gkr(_Z6:2#J=109`jq)P[5;5"I6mat.UP848)MQZT\YQ?139`jq)P[5;5"HV__QV\54c>/G[TZrtadxnblcjd^lfpZd>/Sg?fhs'^Y7=3 ]EF;8gkr(_Z692o5lnu-TW949&Mi0ocz WR>1:+B6k2iex"Y\<3<-@7b/G[T44/G[TZVSW9;97n`{/VQ?6;(BPYU[XR?>2:amp*QT4;4%IU^PPU]157=df}%\_1<1.DZS[URX;880ocz WR>1:+C_XVZ_S9?=;blw+RU;:7$NT]Q_T^72a>ei|&]X0?0!EYR\pvojzldjahjPndv\f>ei|&]X0?0!Qe9`jq)P[585"_KH1`9`jq)P[585Sy}fmbpfeqccWqeyS45lnu-TW959j2iex"Y\<2<-@f=df}%\_1=1.E3`?fhs'^Y7?3 K2038gkr(_Z682#J=_QV\447/F1[URX9m1hby!XS=1=*@^W9;1hby!XS=1=*@^WWY^T<<<4cov,SV:46'OS\R^[_031?fhs'^Y7?3 JXQ]SPZ46:2iex"Y\<2<-A]VXX]U8=h5lnu-TW959&LR[Sy}fmsgmehccWgoSo5lnu-TW959&Xn0ocz WR>0:+TBO8k0ocz WR>0:Zrtadiyilzjd^zlvZg Kd:amp*hNXE8y"I?k;blw+kOWD;x%H?m4cov,jLVK:{$Zi6mat.lJTI4u&[OLn6m|/oMuawjfqm1h"`@vdpoe|(Cm2ix#cAyesnb}+B6m2ix#cAyesnb}+B59:1h"`@vdpoe|(C:VZ_S=?<;bq,jJpbzekr"I`tj&KX~k K2`9ewg)F[{l%]n5isc-BWw`)ZLM27damm.A;Iwdohjd%Ocxz@E/F1[URX:890eblb/EmvpJC)L;U[XR=i;hmai*Bh}}EN"HV_219jkgk(LfCH JXQ]wwlkumgkfiiQaeu]g?liee&NdyyAJ.Pd8mjdj'Me~xBK!RDE2g>ohjd%Ocxz@E^vpmheumh~nhRv`r^c8mjdj'LY7<3m4in`n+@U;87$Oh6g`bl-FW969&M;o7damm.GP858)L;;97damm.GP858)L;U[XR>>2:klfh)B[5:5"I/F1[URX:j1bcoc ER>3:+Wb3`eia"K\<1<-V@A6j2cdn`!JS=2=[qunejxnmykk_ymq[d=ngkg$I^2>>b9jkgk(MZ6:2#Jk;hmai*CT484%H<<4in`n+@U;97$O>R^[_131?liee&OX0<0!D3]SPZ76:2cdn`!JS=3=*A4XX]U9=?5foco,AV:66'N9S]ZP3b9jkgk(MZ6:2#_j;hmai*CT484%^HIn;hmai*CT4;4h7damm.GP878)Lm1bcoc ER>1:+B6l2cdn`!JS=0=*A46:2cdn`!JS=0=*A4XX]U;=?5foco,AV:56'N9S]ZP1008mjdj'LY7>3 K2^RW[7eohjd%N_1<1.SGD5g=ngkg$I^2=>^vpmheumh~nhRv`r^c8mjdj'LY7?3m4in`n+@U;;7$Oh6g`bl-FW959&M;o7damm.GP868)L;;97damm.GP868)L;U[XR>>2:klfh)B[595"I/F1[URX:880eblb/DQ?7;(C:VZ_S>m4in`n+@U;;7$Zi6g`bl-FW959&[OL=o5foco,AV:46V~xe`m}e`vf`Z~hzV=0eblb/Dp:?liee&Oy"Io4in`n+@t)L8k0eblb/Dp-@7?ohjd%BC_J]EPp-U47ohjd%BC^>!Db9jkgk(AFY;"I?l;hmai*OH[9$O>ohjd%BC^QISL]EBa46;2cdn`!FOR]EWHYANm8%H<:4in`n+LITWOYFSKHk2/F251=ngkg$EB]PFRO\BCb5&M8:56g`bl-JKVYA[DUMJiohjd%BC^QISL]EBa4)Y8?0eblb/HMP[CUJWOLo>#\JG038mjdj'@EXSK]B_GDg745<=4in`n+LITWOYFSKHk3/S21>ohjd%BC^QISL]EBa5)ZLM:=6g`bl-JKVYA[DUMJi:>3:klfh)NGZUM_@QIFe6-@42ohjd%BC^QISL]EBa2)Y8?0eblb/HMP[CUJWOLo8#\JG038mjdj'@EXSK]B_GDg1455:klfh)NGZUM_@QIFe7-V@A692cdn`!FOR]EWHYANm<:?6g`bl-JKVYA[DUMJi8!D068mjdj'@EXSK]B_GDg2+B69=1bcoc INQ\BVKXNOn="I<>9:klfh)NGZUM_@QIFe4-@7YW\V::56g`bl-JKVYA[DUMJi8!D3]SPZ76;2cdn`!FOR]EWHYANm<%]<;4in`n+LITWOYFSKHk6/PFC474:klfh)NGZUM_@QIFe5-@4733`eia"G@S^DPIZ@Al>$O><74in`n+LITWOYFSKHk7/F1[URX8830eblb/HMP[CUJWOLo;#J=_QV\54?0:klfh)NG^XYI\|!D033?liee&CD[_\JQs,G6c=ngkg$EBY]RDSq*T763`eia"G@WSPFUw(UMN30eblb/WRBvg=ngkg$Z]O}.Ea8mjdj'_ZJ~#J>c:klfh)QXHx%H?l4in`n+SVFz'[o7damm.TSEw(UMNh0ebl|/Bnfew7c3`ei"Mce`p2*Acohjz%H`ho}1/G[TZrtadxnblcjd^lfpZbc:klfv)NGE;%OHl4in`p+LIK9'Nh7dams.KLH4(C9j1bco} INN2*A4e3`ei"G@L0,R`>ohjz%BCA?!RDEb?lie{&CD^Z?l;hmaw*OHZ^;%Hi5focq,MJTP9'N:h6g`br-JKWQ6&M8:>6g`br-JKWQ6&M8T\YQ?139jkgu(AFX\=#J=_QV\5442:klfv)NG[]:"Iohjz%BC_Y>.DZS5c=ngky$EB\X1/G[TZrtadxnblcjd^lfpZeohjz%BC_Y>.SGD5g=ngky$EB\X1^vpmheumh~nhRv`r^;8mjdt'@E^=n5focq,MJS6&JOi7dams.KLQ4(Ck2cdn~!FOT3-@4eohjz%BCX?!Qe9jkgu(AF_:"_KHa:klfv)Kghn:o6g`br-Okdb6&Mn0ebl|/Mmb`4(C9m1bco} Lncg5+B59;1bco} Lncg5+B5WY^T<<<4in`p+Iifl8$O>R^[_031?lie{&Fdmi?!D3]SPZ46:2cdn~!Co`f2*A4XX]U8i6g`br-Okdb6&LR[=>5focq,Hjgc9'OS\R^[_130?lie{&Fdmi?!EYR\TQY69o1bco} Lncg5+C_XV~xe`|jn`of`Zhb|Vi0ebl|/Mmb`4(Vm2cdn~!Co`f2*WC@12cdn~!\eova?lie{&Ynby Kc:klfv)Tmg~%H3:klfv)S[VLXARHId0,G64>6g`br-WWZ@TEVLMh< ^159jkgu(\ZUM_@QIFe3-V@A682cdn~![S^DPIZ@Al;;97dams.VP[CUJWOLo>#J>3:klfv)S[VLXARHId3,G545#KWP^RW[4423`ei"Z\_GQN[C@c:'OS\Rz|ilpfjdkblVdnxR?=;hmaw*RTWOYFSKHk2/S20>ohjz%__RH\M^DE`7(UMN30bLJ`uuNF4a=iIMe~xAK?.QCGa>hFLf@H>POCWE55=iIMe~xAK?_N@VB+B692dJHb{{LD2\KGSA&M;:=6`NDnwwH@6XGK_M"I<>0:lB@jssDL:TCO[I.P;8jDBh}}FN=i5aAEmvpIC6&YKOi6`NDnwwH@7XGK_M==5aAEmvpIC6WFH^J#J>1:lB@jssDL;TCO[I.E325>hFLf@H?POCWE*A46>2dJHb{{LD3\KGSA&M8T\YQ?179mEAir|EO:SBLZF/F1[URX98:0bLJ`uuNF5ZIE]O$Z=?5aAEmvpIC6WFH^J#\JG69mEVYA[Kh0bL]PFR@-TDBd3gKXSK]M_H@VB2=iIZUBCOl4n@Q\MJD)XHNj7cO\_HMAkprc3gKXSDAMotv'K@ehF[VCDNb{{.SGDb>hF[VCDNb{{.UPPP2=iIfCHl4n@mvpJC)XHNh7cO`uuMF[JDRNl1eMb{{OD]LFP@)Lo1eMb{{OD]LFP@)L8l0bLaztNG\KGSA&M8:<6`NotvLAZIE]O$NT]?:;oClqqIBWFH^J#KWP^RW[5723gKdyyAJ_N@VB+C_XVZ_S?:;oClqqIBWFH^J#KWP^RW[1463gKdyyAJ_N@VB+C_XV~xe`|jn`of`Zhb|Vo0bLaztNG\KGSA&X;;7cO`uuMF[JDRN'XNK45aBDPQ[CUEl2dII_\PFR@-TDBb3gHN^_QISC]LFP@682dII_\PFR@\KGSA&M;:7cLJRS]EWGYHJ\L%H;o@FVWYA[KUDNXH!D335?kDBZ[UM_OQ@BTD-@7YW\V:::6`MESP\BVDXGK_M"I<<4nCGQVZ@TJVEIYK JXQ34?kDBZ[UM_OQ@BTD-A]VXX]U;=:5aBDPQ[CUEWFH^J#KWP^RW[4703gHN^_QISC]LFP@)MQZT\YQ=229mF@TUWOYISBLZF/G[TZrtadxnblcjd^lfpZ773gHN^_QISC]LFP@)Y880bOK]R^DPFZIE]O$YIJ?=;o@FVWYA[KUDNXH!UHO:?kDBZ[UBCOj4nCGQVZOHJ'ZJHh5aBDPQ[LIEW@H^J:5aBS]JKGdhEZVCDNRAMUG,G6ZVSW9;?7cL]_HMA[JDRN'N9S]ZP1028jGTXAFHTCO[I.DZS50=iJ[UBCOQ@BTD-A]VXX]U;=85aBS]JKGYHJ\L%IU^PPU]250=iJ[UBCOQ@BTD-A]VXX]U9><5aBS]JKGYHJ\L%IU^Ptrknv`hfelnTbhzPe:lAVZOHJVEIYK ^119mFWYNGKUDNXH!RDE2`>hEZVCDNRAMUG]wwlkdzlkiiQwos]7?kEF[11eOL]!P@F:?kEF[VEIYKl4nBCP[JDRN'Nh7cMNS^MAQC(C9j1eOL]POCWE*A4692dHM^Q@BTD-@7YW\V::=6`LAR]LFP@)L;U[XR?>1:l@EVYHJ\L%H?Q_T^025>hDIZUDNXH!D3]SPZ5692dHM^Q@BTD-@7YW\V>:=6`LAR]LFP@)L;U[XR;>1:l@EVYHJ\L%H?Q_T^4a?kEF[VEIYK ^d:l@EVYHJ\L%^HIn;oFWEFMXNZHn7cJ[ABI\BVD)XHNm7cJ[ABI\BVDXGK_M=<5aDUC@OZ@TJVEIYK*^109m@QGDCVLXNRAMUG,G57=iL]KHGRH\B^MAQC(C9880bIZNCJ]EWGYHJ\L%H??<;oFWEFMXNZHTCO[I.DZS5==iL]KHGRH\B^MAQC(BPYU[XR>>8:lGPDELWOYISBLZF/G[TZVSW8;37cJ[ABI\BVDXGK_M"HV__QV\672hCagFNSBLZF/Ff?kBnfEOTCO[I.E3f?kBnfEOTCO[I.E0e?kBnfEOTCO[I.DZS51=iL`dGIRAMUG,F\UYW\V::86`KioNF[JDRN'OS\R^[_037?kBnfEOTCO[I.DZS[URX:;:0bIgaLD]LFP@)MQZTx~gbrdlbi`bXfl~Th6`KioNF[JDRN'[m7cJfnMG\KGSA&[OL:6`KioMFe>hCagEN"]OKb:lGmkIBWFH^Ji5aDhlLAZIE]O$Oi6`KioMF[JDRN'N:i6`KioMF[JDRN'N9=>5aDhlLAZIE]O$O>R^[_130?kBnfFOTCO[I.E0\TQY69:1eHd`@E^MAQC(C:VZ_S?j4nEkmK@YHJ\L%]:5aDnwwK@dhCg|~DIRAMUG,G6ZVSW9;?7cJ`uuMF[JDRN'N9S]ZP1d9m@jssGLUDNXH!Q49mMUJ512dB\A7cBKS^KLFjss&K_MCH @UUf8jIBTW@EIcxz!Dd9mHAUXAFHdyy K1d9mHAUXAFHdyy K2018jIBTW@EIcxz!D3]SPZ66;2dGH^QFOCmvp+B5WY^T=<=4nMFP[LIEg|~%H?Q_T^027>hKLZUBCOazt/F1[URX;890bAJ\_HMAkpr)L;U[XR:k;oNGWZOHJf"\h4nMFP[LIEg|~%^HI>0:lO@VYNGKe~x#Z]SU18jJC03gEN"]OK8:lLAZIE]Ok0bBKPOCWE*AdhHMVEIYK K2^RW[4ehHMVEIYK ^c:lLAZIE]O$YIJ94nSCNHRCe3gXJAAYJ.QCGg>hUIDF\IRAMUGg8jWGJD^OTCO[I.Ed8jWGJD^OTCO[I.E3e?kTFEE]NSBLZF/F155=iZHGG[HQ@BTD-A]V6=2dYM@BXE^MAQC(BPYU[XR>>5:lQEHJPMVEIYK JXQ]SPZ76=2dYM@BXE^MAQC(BPYU[XR<=1:lQEHJPMVEIYK JXQ]wwlkumgkfiiQaeu]f?kTFEE]NSBLZF/S4?kTFE\]Nn6`]ALWTA+VFLj1e^LCZWD]LFP@b3gXJAXYJ_N@VB+Ba3gXJAXYJ_N@VB+B6n2dYM@[XE^MAQC(C:8:0b_OBUVG\KGSA&LR[=85aR@OVS@YHJ\L%IU^PPU]350=iZHG^[HQ@BTD-A]VXX]U:=85aR@OVS@YHJ\L%IU^PPU]150=iZHG^[HQ@BTD-A]VXX]U8=85aR@OVS@YHJ\L%IU^PPU]764=iZHG^[HQ@BTD-A]VX|zcf~h`nmdf\j`rXm2dYM@[XE^MAQC(V<2dYM^64nSCP*UGC12dYM^Q@BTDa?kTF[VEIYK Kc:lQEVYHJ\L%H6`]AR]LFP@)MQZT\YQ?139mVDUXGK_M"HV__QV\5442:lQEVYHJ\L%IU^PPU]75`=iZHYTCO[I.DZS[qune{oem`kk_ogw[g=iZHYTCO[I.P68jWCT02dYI^ _AE58jWCTg|~27c\JSnww*AghUGDIXSBLZF/G[TZVSW=8;7c\@MBQ\KGSA&LR[Sy}fmsgmehccWgoSi5aRNO@WZIE]O$Z:6`]OLUFe>hUGD]N"]OKb:lQKHQBWFH^Ji5aRNOTAZIE]O$Oi6`]OLUF[JDRN'N:i6`]OLUF[JDRN'N9j6`]OLUF[JDRN'OS\<:4nSMNS@YHJ\L%IU^PPU]351=iZFG\IRAMUG,F\UYW\V;:86`]OLUF[JDRN'OS\R^[_337?kTHE^OTCO[I.DZS[URX;;:0b_ABWD]LFP@)MQZTx~gbrdlbi`bXfl~Th6`]OLUF[JDRN'[37c[KS^DPFf=i]MYTJ^L!P@Fg?kSC[VLXNRGMUG:8jPBTW@EIo6`ZDR]JKG(WIMh0bXJ\_HMAkprb3g_O_RG@Bnww JCc3g_O_RG@Bnww T723g_O_RG@Bnww*GSAGL$DYYj4nTFP[LIEg|~%Hh5aUEQ\MJDh}}$O=h5aUEQ\MJDh}}$O><=4nTFP[LIEg|~%H?Q_T^227>hRLZUBCOazt/F1[URX9890bXJ\_HMAkpr)L;U[XR<>3:lV@VYNGKe~x#J=_QV\745o7c[KS^KLFjss&Xl0bXJ\_HMAkpr)ZLM:<6`ZDR]JKGir|'^Y_Y64nWOB[LIEk2d]ALQFOC,SEAd>3:lUIDYNGKe~x#J=_QV\5a=i^DKTEBL`uu,Rb>hQEHUBCOazt/PFC460:lUTDYA[KUDNXH!D033?kPWIVLXNRAMUG,G6430blcj7:lfc`b`m>1{c~kkgd;8vdk(JHI_~o5}al-AEFRu&Mi0~lc B@AWv+B6k2xja"LNCUp-@7d6|nm.PBW@H)MQZT\YQ?139qeh)UIZOE"HV__QV\54c;scn+WGTz{$O>R^[_332?wgj'[KX~ K2^RW[6763{kf#_O\rs,G6ZVSW=;:7ob/SCPvw(C:VZ_S8?>;scn+WGTz{$O>R^[_7`8vdk(ZHYy~#_k;scn+WGTz{$YIJh4r`o,VVYA[DUMJi?>1:pbi*TTWOYFSKHk1/F26>tfe&XXSK]B_GDg5+B69;1ym`!]S^DPIZ@Al8$O>3:pbi*TTWOYFSKHk3/G[T4>5}al-QWZ@TEVLMh> ]EFd8vdk(ZZUM_@QIFe625>tfe&XXSK]B_GDg0+B6:2xja"\\_GQN[C@c<'N:=?5}al-QWZ@TEVLMh9 K2058vdk(ZZUM_@QIFe6-@7YW\V::;6|nm.PP[CUJWOLo8#J=_QV\547%^HIi;scn+WUXNZGTJKj:109qeh)U[VLXARHId4,G57=uid%Y_RH\M^DE`0(C9880~lc RR]EWHYANm?%H??<;scn+WUXNZGTJKj:.DZS5==uid%Y_RH\M^DE`0(BPYU[XR>>8:pbi*TTWOYFSKHk5/G[TZVSW8;37ob/SQ\BVKXNOn>"HV__QV\64>986|nm.PP[CUJWOLo9#KWP^vpmhtbfhgnhR`jt^32?wgj'[YTJ^CPFGf6*T743{kf#_]PFRO\BCb2&[OLj6|nm.PP[CUJWOLo:7:pbi*TTWOYFSKHk6/F1[URX:8=0~lc RR]EWHYANm<%H?Q_T^123>tfe&XXSK]B_GDg2+B5WY^T8<94r`o,VVYA[DUMJi8!D3]SPZ3692xja"\\_GQN[C@c>'[:?6|nm.PP[CUJWOLo:#\JGg9qeh)U[VLXARHId632?wgj'[YTJ^CPFGf4*A753{kf#_]PFRO\BCb0&M;:>6|nm.PP[CUJWOLo;#J=169qeh)U[VLXARHId6,G6ZVSW9;<7ob/SQ\BVKXNOn<"I1ym`!]S^DPIZ@Al>$O>R^[_534?wgj'[YTJ^CPFGf4*A4XX]U>=:5}al-QWZ@TEVLMh: K2^RW[3763{kf#_]PFRO\BCb0&X;87ob/SQ\BVKXNOn<"_KHb:pbi*TbnMeeii5}al-QacBhfl$Oi6|nm.PfbAiim'N:i6|nm.PfbAiim'N9=>5}al-QacBhfl$O>R^[_130?wgj'[omHb`j.E0\TQY6l2xja"\jfEmma+Wa3{kf#_kiDnlf*WC@=2|j`xkr@Ar;1a>4I:382Vb42;h=6l4>35`2542.9n44=b69~Wa4=:k<1m7?<4c325?`3mj1o>o=50;395~Uc;38i:7o5126a547=n=oh7{Z86;295?7==;t3f2?6o<50;65>01c21<7*>f98:7>h6n>0;76g61;29 4`?2090b5$0d;><554i9;94?"6n102?6`>f687?>o??3:1(;2d:j:49;:k;7?6=,8l364=4n0d4>2=f98:7>h6n>0376g8d;29 4`?2090b5;ha3>5<l1<7*>f98:7>h6n>0276g89;29 4`?2090b<;4?::kb6?6=,8l364=4n0d4>c=1<7*>f98:7>h6n>0n76g:3983>>o2>00;66g:8g83>>o2nl0;66gk8;29?l3?k3:17d8=c;29?l>e290/=k65929m5c1=9?10el>50;&2b=<>;2d:j:4>0:9j=3<72-;m477<;o3e3?7232c247>5$0d;><55<#9o215>5a1g5956=f98:7>h6n>0:>65f9d83>!7a03387c?i7;32?>i19;0;66a:0883>>i21;0;66a90083>>i2:>0;66a92083>>i2km0;66a:3c83>>i59:0;6)?i8;03g>h6n>0376a:5c83>>i5810;6)?i8;03g>h6n>0i76a=0`83>!7a038;o6`>f682?>i58o0;6)?i8;03g>h6n>0j76a=1083>!7a038;o6`>f68:?>i59<0;6)?i8;03g>h6n>0<76a=1683>!7a038;o6`>f685?>i5900;6)?i8;03g>h6n>0>76a=1c83>!7a038;o6`>f687?>i59m0;6)?i8;03g>h6n>0876a=1g83>!7a038;o6`>f681?>i2n80;66a;f483>>i2>i2i:0;66%:dc83>>i58m0;6)?i8;03g>h6n>0;76a;fb83>>i2910;66a:7883>>i20:0;66a:b283>>i2jo0;66a:e283>>id<3:17o7::182>5<7s-8in7?i4:J1ec=n9o>1<7*=bc82b1=#9l91>o5+1g496g=f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1=95+621951=zutw0qo7=:182>5<7s-8in7?i4:J1ec=n9o>1<7*=bc82b1=#9l91>:5+1g4962=f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1=95+621951=zutw0qo7?:182>5<7s-8in7?i4:J1ec=n9o>1<7*=bc82b1=#9l91>85+1g4960=f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1=95+621951=zutw0qo6j:182>5<7s-8in7?i4:J1ec=n9o>1<7*=bc82b1=#9l91>95+1g4961=f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1=95+621951=zutw0qo6n:182>5<7s-8in7?i4:J1ec=n9o>1<7*=bc82b1=#9l91>>5+1g4966=f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1=95+621951=zutw0qo67:182>5<7s-8in7?i4:J1ec=n9o>1<7*=bc82b1=#9l91>?5+1g4967=f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1=95+621951=zutw0qo69:182>5<7s-8in7?i4:J1ec=n9o>1<7*=bc82b1=#9l91><5+1g4964=f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1=95+621951=zutw0qo6;:182>5<7s-8in7?i4:J1ec=n9o>1<7*=bc82b1=#9l91>=5+1g4965=f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1=95+621951=zutw0qo6=:182>5<7s-8in7?i4:J1ec=n9o>1<7*=bc82b1=#9l91=k5+1g495c=f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1=95+621951=zutw0qo9j:182>5<7s-8in7?i4:J1ec=n9o>1<7*=bc82b1=#9l91=n5+1g495f=f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1=95+621951=zutw0qo9l:182>5<7s-8in7?i4:J1ec=n9o>1<7*=bc82b1=#9l91=o5+1g495g=f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1=95+621951=zutw0qoml:182>5<7s-8in7?i4:J1ec=n9o>1<7*=bc82b1=#9l91>45+1g496<=f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1=95+621951=zutw0qomj:181>5<7s-8in7lj;I0bb>o6=h0;6)=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5119'265=991vqps4}cae>5<5290;w)oo4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 35328:0(;=<:028yx{z3thh=7>51;294~"5jk0:j95G2`d8m4`3290/>ol51g68 4c42;20(7>50z&1fg5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 35328:0(;=<:028yx{z3thh?7>52;294~"5jk0ii6F=ag9j50g=83.9no4>5`98k4`4290/>ol51g18L7df3_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;33?!04;3;;7psr}:a<5<7280;6=u+2c`95c2<@;km7d?i4;29 7de28l?7)?j3;3g?!7a>3;o76sma`83>7<729q/>ol5bd9K6d`5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;37?!04;3;?7psr}:a3d<7280;6=u+2c`95c2<@;km7d?i4;29 7de28l?7)?j3;7b?!7a>3?j76sma683>7<729q/>ol5bd9K6d`5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;37?!04;3;?7psr}:a3=<7280;6=u+2c`95c2<@;km7d?i4;29 7de28l?7)?j3;71?!7a>3?976sma783>7<729q/>ol5bd9K6d`5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;37?!04;3;?7psr}:a503=83;1<7>t$3`a>4`33A8jj6g>f583>!4ej3;m86*>e281`>"6n?09h65rb075>5<5290;w)oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+626955=#>:91==5r}|8yg72?3:1>7>50z&1fg5<#:kh1=k=4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887??;%407?773twvq6sm62294?7=83:p(?lm:0d7?M4fn2c:j94?:%0af?7a<2.:i>4=f:&2b3<5n21vn;=>:181>5<7s-8in7lj;I0bb>o6=h0;6)=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5159'265=9=1vqps4}c733?6=93:10(7<729q/>ol5bd9K6d`5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;37?!04;3;?7psr}:ae6<7280;6=u+2c`95c2<@;km7d?i4;29 7de28l?7)?j3;66?!7a>3>>76smbc83>7<729q/>ol5bd9K6d`5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;37?!04;3;?7psr}:ae0<7280;6=u+2c`95c2<@;km7d?i4;29 7de28l?7)?j3;6b?!7a>3>j76smbb83>7<729q/>ol5bd9K6d`5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;37?!04;3;?7psr}:a16?=83;1<7>t$3`a>4`33A8jj6g>f583>!4ej3;m86*>e287a>"6n?0?i65rb41b>5<5290;w)oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+626951=#>:91=95r}|8yg7bn3:1>7>50z&1fg5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 35328>0(;=<:068yx{z3th>:l4?:083>5}#:kh1=k:4H3ce?l7a<3:1(?lm:0d7?!7b;3?;7)?i6;73?>{e=?h1<7<50;2x 7de2ko0D?oi;h36e?6=,;hi6<;n;:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0:86*932820>{zut1vn87?:182>5<7s-8in7?i4:J1ec=n9o>1<7*=bc82b1=#9l91?<5+1g4974=52;294~"5jk0ii6F=ag9j50g=83.9no4>5`98k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6424<729q/>ol51g68L7ga3`;m87>5$3`a>4`33-;n?7=<;%3e2?5432wi:=>50;094?6|,;hi6ok4H3ce?l72i3:1(?lm:07b?>i6n:0;6)P5jm0:wAf29U505=:r.=?94>4:&576<6<2wvqp5rbe;94?7=83:p(?lm:0d7?M4fn2c:j94?:%0af?7a<2.:i>4=c:&2b3<5k21vn<;=:181>5<7s-8in7lj;I0bb>o6=h0;6)=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5159'265=9=1vqps4}c7;`?6=93:10(7<729q/>ol5bd9K6d`5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;37?!04;3;?7psr}:a27b=83;1<7>t$3`a>4`33A8jj6g>f583>!4ej3;m86*>e287b>"6n?0?j65rb70f>5<5290;w)oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+626951=#>:91=95r}|8yg>d290:6=4?{%0af?7a<2B9mk5f1g694?"5jk0:j95+1d191c=#9o<19k54}c`3>5<5290;w)oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+626951=#>:91=95r}|8ygg6290:6=4?{%0af?7a<2B9mk5f1g694?"5jk0:j95+1d197==#9o<1?554}c`b>5<5290;w)oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+626951=#>:91=95r}|8yg?0290:6=4?{%0af?7a<2B9mk5f1g694?"5jk0:j95+1d1925=#9o<1:=54}c`6>5<5290;w)oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+626951=#>:91=95r}|8yg?>290:6=4?{%0af?7a<2B9mk5f1g694?"5jk0:j95+1d1924=#9o<1:<54}c`5>5<5290;w)oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+626951=#>:91=95r}|8yg?e290:6=4?{%0af?7a<2B9mk5f1g694?"5jk0:j95+1d1927=#9o<1:?54}c`4>5<5290;w)oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+626951=#>:91=95r}|8yg?c290:6=4?{%0af?7a<2B9mk5f1g694?"5jk0:j95+1d1963=#9o<1>;54}c`;>5<5290;w)oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+626951=#>:91=95r}|8yg?a290:6=4?{%0af?7a<2B9mk5f1g694?"5jk0:j95+1d1975=#9o<1?=54}c`:>5<5290;w)oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+626951=#>:91=95r}|8yg06;3:1=7>50z&1fg<6n=1C>lh4o0d7>5<#:kh1=k:4$0g0>1b<,8l=69j4;|`557<72:0;6=u+2c`96db<@;km7d?:a;29 7de28?j7[4={%400?753-<8?7?=;|~y>oc<3:1(?lm:e68R7dc28qG>oh51zTg3?4|,8lj6i:4V070>7}#>:>1n6*9328a?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`64d<7280;6=u+2c`95c2<@;km7b?i4;29 7de28l?7)?j3;77?!7a>3??76sm51;94?5=83:p(?lm:3cg?M4fn2c:9l4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821d=Q9<91>v*935826>"1;:0:>6sr}|9j`1<72-8in7j;;W0a`?7|D;hm6=5b:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&5764:1:&2b3<2921vn87=:180>5<7s-8in7:5139'265=9;1vqps4ie694?"5jk0o86X=be82I4en3;pZi952z&2bd4={%400?d<,?986o5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn;>=:182>5<7s-8in7?i4:J1ec=h9o>1<7*=bc82b1=#9l919>5+1g4916=53;294~"5jk09mi5G2`d8m43f290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;n;W367?4|,?9?6<<4$710>44oj51zN1fc<6s_n<6?u+1gc9`1=Q9<91>v*9358a?!04;3h0qpsr;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{51;294~"5jk0:j95G2`d8k4`3290/>ol51g68 4c42=h0(0;6?4?:1y'6gd=jl1C>lh4i07b>5<#:kh1=8o4;n3e7?6=,;hi65281!04<3;97)8<3;31?x{zu2wi:?<50;394?6|,;hi6i6n=0;6)"6m:0>i6*>f786a>=zj?8:6=4=:183!4ej3hn7Ef29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1=?5+621957=zutw0qo;le;295?6=8r.9no4>f59K6d`11<3th>oi4?:383>5}#:kh1nh5G2`d8m43f290/>ol514c8?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887?=;%407?753twvq6sm52a94?7=83:p(?lm:0d7?M4fn2e:j94?:%0af?7a<2.:i>4;c:&2b3<3k21vn8=m:181>5<7s-8in7lj;I0bb>o6=h0;6)=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5139'265=9;1vqps4}c020?6=93:10(7<729q/>ol5bd9K6d`5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;31?!04;3;97psr}:a10e=83;1<7>t$3`a>4`33A8jj6a>f583>!4ej3;m86*>e281a>"6n?09i65rb47a>5<5290;w)oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+626957=#>:91=?5r}|8yg4713:1=7>50z&1fg<6n=1C>lh4o0d7>5<#:kh1=k:4$0g0>16<,8l=69>4;|`14=<72;0;6=u+2c`9f`=O:hl0e<;n:18'6gd=94={%400?753-<8?7?=;|~y>{e:9h1<7?50;2x 7de28l?7E5`83>!4ej3;>m65`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=9;1/:>=5139~yx{51;294~"5jk0:j95G2`d8k4`3290/>ol51g68 4c42:o0(lh4i07b>5<#:kh1=8o4;n3e7?6=,;hi65281!04<3;97)8<3;31?x{zu2wi><<50;394?6|,;hi6i6n=0;6)"6m:08o6*>f780g>=zj;;:6=4=:183!4ej3hn7Ef29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1=?5+621957=zutw0qo<>6;295?6=8r.9no4>f59K6d`74$0d5>6?<3th9=84?:383>5}#:kh1nh5G2`d8m43f290/>ol514c8?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887?=;%407?753twvq6sm20:94?7=83:p(?lm:0d7?M4fn2e:j94?:%0af?7a<2.:i>45<7s-8in7lj;I0bb>o6=h0;6)=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5139'265=9;1vqps4}c02e?6=93:10(7<729q/>ol5bd9K6d`5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;31?!04;3;97psr}:a64e=83;1<7>t$3`a>4`33A8jj6a>f583>!4ej3;m86*>e280b>"6n?08j65rb33a>5<5290;w)oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+626957=#>:91=?5r}|8yg46m3:1=7>50z&1fg<6n=1C>lh4o0d7>5<#:kh1=k:4$0g0>17<,8l=69?4;|`15a<72;0;6=u+2c`9f`=O:hl0e<;n:18'6gd=94={%400?753-<8?7?=;|~y>{e:;:1<7?50;2x 7de28l?7E5`83>!4ej3;>m65`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=9;1/:>=5139~yx{7>51;294~"5jk0:j95G2`d8k4`3290/>ol51g68 4c42:>0(lh4i07b>5<#:kh1=8o4;n3e7?6=,;hi65281!04<3;97)8<3;31?x{zu2wi8k850;394?6|,;hi6i6n=0;6)"6m:0>:6*>f7862>=zj=l>6=4=:183!4ej3hn7Ef29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1=?5+621957=zutw0qo;;d;295?6=8r.9no4>f59K6d`84$0d5>60<3th>8n4?:383>5}#:kh1nh5G2`d8m43f290/>ol514c8?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887?=;%407?753twvq6sm57f94?7=83:p(?lm:0d7?M4fn2e:j94?:%0af?7a<2.:i>4:7:&2b3<2?21vn88l:181>5<7s-8in7lj;I0bb>o6=h0;6)=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5139'265=9;1vqps4}c7b0?6=93:10(7<729q/>ol5bd9K6d`5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;31?!04;3;97psr}:a1ae=83;1<7>t$3`a>4`33A8jj6%>f583>!4ej3;m86*>e286g>"6n?0>o65rb4fa>5<4290;w)N5io1b=8o50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950g<^8?86?u+626957=#>:91=?5r}|8ma2=83.9no4k4:T1fa<6sE8ij7?tVe596~"6nh0o86X>5281!04<3h0(;=<:c9~yx{5<6290;w)N5io1d=k:50;&1fg<6n=1/=h=5479'5c0=3?>76sm4ga94?4=83:p(?lm:cg8L7ga3`;>m7>5$3`a>43f32e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*935826>"1;:0:>6sr}|9~f07>290:6=4?{%0af?7a<2B9mk5`1g694?"5jk0:j95+1d191==#9o<19554}c72N5io1b=8o50;&1fg<6=h10c4`43_;>?744<,?986<<4}|~?xd2?h0;6<4?:1y'6gd=9o>0D?oi;n3e0?6=,;hi6t$3`a>gc<@;km7d?:a;29 7de28?j76a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571<6:2.=?>4>2:~yx=zj<2?6=4>:183!4ej3;m86F=ag9l5c2=83.9no4>f59'5`5==01/=k855898yg3?;3:1>7>50z&1fg5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532880(;=<:008yx{z3th>n94?:083>5}#:kh1=k:4H3ce?j7a<3:1(?lm:0d7?!7b;3>37)?i6;6;?>{e=k91<7<50;2x 7de2ko0D?oi;h36e?6=,;hi6<;n;:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0:>6*932826>{zut1vn8m?:182>5<7s-8in7?i4:J1ec=h9o>1<7*=bc82b1=#9l91845+1g490<=52;294~"5jk0ii6F=ag9j50g=83.9no4>5`98k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6444<729q/>ol51g68L7ga3f;m87>5$3`a>4`33-;n?7=:;%3e2?5232wi9h=50;094?6|,;hi6ok4H3ce?l72i3:1(?lm:07b?>i6n:0;6)P5jm0:wAf29U505=:r.=?94>2:&576<6:2wvqp5rbb794?7=83:p(?lm:0d7?M4fn2e:j94?:%0af?7a<2.:i>4;4:&2b3<3<21vnn:50;094?6|,;hi6ok4H3ce?l72i3:1(?lm:07b?>i6n:0;6)P5jm0:wAf29U505=:r.=?94>2:&576<6:2wvqp5rb735>5<5290;w)oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a242=8381<7>t$3`a>gc<@;km7d?:a;29 7de28?j76a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571lh4i07b>5<#:kh1=8o4;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{52;294~"5jk0ii6F=ag9j50g=83.9no4>5`98k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn;5<7s-8in7:5b:&5765b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571<6<2.=?>4>4:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&5769:k21d<72-8in7?:a:T1fa<6sE8ij7?tV0g1>7}#9ok1=8o4V070>7}#>:>1n6*9328a?x{zu2co<7>5$3`a>a6<^;ho6!4ej3n:7[4={%400?b<,?986i5r}|N1f`<3s_8jo7=tVe796~"1;;0o=6*v*933821d=#;o?1:>:4}%4ae?743t\9mn4<{Wf6>7}#>:81h<5+3g792626;=<;|&5fd<6;2w]>lm53zTg1?4|,?996i?4$2d6>3533t\o:7a6<,:l>6;=;;|&5fd7}#>:81h<5+3g792627:&576<6?2wvqA5<#:kh1>4m4V3`g>7}K:kl1=vX>e381!7ai382o6X>5281!04<3;<7)8<3;34?x{zD;hn65}#:kh1=k?4H3ce?j7a;3:1(?lm:0d0?>{e>821<7=50;2x 7de2;h37Eo7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;`8 3542k1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj?;26=4>:183!4ej38h>6F=ag9l5c5=83.9no4>f298yg06j3:1:7>50z&1fg<0=2B9mk5f14`94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6<84$710>40{zut1b=;950;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28<<7[?:3;0x 35328<0(;=<:048yx{z3`;<>7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6?;1]=8=52z&571<6>2.=?>4>6:~yx=n9>?1<7*=bc821d=O:kk0Z?lk:0yO6g`=9r\o;74123_;>?740<,?986<84}|~?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887l4$710>g=zutw0qo8>c;29:5179'265=9?1vqps4i07`>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 35328<0(;=<:048yx{z3`;=;7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;353>P6=:09w)8<4;35?!04;3;=7psr}:k237<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko51608R4342;q/:>:5179'265=9?1vqps4i056>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?85:T216<5s-<887?9;%407?713twvq6g>7783>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6??1]=8=52z&571<6>2.=?>4>6:~yx=n9>=1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51658R4342;q/:>:5179'265=9?1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj?;j6=4<:183!4ej3;m>6F=ag9j50d=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1n6*9328a?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*9358a?!04;3h0qpsr;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{53;294~"5jk0<96F=ag9j50d=83.9no4>5`9K6gg<^;ho6oh51zTg3?4|,8lj6<;l;W367?4|,?9?6h5+6219a>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a15b=8381<7>t$3`a>gc<@;km7d?:a;29 7de28?j76a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571lh4i07b>5<#:kh1=8o4;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{52;294~"5jk0ii6F=ag9j50g=83.9no4>5`98k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn8>j:181>5<7s-8in7lj;I0bb>o6=h0;6)=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&5769:k21d<72-8in7?:a:T1fa<6sE8ij7?tV0g1>7}#9ok1=8o4V070>7}#>:>1n6*9328a?x{zu2co<7>5$3`a>a6<^;ho6!4ej3n:7[4={%400?b<,?986i5r}|N1f`<3s_8jo7=tVe796~"1;;0o=6*v*933821d=#;o?1:>:4}%4ae?743t\9mn4<{Wf6>7}#>:81h<5+3g792626;=<;|&5fd<6;2w]>lm53zTg1?4|,?996i?4$2d6>3533t\o:7a6<,:l>6;=;;|&5fd7}#>:81h<5+3g792627:&576<6?2wvqA5<#:kh1>4m4V3`g>7}K:kl1=vX>e381!7ai382o6X>5281!04<3;<7)8<3;34?x{zD;hn64m;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d4<729q/>ol52b08L7ga3f;m?7>5$3`a>4`432wi9<<50;494?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`821g=Q9<91>v*935822>"1;:0::6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=;5+621953=zutw0e<88:18'6gd=95281!04<3;=7)8<3;35?x{zu2c:;?4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9>80Z<;<:3y'262=9?1/:>=5179~yx{6=4+2c`950g<@;hj7[4={%400?713-<8?7?9;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d3<729q/>ol5749K6d`4={%400?713-<8?7?9;|~y>o6=j0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626953=#>:91=;5r}|8m400290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;353>P6=:09w)8<4;35?!04;3;=7psr}:k237<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko51608R4342;q/:>:5179'265=9?1vqps4i056>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?85:T216<5s-<887?9;%407?713twvq6a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571lh4i07a>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887?9;%407?713twvq6g>5b83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=;5+621953=zutw0e<88:18'6gd=95281!04<3;=7)8<3;35?x{zu2c:;?4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9>80Z<;<:3y'262=9?1/:>=5179~yx{6=4+2c`950g<@;hj7[4={%400?713-<8?7?9;|~y>o6??0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc9520<^8?86?u+626953=#>:91=;5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn8?>:187>5<7s-8in7?i2:J1ec=n9:5b:&5765b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571g=zutw0c4`43_;>?7g=#>:91n6sr}|9~f07029086=4?{%0af?123A8jj6g>5c83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1i6*9328f?x{zu2c:9n4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=94j;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d7<729q/>ol5bd9K6d`5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c7:0?6=:3:1N5io1b=8o50;&1fg<6=h10c4`43_;>?7g=#>:91n6sr}|9~f0?229096=4?{%0af?db3A8jj6g>5`83>!4ej3;>m65`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e=0=1<7<50;2x 7de2ko0D?oi;h36e?6=,;hi6<;n;:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th>m<4?:783>5}#:kh1=864H3ce?!70j3;27d?:a;29 7de28?j7[ol5d19U6gb=9rF9nk4>{W3f6?4|,8lj6i>4V070>7}#>:>1n6*9328a?x{zu2co=7>5$3`a>a7<^;ho6?uC2cd95~P6m;09w)?ia;f2?S72;38p(;=;:e9'265=l2wvqA7?:a:&0b0<1;=1v(;ln:018yS4fk39pZi;52z&5775`9'7c3=>:90q)8ma;30?xP5ij08w[j::3y'264=l81/?k;56268ySb12;q/:><5d19'7c3=>:>0q)8ma;a8yS7a138pZi;52z&5775<#:kh1>ll4V3`g>7}K:kl1=vX>e381!7ai38jn6X>5281!04<3;<7)8<3;34?x{zD;hn64m50;&1fg<51j1]>oj52zN1fc<6s_;n>77?d3_;>?741<,?986<94}|O6gc=9r\9n94={Wf6>7}#>:81>4m4$2d6>3533t.=nl4>7:~y>i6n:0;6)=zj<336=4<:183!4ej38i46F=ag9j50d=83.9no4>5`9K6gg<^;ho64m;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d4<729q/>ol52b08L7ga3f;m?7>5$3`a>4`432wi94l50;194?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887l4$710>g=zutw0e<;l:18'6gd=943d3_;>?7g=#>:91n6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi94m50;194?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887?9;%407?713twvq6g>5b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571<6>2.=?>4>6:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;35?!04;3;=7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0::6*932822>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a1t$3`a>23<@;km7d?:b;29 7de28?j7[4={%400?713-<8?7?9;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94>6:&576<6>2wvqp5`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e=0l1<7:50;2x 7de2>?0D?oi;h36f?6=,;hi6<;n;W0a`?7|D;hm6n6X>5281!04<3;=7)8<3;35?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*935822>"1;:0::6sr}|9j531=83.9no4>5`9K6gg<^;ho65281!04<3h0(;=<:c9~yx{56;294~"5jk0:j?5G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6o5+6219f>{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+6269f>"1;:0i7psr}:k222<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:::5Y14196~"1;=0i7)8<3;`8yx{z3`;<>7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;346>P6=:09w)8<4;`8 3542k1vqps4i056>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=>7[?:3;0x 3532k1/:>=5b:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576n7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571{M0ab?7|^m=1>v*>f`821f=Q9<91>v*9358f?!04;3o0qpsr;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{52;294~"5jk0ii6F=ag9j50g=83.9no4>5`98k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn;><:181>5<7s-8in7lj;I0bb>o6=h0;6)=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576m7>5$3`a>43f32e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`543<72;0;6=u+2c`9f`=O:hl0e<;n:18'6gd=94={%400?d<,?986o5r}|8yg0683:1:7>50z&1fg<6=11C>lh4$05a>4?P6=:09w)8<4;`8 3542k1vqps4ie294?"5jk0o<6X=be82I4en3;pZol5d09U6gb=:rF9nk4>{W3f6?4|,8lj6i?4V070>7}#>:>1h6*9328g?x{zD;hn69uY2`a97~Pc=38p(;==:e38 6`22?9?7pXk6;0x 35528?j7)=i5;400>{#>kk1=>5rV3c`>6}Ql<09w)8<2;f2?!5a=3<886sYd781!04:3;>m6*v*9338g5>"4n<0=?95rVe496~"1;;0o<6*7}Ql<09w)8<2;f2?!5a=3<886s+6cc95==zut1b>ll50;&1fg<5ik1]>oj52zN1fc<6s_;n>77ge3_;>?741<,?986<94}|O6gc=9r\9n94={Wf6>7}#>:81>ll4$2d6>3533t.=nl4>7:~y>o51j0;6)P5jm09wA:5169'265=9>1vqpB=bd82S4e<38pZi;52z&577<51j1/?k;56268y!0ei3;<7psr;n3e7?6=,;hi6t$3`a>7d?3A8jj6g>5c83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1n6*9328a?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*9358a?!04;3h0qpsr;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{51;294~"5jk09o?5G2`d8k4`4290/>ol51g18?xd18h0;6>4?:1y'6gd=?<1C>lh4i07a>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 3532k1/:>=5b:~yx=n9:5b:&576f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&5714?:1y'6gd=?<1C>lh4i07a>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 35328<0(;=<:048yx{z3`;>o7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;35?!04;3;=7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th=5}#:kh1;85G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6<84$710>404={%400?713-<8?7?9;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d6<729q/>ol5749K6d`o6X>5281!04<3;=7)8<3;35?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`54`<72=0;6=u+2c`930=O:hl0e<;m:18'6gd=943e3_;>?740<,?986<84}|~?l72k3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:c:T216<5s-<887?9;%407?713twvq6g>6683>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1=;5+621953=zutw0c4`43_;>?7g=#>:91n6sr}|9~f36>290=6=4?{%0af?7a:2B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=94m;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m415290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9=;W367?4|,?9?6o5+6219f>{zut1b=:;50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9523<^8?86?u+6269f>"1;:0i7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th==<4?:283>5}#:kh1;85G2`d8m43e290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;g8 3542l1vqps4i07`>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:c:T216<5s-<887k4$710>`=zutw0c4`43_;>?7g=#>:91n6sr}|9~f7c229096=4?{%0af?db3A8jj6g>5`83>!4ej3;>m65`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e:o;1<7850;2x 7de28?37E{M0ab?7|^8o96?u+1gc950g<^8?86?u+6269f>"1;:0i7psr}:kg4?6=,;hi6i>4V3`g>4}K:kl1=vX>e381!7ai3n;7[?:3;0x 3532k1/:>=5b:~yx=nl80;6)a=zutF9nh4;{W0bg?5|^m?1>v*9338g5>"4n<0=?95rVe496~"1;;0:9l5+3g792626?u+6209`4=#;o?1:>:4}Wf5>7}#>:81=8o4$2d6>3543t.=nl4>3:U6de=;r\o97a7<,:l>6;=;;|Tg2?4|,?996i>4$2d6>3533t.=nl4l;|T2b<<5s_n>6?u+6209`4=#;o?1:>:4}%4ae?7?3twv7d4>7:~yI4em3;pZ?l;:3yU`0<5s-<8>7P6=:09w)8<4;34?!04;3;<7psrL3`f>4}Q:k>1>vXk5;0x 3552;3h7)=i5;400>{#>kk1=:5r}|9l5c5=83.9no4>f298yg4b>3:1?7>50z&1fg<5j11C>lh4i07a>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 3532k1/:>=5b:~yx=n9:5b:&576f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&5710;6<4?:1y'6gd=:j80D?oi;n3e7?6=,;hi6t$3`a>23<@;km7d?:b;29 7de28?j7[4={%400?d<,?986o5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a6`g=8391<7>t$3`a>23<@;km7d?:b;29 7de28?j7[4={%400?d<,?986o5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a6`d=8391<7>t$3`a>23<@;km7d?:b;29 7de28?j7[4={%400?713-<8?7?9;|~y>o6=j0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626953=#>:91=;5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn?kl:187>5<7s-8in79:;I0bb>o6=k0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+626953=#>:91=;5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6<84$710>40{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a6`b=83>1<7>t$3`a>23<@;km7d?:b;29 7de28?j7E{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626953=#>:91=;5r}|8m400290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<88;W367?4|,?9?6<84$710>404={%400?d<,?986o5r}|8yg4bm3:187>50z&1fg<0=2B9mk5f14`94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6<84$710>404={%400?713-<8?7?9;|~y>o6>>0;6)P5jm0:wA669U505=:r.=?94>6:&576<6>2wvqp5`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e:ll1<7:50;2x 7de2>?0D?oi;h36f?6=,;hi6<;n;I0ae>P5jm0:wA5c9U505=:r.=?94>6:&576<6>2wvqp5f14a94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5179~yx{5281!04<3h0(;=<:c9~yx{58;294~"5jk0:j?5G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6o5+6219f>{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+6269f>"1;:0i7psr}:k222<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:::5Y14196~"1;=0i7)8<3;`8yx{z3`;<>7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;346>P6=:09w)8<4;`8 3542k1vqps4i056>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=>7[?:3;0x 3532k1/:>=5b:~yx=n9><1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51648R4342;q/:>:5b:&5767683>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?>1]=8=52z&571g=zutw0qo=?a;296?6=8r.9no4me:J1ec=n95<5290;w)oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a741=83<1<7>t$3`a>43?3A8jj6*>7c82=>o6=h0;6)P5jm0:wA:5b:&576?7g=#>:91n6sr}|9j`4<72-8in7j>;W0a`?4|D;hm65281!04<3n0(;=<:e9~yxJ5jl0?w[<5d09'7c3=>:>0q[j9:3y'264=9h::710?x"1jh0:?6sY2`a97~Pc=38p(;==:e38 6`22?9?7pXk6;0x 3552m:0(>h::717?x"1jh0h7pX>f881Sb22;q/:><5d09'7c3=>:>0q)8ma;3;?x{z3`8jn7>5$3`a>7ge3_8ih74}Q9l81>v*>f`81eg=Q9<91>v*935823>"1;:0:;6sr}M0aa?7|^;h?6?uYd481!04:38jn6*ol528a8R7dc2;qG>oh51zT2a7<5s-;mm7<6c:T216<5s-<887?8;%407?703twv@?lj:0yU6g2=:r\o977?d3-9m978<4:'2gg=9>1vqp5`1g194?"5jk0:j>54}c13f?6=;3:1n6X>5281!04<3h0(;=<:c9~yx{5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e;9i1<7?50;2x 7de2;i97E5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571g=zutw0c4`43_;>?7g=#>:91n6sr}|9~f66a29086=4?{%0af?123A8jj6g>5c83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1=;5+621953=zutw0e<;l:18'6gd=9o6X>5281!04<3;=7)8<3;35?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`055<72:0;6=u+2c`930=O:hl0e<;m:18'6gd=9n6X>5281!04<3;=7)8<3;35?x{zu2c:9n4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9=5179~yx{5<3290;w){M0ab?7|^m=1>v*>f`821g=Q9<91>v*935822>"1;:0::6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=;5+621953=zutw0e<88:18'6gd=94003_;>?740<,?986<84}|~?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887l4$710>g=zutw0qo=?d;291?6=8r.9no4>f39K6d`4m;|~y>o6>>0;6)P5jm0:wA669U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn>?<:1815?6=8r.9no485:J1ec=n943e3_;>?747<,?986{M0ab?7|^m=1>v*>f`821f=Q9<91>v*935825>"1;:0:=6sr}|9j531=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1=<5+621954=zutw0e<9=:18'6gd=94153_;>?747<,?986;%407?763twvq6g>7783>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6??1]=8=52z&571<692.=?>4>1:~yx=n9>=1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51658R4342;q/:>:5109'265=981vqps4i05;>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=37[?:3;0x 35328;0(;=<:038yx{z3`;<57>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;34=>P6=:09w)8<4;32?!04;3;:7psr}:k23d<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;l5Y14196~"1;=0:=6*932825>{zut1b=8j50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950b<^8?86?u+626954=#>:91=<5r}|8m43b290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;j;W367?4|,?9?6474={%400?763-<8?7?>;|~y>o6>90;6)P5jm0:wA619U505=:r.=?94>1:&576<692wvqp5f17394?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<8>;W367?4|,?9?647{zut1b=;=50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9535<^8?86?u+626954=#>:91=<5r}|8m403290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<8;;W367?4|,?9?6<94$710>414={%400?703-<8?7?8;|~y>o6>?0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc9530<^8?86?u+626952=#>:91=:5r}|8m40?290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<87;W367?4|,?9?6o5+6219f>{zut1b=;750;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc953?<^8?86?u+6269f>"1;:0i7psr}:k22d<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0::l5Y14196~"1;=0i7)8<3;`8yx{z3`;=n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;35f>P6=:09w)8<4;`8 3542k1vqps4i04`>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=5b:~yx=n9?n1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko517f8R4342;q/:>:5b:&5766d83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>l1]=8=52z&571g=zutw0e<9?:18'6gd=94173_;>?7g=#>:91n6sr}|9j527=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:?4V070>7}#>:>1n6*9328a?x{zu2c:;>4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8236=Q9<91>v*9358a?!04;3h0qpsr;h340?6=,;hi6<;n;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{5<593:1N5io1b=8l50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 35328;0(;=<:038yx{z3`;>o7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571<692.=?>4>1:~yx=n9?=1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51758R4342;q/:>:5109'265=981vqps4i051>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=97[?:3;0x 35328;0(;=<:038yx{z3`;<97>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;341>P6=:09w)8<4;32?!04;3;:7psr}:k233<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;;5Y14196~"1;=0:=6*932825>{zut1b=:950;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9521<^8?86?u+626954=#>:91=<5r}|8m41?290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<97;W367?4|,?9?6474={%400?763-<8?7?>;|~y>o6?h0;6)P5jm0:wA7`9U505=:r.=?94>1:&576<692wvqp5f14f94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;k;W367?4|,?9?647{zut1b=8h50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?m7[?:3;0x 35328;0(;=<:038yx{z3`;=<7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6>91]=8=52z&571<692.=?>4>1:~yx=n9?;1<7*=bc821d=O:kk0Z?lk:0yO6g`=9r\o;74063_;>?747<,?986{M0ab?7|^m=1>v*>f`8227=Q9<91>v*935825>"1;:0:=6sr}|9j535=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;=4V070>7}#>:>1=<5+621954=zutw0e<8;:18'6gd=94033_;>?741<,?986<94}|~?l71=3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?95:T216<5s-<887?8;%407?703twvq6g>6783>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;84V070>7}#>:>1=:5+621952=zutw0e<87:18'6gd=940?3_;>?7g=#>:91n6sr}|9j53?=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;74V070>7}#>:>1n6*9328a?x{zu2c::l4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`822d=Q9<91>v*9358a?!04;3h0qpsr;h35f?6=,;hi6<;n;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{4m;|~y>o6>l0;6)P5jm0:wA6d9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m417290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9?;W367?4|,?9?6o5+6219f>{zut1b=:?50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9527<^8?86?u+6269f>"1;:0i7psr}:k236<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;>5Y14196~"1;=0i7)8<3;`8yx{z3`;<87>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;340>P6=:09w)8<4;`8 3542k1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj:;>6=4<:183!4ej3;m>6F=ag9j50d=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1n6*9328a?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*9358a?!04;3h0qpsr;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{52;294~"5jk0ii6F=ag9j50g=83.9no4>5`98k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn>69:180>5<7s-8in7:5b:&5765b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571<6<2.=?>4>4:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&5769:k21d<72-8in7?:a:T1fa<6sE8ij7?tV0g1>7}#9ok1=8o4V070>7}#>:>1n6*9328a?x{zu2co<7>5$3`a>a6<^;ho6!4ej3n:7[4={%400?b<,?986i5r}|N1f`<3s_8jo7=tVe796~"1;;0o=6*v*933821d=#;o?1:>:4}%4ae?743t\9mn4<{Wf6>7}#>:81h<5+3g792626;=<;|&5fd<6;2w]>lm53zTg1?4|,?996i?4$2d6>3533t\o:7a6<,:l>6;=;;|&5fd<6;2w]=k752zTg1?4|,?996i?4$2d6>3533t.=nl4>8:~y>o5ik0;6)P5jm09wA:5169'265=9>1vqpB=bd82S4e<38pZi;52z&577<5ik1/?k;56268y!0ei3;<7psr;h0:g?6=,;hi6?7l;W0a`?4|D;hm6{zuE8ii7?tV3`7>7}Ql<09w)8<2;0:g>"4n<0=?95r$7`b>416<729q/>ol52c:8L7ga3`;>n7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571g=zutw0c4`43_;>?7g=#>:91n6sr}|9~f6>5290:6=4?{%0af?4d:2B9mk5`1g194?"5jk0:j>54}c1;7?6==3:1N5io1b=8l50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 35328<0(;=<:048yx{z3`;>o7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;35?!04;3;=7psr}:k222<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko51758R4342;q/:>:5179'265=9?1vqps4i051>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=97[?:3;0x 35328<0(;=<:048yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c1;4?6=;3:1N5io1b=8l50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 35328<0(;=<:048yx{z3`;>o7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;35?!04;3;=7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th84:4?:383>5}#:kh1nh5G2`d8m43f290/>ol514c8?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887l4$710>g=zutw0qo=60;292?6=8r.9no4>599K6d`<,8=i6<74i07b>5<#:kh1=8o4V3`g>4}K:kl1=vX>e381!7ai3;>m6X>5281!04<3h0(;=<:c9~yx{P5jm0:wA:18'6gd=l81]>oj52zN1fc<6s_;n>7a7<^8?86?u+6269`>"1;:0o7psrL3`f>1}Q:hi1?vXk5;0x 3552m;0(>h::717?xPc>38p(;==:07b?!5a=3<886s+6cc956=z^;kh6>uYd481!04:3n:7)=i5;400>{Ql?09w)8<2;36e>"4n<0=?>5r$7`b>45v*9338g4>"4n<0=?95r$7`b>45ol52``8R7dc2;qG>oh51zT2a7<5s-;mm77ge3-9m978<4:'2gg=9>1vqp5f28a94?"5jk095n5Y2cf96~J5jo0:w[?j2;0x 4`f2;3h7[?:3;0x 35328=0(;=<:058yx{K:ko1=vX=b581Sb22;q/:><528a8 6`22?9?7p*9b`823>{zu2e:j>4?:%0af?7a;21vn>66:180>5<7s-8in743e3_;>?7g=#>:91n6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1n6*9328a?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`0n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;35?!04;3;=7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0::6*932822>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a7=b=8391<7>t$3`a>23<@;km7d?:b;29 7de28?j7E{zut1b=8m50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 35328<0(;=<:048yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c1;a?6=<3:1N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+626953=#>:91=;5r}|8m43d290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;35?!04;3;=7psr}:k222<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:::5Y14196~"1;=0::6*932822>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a7=d=83>1<7>t$3`a>4`53A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571g=zutw0e<88:18'6gd=94003_;>?7g=#>:91n6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi?5650;194?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`821g=Q9<91>v*935822>"1;:0::6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=;5+621953=zutw0c4`43_;>?7g=#>:91n6sr}|9~f6?629096=4?{%0af?db3A8jj6g>5`83>!4ej3;>m65`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e;0h1<7850;2x 7de28?37E{M0ab?7|^8o96?u+1gc950g<^8?86?u+6269f>"1;:0i7psr}:kg4?6=,;hi6i>4V3`g>4}K:kl1=vX>e381!7ai3n;7[?:3;0x 3532k1/:>=5b:~yx=nl80;6)a=zutF9nh4;{W0bg?5|^m?1>v*9338g5>"4n<0=?95rVe496~"1;;0:9l5+3g792626?u+6209`4=#;o?1:>:4}Wf5>7}#>:81=8o4$2d6>3543t.=nl4>3:U6de=;r\o97a7<,:l>6;=;;|Tg2?4|,?996i>4$2d6>3533t.=nl4>3:U5c?=:r\o97a7<,:l>6;=;;|&5fd<602wvq6g=ac83>!4ej38jn6X=be81I4en3;pZ1/:>=5169~yxJ5jl0:w[:>0q)8ma;34?x{z3`82o7>5$3`a>7?d3_8ih74}Q9l81>v*>f`81=f=Q9<91>v*935823>"1;:0:;6sr}M0aa?7|^;h?6?uYd481!04:382o6*ol51g18?xd41:0;6>4?:1y'6gd=:k20D?oi;h36f?6=,;hi6<;n;I0ae>P5jm0:wA5c9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn>7;:182>5<7s-8in753;294~"5jk0<96F=ag9j50d=83.9no4>5`9K6gg<^;ho6P5jm0:wA5b9U505=:r.=?94>6:&576<6>2wvqp5`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e;0=1<7=50;2x 7de2>?0D?oi;h36f?6=,;hi6<;n;I0ae>P5jm0:wA5c9U505=:r.=?94>6:&576<6>2wvqp5f14a94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6<84$710>404={%400?d<,?986o5r}|8yg5>03:187>50z&1fg<0=2B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5179~yx{5281!04<3;=7)8<3;35?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`0=<<72=0;6=u+2c`930=O:hl0e<;m:18'6gd=943e3_;>?740<,?986<84}|~?l72k3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*935822>"1;:0::6sr}|9j531=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1=;5+621953=zutw0c4`43_;>?7g=#>:91n6sr}|9~f6?2290>6=4?{%0af?7a:2B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=94m;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m415290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9=;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a7<4=8391<7>t$3`a>23<@;km7d?:b;29 7de28?j7E{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626953=#>:91=;5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn9j>:181>5<7s-8in7lj;I0bb>o6=h0;6)=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576{M0ab?7|^m=1>v*>f`821g=Q9<91>v*9358a?!04;3h0qpsr;h36g?6=,;hi6<;n;W0a`?7|D;hm6o6X>5281!04<3;?7)8<3;37?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`7`a<72?0;6=u+2c`950><@;km7)?8b;3:?l72i3:1(?lm:07b?S4el3;p@?li:0yU5`4=:r.:jl4>5`9U505=:r.=?94m;%407?doj51zN1fc<6s_;n>7a6<^8?86?u+6269f>"1;:0i7psr}:kg5?6=,;hi6i?4V3`g>7}K:kl1=vX>e381!7ai3n:7[?:3;0x 3532m1/:>=5d:~yI4em3>pZ?ol:2yU`0<5s-<8>7j>;%1e1?04<2w]h;4={%406?72i2.8j849359~ 3df2890q[<5d09'7c3=>:>0q[j9:3y'264=l91/?k;56268y!0ei3i0q[?i9;0xRa3=:r.=??4k1:&0b0<1;=1v(;ln:0:8yx{P6=:09w)8<4;34?!04;3;<7psrL3`f>4}Q:k>1>vXk5;0x 3552;ki7)=i5;400>{#>kk1=:5r}|9j6{W3f6?4|,8lj6?7l;W367?4|,?9?6<94$710>41ok51zT1f1<5s_n>6?u+620966;=;;|&5fd<6?2wvq6a>f283>!4ej3;m?65rb5f1>5<4290;w)N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+6269f>"1;:0i7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0i7)8<3;`8yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c6g7?6=93:16<729q/>ol5749K6d`4m;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d6<729q/>ol5749K6d`4m;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d1<729q/>ol5749K6d`o6X>5281!04<3;=7)8<3;35?x{zu2c:::4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9?=0Z<;<:3y'262=9?1/:>=5179~yx{5<0290;w){M0ab?7|^m=1>v*>f`821g=Q9<91>v*935824>"1;:0:<6sr}|9j50e=83.9no4>5`9K6gg<^;ho6P5jm0:wA669U505=:r.=?94>6:&576<6>2wvqp5f16094?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<9=;W367?4|,?9?6<84$710>40{zut1b=:850;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9520<^8?86?u+626953=#>:91=;5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn9j6:184>5<7s-8in79:;I0bb>o6=k0;6)P5jm0:wA5c9U505=:r.=?94>0:&576<682wvqp5f14a94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6<84$710>404={%400?713-<8?7?9;|~y>o6?;0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc9524<^8?86?u+626953=#>:91=;5r}|8m412290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;341>P6=:09w)8<4;35?!04;3;=7psr}:k233<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;;5Y14196~"1;=0::6*932822>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a0ag=8321<7>t$3`a>23<@;km7d?:b;29 7de28?j7[4={%400?773-<8?7??;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94>0:&576<682wvqp5f17594?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<88;W367?4|,?9?6<>4$710>46{zut1b=:;50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28=>7[?:3;0x 35328<0(;=<:048yx{z3`;<:7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6??1]=8=52z&571<6>2.=?>4>6:~yx=n9>=1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51658R4342;q/:>:5179'265=9?1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj=ni6=47:183!4ej3=>7E{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626955=#>:91==5r}|8m400290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;353>P6=:09w)8<4;33?!04;3;;7psr}:k237<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko51608R4342;q/:>:5179'265=9?1vqps4i056>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?85:T216<5s-<887?9;%407?713twvq6g>7783>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:84V070>7}#>:>1=;5+621953=zutw0e<98:18'6gd=94103_;>?740<,?986<84}|~?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887l4$710>g=zutw0qo:k4;29f39K6d`4m;|~y>o6>>0;6)P5jm0:wA669U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m412290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9:;W367?4|,?9?6o5+6219f>{zut1b=:850;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9520<^8?86?u+6269f>"1;:0i7psr}:k232<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;:5Y14196~"1;=0i7)8<3;`8yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c3;N5io1b=8o50;&1fg<6=h10c4`43_;>?7g=#>:91n6sr}|9~f4>a29086=4?{%0af?7a:2B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=94m;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8yg7?l3:1:7>50z&1fg<6=11C>lh4$05a>4?P6=:09w)8<4;`8 3542k1vqps4ie294?"5jk0o<6X=be82I4en3;pZol5d09U6gb=:rF9nk4>{W3f6?4|,8lj6i?4V070>7}#>:>1h6*9328g?x{zD;hn69uY2`a97~Pc=38p(;==:e38 6`22?9?7pXk6;0x 35528?j7)=i5;400>{#>kk1=>5rV3c`>6}Ql<09w)8<2;f2?!5a=3<886sYd781!04:3;>m6*v*9338g5>"4n<0=?95rVe496~"1;;0o<6*7}Ql<09w)8<2;f2?!5a=3<886s+6cc95==zut1b>ll50;&1fg<5ik1]>oj52zN1fc<6s_;n>77ge3_;>?741<,?986<94}|O6gc=9r\9n94={Wf6>7}#>:81>ll4$2d6>3533t.=nl4>7:~y>o51j0;6)P5jm09wA:5169'265=9>1vqpB=bd82S4e<38pZi;52z&577<51j1/?k;56268y!0ei3;<7psr;n3e7?6=,;hi6t$3`a>7d?3A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571g=zutw0c4`43_;>?7g=#>:91n6sr}|9~f4>f290:6=4?{%0af?4d:2B9mk5`1g194?"5jk0:j>54}c3;f?6=;3:1N5io1b=8l50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 35328:0(;=<:028yx{z3`;>o7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571<682.=?>4>0:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;35?!04;3;=7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0::6*932822>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a5<6=8381<7>t$3`a>gc<@;km7d?:a;29 7de28?j76a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&5710;6?4?:1y'6gd=jl1C>lh4i07b>5<#:kh1=8o4;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{53;294~"5jk0:j?5G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6o5+6219f>{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+6269f>"1;:0i7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th:5l4?:783>5}#:kh1=864H3ce?!70j3;27d?:a;29 7de28?j7[ol5d19U6gb=9rF9nk4>{W3f6?4|,8lj6i>4V070>7}#>:>1n6*9328a?x{zu2co=7>5$3`a>a7<^;ho6?uC2cd95~P6m;09w)?ia;f2?S72;38p(;=;:e9'265=l2wvqA7?:a:&0b0<1;=1v(;ln:018yS4fk39pZi;52z&5775`9'7c3=>:90q)8ma;30?xP5ij08w[j::3y'264=l81/?k;56268ySb12;q/:><5d19'7c3=>:>0q)8ma;a8yS7a138pZi;52z&5775<#:kh1>ll4V3`g>7}K:kl1=vX>e381!7ai38jn6X>5281!04<3;<7)8<3;34?x{zD;hn64m50;&1fg<51j1]>oj52zN1fc<6s_;n>77?d3_;>?741<,?986<94}|O6gc=9r\9n94={Wf6>7}#>:81>4m4$2d6>3533t.=nl4>7:~y>i6n:0;6)=zj83:6=4<:183!4ej38i46F=ag9j50d=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1n6*9328a?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*9358a?!04;3h0qpsr;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{7>51;294~"5jk09o?5G2`d8k4`4290/>ol51g18?xd61=0;6>4?:1y'6gd=?<1C>lh4i07a>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887??;%407?773twvq6g>5b83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1==5+621955=zutw0c4`43_;>?7g=#>:91n6sr}|9~f4?229086=4?{%0af?123A8jj6g>5c83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1==5+621955=zutw0e<;l:18'6gd=9o6X>5281!04<3;;7)8<3;33?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`2=3<72?0;6=u+2c`930=O:hl0e<;m:18'6gd=9n6X>5281!04<3;=7)8<3;35?x{zu2c:9n4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9=5179~yx{4={%400?713-<8?7?9;|~y>o6?;0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc9524<^8?86?u+626953=#>:91=;5r}|8m412290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9:;W367?4|,?9?6<84$710>404={%400?d<,?986o5r}|8yg7>;3:187>50z&1fg<6n;1C>lh4i07a>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 3532k1/:>=5b:~yx=n9:5b:&5766683>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>>1]=8=52z&571g=zutw0qo?6b;297?6=8r.9no485:J1ec=n9:5179'265=9?1vqps4i07`>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 35328<0(;=<:048yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c3:N5io1b=8l50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 35328=0(;=<:058yx{z3`;>o7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;34?!04;3;<7psr}:k222<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:::5Y14196~"1;=0:;6*932823>{zut1b=:<50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9524<^8?86?u+626952=#>:91=:5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn?o;:181>5<7s-8in7lj;I0bb>o6=h0;6)=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&5769:k21d<72-8in7?:a:T1fa<6sE8ij7?tV0g1>7}#9ok1=8o4V070>7}#>:>1n6*9328a?x{zu2co<7>5$3`a>a6<^;ho6!4ej3n:7[4={%400?b<,?986i5r}|N1f`<3s_8jo7=tVe796~"1;;0o=6*v*933821d=#;o?1:>:4}%4ae?743t\9mn4<{Wf6>7}#>:81h<5+3g792626;=<;|&5fd<6;2w]>lm53zTg1?4|,?996i?4$2d6>3533t\o:7a6<,:l>6;=;;|&5fd<6;2w]=k752zTg1?4|,?996i?4$2d6>3533t.=nl4>8:~y>o5ik0;6)P5jm09wA:5169'265=9>1vqpB=bd82S4e<38pZi;52z&577<5ik1/?k;56268y!0ei3;<7psr;h0:g?6=,;hi6?7l;W0a`?4|D;hm6{zuE8ii7?tV3`7>7}Ql<09w)8<2;0:g>"4n<0=?95r$7`b>416<729q/>ol52c:8L7ga3`;>n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;`8 3542k1vqps4i07`>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 3532k1/:>=5b:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&5764?:%0af?7a;21vn?o7:187>5<7s-8in79:;I0bb>o6=k0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+626953=#>:91=;5r}|8m43d290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;35?!04;3;=7psr}:k222<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:::5Y14196~"1;=0::6*932822>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a6d3=8391<7>t$3`a>23<@;km7d?:b;29 7de28?j7E{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626953=#>:91=;5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn?h=:181>5<7s-8in7lj;I0bb>o6=h0;6)=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576m7>5$3`a>43f32e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`1bc<72:0;6=u+2c`96g><@;km7d?:b;29 7de28?j7[4={%400?d<,?986o5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6<:4$710>424={%400?d<,?986o5r}|8yg4am3:1:7>50z&1fg<6=11C>lh4$05a>4?P6=:09w)8<4;`8 3542k1vqps4ie294?"5jk0o<6X=be82I4en3;pZol5d09U6gb=:rF9nk4>{W3f6?4|,8lj6i?4V070>7}#>:>1h6*9328g?x{zD;hn69uY2`a97~Pc=38p(;==:e38 6`22?9?7pXk6;0x 35528?j7)=i5;400>{#>kk1=>5rV3c`>6}Ql<09w)8<2;f2?!5a=3<886sYd781!04:3;>m6*v*9338g5>"4n<0=?95rVe496~"1;;0o<6*7}Ql<09w)8<2;f2?!5a=3<886s+6cc95==zut1b>ll50;&1fg<5ik1]>oj52zN1fc<6s_;n>77ge3_;>?741<,?986<94}|O6gc=9r\9n94={Wf6>7}#>:81>ll4$2d6>3533t.=nl4>7:~y>o51j0;6)P5jm09wA:5169'265=9>1vqpB=bd82S4e<38pZi;52z&577<51j1/?k;56268y!0ei3;<7psr;n3e7?6=,;hi6t$3`a>7d?3A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571g=zutw0c4`43_;>?7g=#>:91n6sr}|9~f7`3290:6=4?{%0af?4d:2B9mk5`1g194?"5jk0:j>54}c0e2?6=<3:1N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+626953=#>:91=;5r}|8m43d290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;35?!04;3;=7psr}:k222<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:::5Y14196~"1;=0::6*932822>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a6c1=83;:6=4?{%0af?123A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571<6>2.=?>4>6:~yx=n943d3_;>?740<,?986<84}|~?l71?3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?97:T216<5s-<887?9;%407?713twvq6g>7383>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?;1]=8=52z&571<6>2.=?>4>6:~yx=n9>?1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51678R4342;q/:>:5179'265=9?1vqps4i055>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?86:T216<5s-<887?9;%407?713twvq6g>7683>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?>1]=8=52z&571<6>2.=?>4>6:~yx=n9>21<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko516:8R4342;q/:>:5179'265=9?1vqps4i05:>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=27[?:3;0x 35328<0(;=<:048yx{z3`;5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;34e>P6=:09w)8<4;35?!04;3;=7psr}:k21a<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9i5Y14196~"1;=0::6*932822>{zut1b=8k50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950c<^8?86?u+626953=#>:91=;5r}|8m43a290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;i;W367?4|,?9?6<84$710>404={%400?713-<8?7?9;|~y>o6>80;6)P5jm0:wA609U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn?h7:1825?6=8r.9no485:J1ec=n9:5179'265=9?1vqps4i07`>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 35328<0(;=<:048yx{z3`;=;7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;353>P6=:09w)8<4;35?!04;3;=7psr}:k237<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;?5Y14196~"1;=0::6*932822>{zut1b=:;50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9523<^8?86?u+626953=#>:91=;5r}|8m411290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;342>P6=:09w)8<4;35?!04;3;=7psr}:k232<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;:5Y14196~"1;=0::6*932822>{zut1b=:650;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc952><^8?86?u+626953=#>:91=;5r}|8m41>290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<96;W367?4|,?9?6<84$710>404={%400?713-<8?7?9;|~y>o6=m0;6)P5jm0:wA5e9U505=:r.=?94>6:&576<6>2wvqp5f14g94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5179~yx{5281!04<3;=7)8<3;35?x{zu2c::<4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8224=Q9<91>v*9358a?!04;3h0qpsr;h356?6=,;hi6<;n;W0a`?7|D;hm66X>5281!04<3h0(;=<:c9~yx{5<3290;w)N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+6269f>"1;:0i7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0i7)8<3;`8yx{z3`;=;7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;353>P6=:09w)8<4;`8 3542k1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj;lj6=4=1;294~"5jk0<96F=ag9j50d=83.9no4>5`9K6gg<^;ho6P5jm0:wA5b9U505=:r.=?94>1:&576<692wvqp5f17594?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9?=0Z<;<:3y'262=981/:>=5109~yx{5281!04<3;:7)8<3;32?x{zu2c:;;4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8233=Q9<91>v*935825>"1;:0:=6sr}|9j521=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:94V070>7}#>:>1=<5+621954=zutw0e<97:18'6gd=941?3_;>?747<,?986;%407?763twvq6g>7`83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?h1]=8=52z&571<692.=?>4>1:~yx=n9:5109'265=981vqps4i07f>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?n7[?:3;0x 35328;0(;=<:038yx{z3`;>j7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36b>P6=:09w)8<4;32?!04;3;:7psr}:k225<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0::=5Y14196~"1;=0:=6*932825>{zut1b=;?50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28<:7[?:3;0x 35328;0(;=<:038yx{z3`;=>7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;356>P6=:09w)8<4;32?!04;3;:7psr}:k226<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0::>5Y14196~"1;=0:;6*932823>{zut1b=;:50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f285$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;351>P6=:09w)8<4;34?!04;3;<7psr}:k223<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0::;5Y14196~"1;=0i7)8<3;`8yx{z3`;=47>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;35<>P6=:09w)8<4;`8 3542k1vqps4i04:>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<27[?:3;0x 3532k1/:>=5b:~yx=n9?k1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko517c8R4342;q/:>:5b:&5766c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>k1]=8=52z&571g=zutw0e<8k:18'6gd=940c3_;>?7g=#>:91n6sr}|9j53c=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;k4V070>7}#>:>1n6*9328a?x{zu2c::k4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`822c=Q9<91>v*9358a?!04;3h0qpsr;h344?6=,;hi6<;n;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{90Z<;<:3y'262=j2.=?>4m;|~y>o6?=0;6)P5jm0:wA759U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8yg4aj3:1><4?:1y'6gd=?<1C>lh4i07a>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887?>;%407?763twvq6g>5b83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=<5+621954=zutw0e<88:18'6gd=94003_;>?747<,?986;%407?763twvq6g>7483>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?<1]=8=52z&571<692.=?>4>1:~yx=n9><1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51648R4342;q/:>:5109'265=981vqps4i054>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=<7[?:3;0x 35328;0(;=<:038yx{z3`;<47>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;34<>P6=:09w)8<4;32?!04;3;:7psr}:k23<<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;45Y14196~"1;=0:=6*932825>{zut1b=:o50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc952g<^8?86?u+626954=#>:91=<5r}|8m43c290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;36`>P6=:09w)8<4;32?!04;3;:7psr}:k21`<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko514g8R4342;q/:>:5109'265=981vqps4i07e>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:f:T216<5s-<887?>;%407?763twvq6g>6183>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;>4V070>7}#>:>1=<5+621954=zutw0e<8>:18'6gd=95281!04<3;:7)8<3;32?x{zu2c::?4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9?80Z<;<:3y'262=981/:>=5109~yx{5281!04<3;<7)8<3;34?x{zu2c::84?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9??0Z<;<:3y'262=9>1/:>=5169~yx{4m;|~y>o6>00;6)P5jm0:wA689U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m40e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<8m;W367?4|,?9?6o5+6219f>{zut1b=;m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc953e<^8?86?u+6269f>"1;:0i7psr}:k22a<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0::i5Y14196~"1;=0i7)8<3;`8yx{z3`;=i7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;35a>P6=:09w)8<4;`8 3542k1vqps4i04e>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=5b:~yx=n9>:1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51628R4342;q/:>:5b:&5767083>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?81]=8=52z&571g=zutw0e<9;:18'6gd=94133_;>?7g=#>:91n6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi>km50;194?6|,;hi6o6=k0;6)P5jm0:wA5c9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn>?7:181>5<7s-8in7lj;I0bb>o6=h0;6)=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576{M0ab?7|^m=1>v*>f`821g=Q9<91>v*9358a?!04;3h0qpsr;h36g?6=,;hi6<;n;W0a`?7|D;hm6o6X>5281!04<3;?7)8<3;37?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`065<72?0;6=u+2c`950><@;km7)?8b;3:?l72i3:1(?lm:07b?S4el3;p@?li:0yU5`4=:r.:jl4>5`9U505=:r.=?94m;%407?doj51zN1fc<6s_;n>7a6<^8?86?u+6269f>"1;:0i7psr}:kg5?6=,;hi6i?4V3`g>7}K:kl1=vX>e381!7ai3n:7[?:3;0x 3532m1/:>=5d:~yI4em3>pZ?ol:2yU`0<5s-<8>7j>;%1e1?04<2w]h;4={%406?72i2.8j849359~ 3df2890q[<5d09'7c3=>:>0q[j9:3y'264=l91/?k;56268y!0ei3i0q[?i9;0xRa3=:r.=??4k1:&0b0<1;=1v(;ln:0:8yx{P6=:09w)8<4;34?!04;3;<7psrL3`f>4}Q:k>1>vXk5;0x 3552;ki7)=i5;400>{#>kk1=:5r}|9j6{W3f6?4|,8lj6?7l;W367?4|,?9?6<94$710>41ok51zT1f1<5s_n>6?u+620966;=;;|&5fd<6?2wvq6a>f283>!4ej3;m?65rb23:>5<4290;w)N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+6269f>"1;:0i7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0i7)8<3;`8yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c12e?6=93:11<729q/>ol5749K6d`P5jm0:wA5b9U505=:r.=?94>6:&576<6>2wvqp5f17594?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9?=0Z<;<:3y'262=9?1/:>=5179~yx{5<0290;w){M0ab?7|^m=1>v*>f`821g=Q9<91>v*935822>"1;:0::6sr}|9j50e=83.9no4>5`9K6gg<^;ho65281!04<3;=7)8<3;35?x{zu2c:;?4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8237=Q9<91>v*935822>"1;:0::6sr}|9j523=83.9no4>5`9K6gg<^;ho65281!04<3;=7)8<3;35?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`05`<72>0;6=u+2c`930=O:hl0e<;m:18'6gd=943e3_;>?740<,?986<84}|~?l72k3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:c:T216<5s-<887?9;%407?713twvq6g>6683>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>>1]=8=52z&571<6>2.=?>4>6:~yx=n9>81<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51608R4342;q/:>:5179'265=9?1vqps4i056>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?85:T216<5s-<887?9;%407?713twvq6g>7783>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6??1]=8=52z&571<6>2.=?>4>6:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576{M0ab?7|^m=1>v*>f`821g=Q9<91>v*9358a?!04;3h0qpsr;h36g?6=,;hi6<;n;W0a`?7|D;hm6o6X>5281!04<3h0(;=<:c9~yx{5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e;;81<7<50;2x 7de2ko0D?oi;h36e?6=,;hi6<;n;:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th8>o4?:283>5}#:kh1>o64H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887l4$710>g=zutw0e<;l:18'6gd=943d3_;>?742<,?986<:4}|~?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887l4$710>g=zutw0qo==a;292?6=8r.9no4>599K6d`<,8=i6<74i07b>5<#:kh1=8o4V3`g>4}K:kl1=vX>e381!7ai3;>m6X>5281!04<3h0(;=<:c9~yx{P5jm0:wA:18'6gd=l81]>oj52zN1fc<6s_;n>7a7<^8?86?u+6269`>"1;:0o7psrL3`f>1}Q:hi1?vXk5;0x 3552m;0(>h::717?xPc>38p(;==:07b?!5a=3<886s+6cc956=z^;kh6>uYd481!04:3n:7)=i5;400>{Ql?09w)8<2;36e>"4n<0=?>5r$7`b>45v*9338g4>"4n<0=?95r$7`b>f=z^8l26?uYd481!04:3n:7)=i5;400>{#>kk1=55r}|9j6dd=83.9no4=ac9U6gb=:rF9nk4>{W3f6?4|,8lj6?om;W367?4|,?9?6<94$710>41ok51zT1f1<5s_n>6?u+62096dd<,:l>6;=;;|&5fd<6?2wvq6g=9b83>!4ej382o6X=be81I4en3;pZ1/:>=5169~yxJ5jl0:w[:>0q)8ma;34?x{z3f;m?7>5$3`a>4`432wi??=50;194?6|,;hi6?l7;I0bb>o6=k0;6)P5jm0:wA5c9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn><;:182>5<7s-8in754;294~"5jk0<96F=ag9j50d=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1=;5+621953=zutw0e<;l:18'6gd=9o6X>5281!04<3;=7)8<3;35?x{zu2c:::4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8222=Q9<91>v*935822>"1;:0::6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi??950;:94?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887?9;%407?713twvq6g>5b83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=;5+621953=zutw0e<88:18'6gd=94003_;>?740<,?986<84}|~?l70:3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?82:T216<5s-<887?9;%407?713twvq6g>7483>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?<1]=8=52z&571<6>2.=?>4>6:~yx=n9><1<7*=bc821d=O:kk0Z?lk:0yO6g`=9r\o;74113_;>?740<,?986<84}|~?l70?3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?87:T216<5s-<887?9;%407?713twvq6a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571lh4i07a>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 35328<0(;=<:048yx{z3`;>o7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;35?!04;3;=7psr}:k222<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:::5Y14196~"1;=0::6*932822>{zut1b=:<50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9524<^8?86?u+626953=#>:91=;5r}|8m412290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9:;W367?4|,?9?6<84$710>40{zut1b=:950;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9521<^8?86?u+626953=#>:91=;5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn><::187>5<7s-8in7?i2:J1ec=n9:5b:&5765b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571g=zutw0c4`43_;>?7g=#>:91n6sr}|9~f64d29096=4?{%0af?db3A8jj6g>5`83>!4ej3;>m65`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e;:?1<7=50;2x 7de2;h37Eo7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;37?!04;3;?7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th8?94?:783>5}#:kh1=864H3ce?!70j3;27d?:a;29 7de28?j7[ol5d19U6gb=9rF9nk4>{W3f6?4|,8lj6i>4V070>7}#>:>1n6*9328a?x{zu2co=7>5$3`a>a7<^;ho6?uC2cd95~P6m;09w)?ia;f2?S72;38p(;=;:e9'265=l2wvqA7?:a:&0b0<1;=1v(;ln:018yS4fk39pZi;52z&5775`9'7c3=>:90q)8ma;30?xP5ij08w[j::3y'264=l81/?k;56268ySb12;q/:><5d19'7c3=>:>0q)8ma;a8yS7a138pZi;52z&5775<#:kh1>ll4V3`g>7}K:kl1=vX>e381!7ai38jn6X>5281!04<3;<7)8<3;34?x{zD;hn64m50;&1fg<51j1]>oj52zN1fc<6s_;n>77?d3_;>?741<,?986<94}|O6gc=9r\9n94={Wf6>7}#>:81>4m4$2d6>3533t.=nl4>7:~y>i6n:0;6)=zj:8o6=4<:183!4ej38i46F=ag9j50d=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1n6*9328a?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*9358a?!04;3h0qpsr;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{51;294~"5jk09o?5G2`d8k4`4290/>ol51g18?xd4;90;694?:1y'6gd=?<1C>lh4i07a>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 35328<0(;=<:048yx{z3`;>o7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571<6>2.=?>4>6:~yx=n9?=1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51758R4342;q/:>:5179'265=9?1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj:9:6=46:183!4ej3=>7E{zut1b=8m50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 35328<0(;=<:048yx{z3`;=;7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;353>P6=:09w)8<4;35?!04;3;=7psr}:k237<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;?5Y14196~"1;=0::6*932822>{zut1b=:;50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9523<^8?86?u+626953=#>:91=;5r}|8m411290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;342>P6=:09w)8<4;35?!04;3;=7psr}:k232<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;:5Y14196~"1;=0::6*932822>{zut1b=:650;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc952><^8?86?u+626953=#>:91=;5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn>==:18:>5<7s-8in79:;I0bb>o6=k0;6)P5jm0:wA5c9U505=:r.=?94>6:&576<6>2wvqp5f14a94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5179~yx{6X>5281!04<3;=7)8<3;35?x{zu2c:;84?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8230=Q9<91>v*935822>"1;:0::6sr}|9j520=83.9no4>5`9K6gg<^;ho65281!04<3;=7)8<3;35?x{zu2c:;54?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`823==Q9<91>v*935822>"1;:0::6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi??h50;694?6|,;hi6o6=k0;6)P5jm0:wA5c9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m400290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<88;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a760=8381<7>t$3`a>gc<@;km7d?:a;29 7de28?j76a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&5714?:1y'6gd=:k20D?oi;h36f?6=,;hi6<;n;W0a`?7|D;hm6n6X>5281!04<3h0(;=<:c9~yx{0qpsr;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{56;294~"5jk0:955G2`d8 41e2830e<;n:18'6gd=94={%400?d<,?986o5r}|8ma6=83.9no4k0:T1fa<6sE8ij7?tV0g1>7}#9ok1h=5Y14196~"1;=0i7)8<3;`8yx{z3`n:6=4+2c`9`4=Q:kn1>vB=bg82S7b:38p(:5d:&576a7<,:l>6;=;;|Tg2?4|,?996<;n;%1e1?04<2w/:oo5129~R7gd2:q]h84={%406?b63-9m978<4:U`3<5s-<8>7?:a:&0b0<1;:1v(;ln:018yS4fk39pZi;52z&5772;q]h84={%406?b63-9m978<4:'2gg=911vqp5f2``94?"5jk09mo5Y2cf96~J5jo0:w[?j2;0x 4`f2;ki7[?:3;0x 35328=0(;=<:058yx{K:ko1=vX=b581Sb22;q/:><52``8 6`22?9?7p*9b`823>{zu2c95n4?:%0af?4>k2\9ni4={M0ab?7|^8o96?u+1gc96:91=:5r}|N1f`<6s_8i87{e;:=1<7=50;2x 7de2;h37Eo7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;`8 3542k1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj:936=4>:183!4ej38h>6F=ag9l5c5=83.9no4>f298yg54i3:187>50z&1fg<0=2B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5179~yx{4={%400?713-<8?7?9;|~y>o6>>0;6)P5jm0:wA669U505=:r.=?94>6:&576<6>2wvqp5`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e;:h1<7?>:183!4ej3=>7E{zut1b=8m50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 35328<0(;=<:048yx{z3`;=;7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;353>P6=:09w)8<4;35?!04;3;=7psr}:k237<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;?5Y14196~"1;=0::6*932822>{zut1b=:;50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9523<^8?86?u+626953=#>:91=;5r}|8m411290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;342>P6=:09w)8<4;35?!04;3;=7psr}:k232<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;:5Y14196~"1;=0::6*932822>{zut1b=:650;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc952><^8?86?u+626953=#>:91=;5r}|8m41>290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<96;W367?4|,?9?6<84$710>404={%400?d<,?986o5r}|8m43c290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;k;W367?4|,?9?6o5+6219f>{zut1b=8k50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950c<^8?86?u+6269f>"1;:0i7psr}:k21c<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9k5Y14196~"1;=0i7)8<3;`8yx{z3`;=<7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;354>P6=:09w)8<4;`8 3542k1vqps4i042>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<:7[?:3;0x 3532k1/:>=5b:~yx=n9?81<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51708R4342;q/:>:5b:&576f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571?0D?oi;h36f?6=,;hi6<;n;W0a`?7|D;hm6n6X>5281!04<3;=7)8<3;35?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*935822>"1;:0::6sr}|9j531=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1=;5+621953=zutw0e<9=:18'6gd=94153_;>?740<,?986<84}|~?l70=3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?85:T216<5s-<887?9;%407?713twvq6g>7783>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:84V070>7}#>:>1=;5+621953=zutw0e<98:18'6gd=94103_;>?740<,?986<84}|~?l7003:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?88:T216<5s-<887?9;%407?713twvq6g>7883>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?01]=8=52z&571<6>2.=?>4>6:~yx=n9>k1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko516c8R4342;q/:>:5b:&5765e83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=m1]=8=52z&571g=zutw0e<;i:18'6gd=943a3_;>?7g=#>:91n6sr}|9j536=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;>4V070>7}#>:>1n6*9328a?x{zu2c::<4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8224=Q9<91>v*9358a?!04;3h0qpsr;h356?6=,;hi6<;n;W0a`?7|D;hm66X>5281!04<3h0(;=<:c9~yx{5<3290;w)N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+6269f>"1;:0i7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0i7)8<3;`8yx{z3`;=;7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;353>P6=:09w)8<4;`8 3542k1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj:>;6=4=:183!4ej3hn7Ef29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi?9750;194?6|,;hi6?l7;I0bb>o6=k0;6)P5jm0:wA5c9U505=:r.=?94m;%407?d4={%400?733-<8?7?;;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d3<729q/>ol514:8L7ga3-;:5b:&576?7a=#>:91h6sr}M0aa?2|^;kh6>uYd481!04:3n:7)=i5;400>{Ql?09w)8<2;36e>"4n<0=?95r$7`b>45v*933821d=#;o?1:>=4}%4ae?743t\9mn4<{Wf6>7}#>:81h<5+3g79262:4}%4ae?eol52``8R7dc2;qG>oh51zT2a7<5s-;mm77ge3-9m978<4:'2gg=9>1vqp5f28a94?"5jk095n5Y2cf96~J5jo0:w[?j2;0x 4`f2;3h7[?:3;0x 35328=0(;=<:058yx{K:ko1=vX=b581Sb22;q/:><528a8 6`22?9?7p*9b`823>{zu2e:j>4?:%0af?7a;21vn>:>:180>5<7s-8in7:5b:&5765b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571g=zutw0qo=;2;295?6=8r.9no4=c39K6d`5}#:kh1;85G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6<84$710>40{zut1b=;950;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9531<^8?86?u+626953=#>:91=;5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn>:::1825?6=8r.9no485:J1ec=n9:5179'265=9?1vqps4i07`>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:c:T216<5s-<887?9;%407?713twvq6g>6683>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>>1]=8=52z&571<6>2.=?>4>6:~yx=n9>81<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51608R4342;q/:>:5179'265=9?1vqps4i056>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=>7[?:3;0x 35328<0(;=<:048yx{z3`;<:7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6??1]=8=52z&571<6>2.=?>4>6:~yx=n9>=1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51658R4342;q/:>:5179'265=9?1vqps4i05;>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=37[?:3;0x 35328<0(;=<:048yx{z3`;<57>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;34=>P6=:09w)8<4;35?!04;3;=7psr}:k23d<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;l5Y14196~"1;=0::6*932822>{zut1b=8j50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950b<^8?86?u+6269f>"1;:0i7psr}:k21`<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9h5Y14196~"1;=0i7)8<3;`8yx{z3`;>j7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36b>P6=:09w)8<4;`8 3542k1vqps4i043>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<;7[?:3;0x 3532k1/:>=5b:~yx=n9?;1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51738R4342;q/:>:5b:&5766383>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>;1]=8=52z&571g=zutw0qo=;6;2954<729q/>ol5749K6d`o6X>5281!04<3;=7)8<3;35?x{zu2c:::4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8222=Q9<91>v*935822>"1;:0::6sr}|9j524=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:<4V070>7}#>:>1=;5+621953=zutw0e<9::18'6gd=94123_;>?740<,?986<84}|~?l70>3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`8233=Q9<91>v*935822>"1;:0::6sr}|9j521=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:94V070>7}#>:>1=;5+621953=zutw0e<97:18'6gd=941?3_;>?740<,?986<84}|~?l7013:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?89:T216<5s-<887?9;%407?713twvq6g>7`83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?h1]=8=52z&571<6>2.=?>4>6:~yx=n9:5b:&5765d83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=l1]=8=52z&571g=zutw0e<8?:18'6gd=94073_;>?7g=#>:91n6sr}|9j537=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;?4V070>7}#>:>1n6*9328a?x{zu2c::?4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8227=Q9<91>v*9358a?!04;3h0qpsr;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{54;294~"5jk0:j?5G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6o5+6219f>{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+6269f>"1;:0i7psr}:k222<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:::5Y14196~"1;=0i7)8<3;`8yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c17e?6=:3:1N5io1b=8o50;&1fg<6=h10c4`43_;>?7g=#>:91n6sr}|9~f63429086=4?{%0af?4e02B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=94m;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94>4:&576<6<2wvqp5`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e;<81<7850;2x 7de28?37E{M0ab?7|^8o96?u+1gc950g<^8?86?u+6269f>"1;:0i7psr}:kg4?6=,;hi6i>4V3`g>4}K:kl1=vX>e381!7ai3n;7[?:3;0x 3532k1/:>=5b:~yx=nl80;6)a=zutF9nh4;{W0bg?5|^m?1>v*9338g5>"4n<0=?95rVe496~"1;;0:9l5+3g792626?u+6209`4=#;o?1:>:4}Wf5>7}#>:81=8o4$2d6>3543t.=nl4>3:U6de=;r\o97a7<,:l>6;=;;|Tg2?4|,?996i>4$2d6>3533t.=nl4l;|T2b<<5s_n>6?u+6209`4=#;o?1:>:4}%4ae?7?3twv7d4>7:~yI4em3;pZ?l;:3yU`0<5s-<8>7P6=:09w)8<4;34?!04;3;<7psrL3`f>4}Q:k>1>vXk5;0x 3552;3h7)=i5;400>{#>kk1=:5r}|9l5c5=83.9no4>f298yg53j3:1?7>50z&1fg<5j11C>lh4i07a>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 3532k1/:>=5b:~yx=n9:5b:&576f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&5711<7>t$3`a>23<@;km7d?:b;29 7de28?j7[4={%400?713-<8?7?9;|~y>o6=j0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626953=#>:91=;5r}|8m400290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<88;W367?4|,?9?6<84$710>404={%400?d<,?986o5r}|8yg53n3:1=<4?:1y'6gd=?<1C>lh4i07a>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 35328<0(;=<:048yx{z3`;>o7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571<6>2.=?>4>6:~yx=n9?=1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51758R4342;q/:>:5179'265=9?1vqps4i051>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=97[?:3;0x 35328<0(;=<:048yx{z3`;<97>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;341>P6=:09w)8<4;35?!04;3;=7psr}:k233<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko51648R4342;q/:>:5179'265=9?1vqps4i054>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=<7[?:3;0x 35328<0(;=<:048yx{z3`;<47>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;34<>P6=:09w)8<4;35?!04;3;=7psr}:k23<<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;45Y14196~"1;=0::6*932822>{zut1b=:o50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc952g<^8?86?u+626953=#>:91=;5r}|8m43c290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;k;W367?4|,?9?6<84$710>404={%400?d<,?986o5r}|8m43a290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;i;W367?4|,?9?6o5+6219f>{zut1b=;>50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9536<^8?86?u+6269f>"1;:0i7psr}:k224<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0::<5Y14196~"1;=0i7)8<3;`8yx{z3`;=>7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;356>P6=:09w)8<4;`8 3542k1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj:?;6=4>1;294~"5jk0<96F=ag9j50d=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1=;5+621953=zutw0e<;l:18'6gd=943d3_;>?740<,?986<84}|~?l71?3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?97:T216<5s-<887?9;%407?713twvq6g>7383>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?;1]=8=52z&571<6>2.=?>4>6:~yx=n9>?1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51678R4342;q/:>:5179'265=9?1vqps4i055>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?86:T216<5s-<887?9;%407?713twvq6g>7683>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?>1]=8=52z&571<6>2.=?>4>6:~yx=n9>21<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko516:8R4342;q/:>:5179'265=9?1vqps4i05:>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=27[?:3;0x 35328<0(;=<:048yx{z3`;5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;34e>P6=:09w)8<4;35?!04;3;=7psr}:k21a<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9i5Y14196~"1;=0::6*932822>{zut1b=8k50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950c<^8?86?u+6269f>"1;:0i7psr}:k21c<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9k5Y14196~"1;=0i7)8<3;`8yx{z3`;=<7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;354>P6=:09w)8<4;`8 3542k1vqps4i042>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<:7[?:3;0x 3532k1/:>=5b:~yx=n9?81<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51708R4342;q/:>:5b:&576f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571n6X>5281!04<3h0(;=<:c9~yx{4m;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d7<729q/>ol5bd9K6d`5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c16`?6=;3:143e3_;>?7g=#>:91n6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=95+621951=zutw0c4`43_;>?7g=#>:91n6sr}|9~f63d290=6=4?{%0af?7202B9mk5+16`95<=n9!4ej3n;7[4={%400?d<,?986o5r}|8ma7=83.9no4k1:T1fa<5sE8ij7?tV0g1>7}#9ok1h<5Y14196~"1;=0o7)8<3;f8yx{K:ko18vX=ab80Sb22;q/:><5d09'7c3=>:>0q[j9:3y'264=9h::717?x"1jh0:?6sY2`a97~Pc=38p(;==:e38 6`22?9?7pXk6;0x 35528?j7)=i5;407>{#>kk1=>5rV3c`>6}Ql<09w)8<2;f2?!5a=3<886sYd781!04:3n;7)=i5;400>{#>kk1o6sY1g;96~Pc=38p(;==:e38 6`22?9?7p*9b`82<>{zu2c9mo4?:%0af?4fj2\9ni4={M0ab?7|^8o96?u+1gc96dd<^8?86?u+626952=#>:91=:5r}|N1f`<6s_8i87k3:1(?lm:3;`?S4el38p@?li:0yU5`4=:r.:jl4=9b9U505=:r.=?94>7:&576<6?2wvqAk2.8j849359~ 3df28=0qps4o0d0>5<#:kh1=k=4;|`010<72:0;6=u+2c`96g><@;km7d?:b;29 7de28?j7[4={%400?d<,?986o5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a700=83;1<7>t$3`a>7e53A8jj6a>f283>!4ej3;m?65rb27;>5<3290;w){M0ab?7|^m=1>v*>f`821g=Q9<91>v*935822>"1;:0::6sr}|9j50e=83.9no4>5`9K6gg<^;ho65281!04<3;=7)8<3;35?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`01<<728;1<7>t$3`a>23<@;km7d?:b;29 7de28?j7[4={%400?713-<8?7?9;|~y>o6=j0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626953=#>:91=;5r}|8m400290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<88;W367?4|,?9?6<84$710>404={%400?713-<8?7?9;|~y>o6?<0;6)P5jm0:wA749U505=:r.=?94>6:&576<6>2wvqp5f16494?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<99;W367?4|,?9?6<84$710>404={%400?713-<8?7?9;|~y>o6?10;6)P5jm0:wA799U505=:r.=?94>6:&576<6>2wvqp5f16;94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9>30Z<;<:3y'262=9?1/:>=5179~yx{h6X>5281!04<3;=7)8<3;35?x{zu2c:9h4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821`=Q9<91>v*935822>"1;:0::6sr}|9j50`=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8h4V070>7}#>:>1n6*9328a?x{zu2c::=4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8225=Q9<91>v*9358a?!04;3h0qpsr;h355?6=,;hi6<;n;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e;:183!4ej3=>7E{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626953=#>:91=;5r}|8m400290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<88;W367?4|,?9?6<84$710>404={%400?713-<8?7?9;|~y>o6?<0;6)P5jm0:wA749U505=:r.=?94>6:&576<6>2wvqp5f16494?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<99;W367?4|,?9?6<84$710>404={%400?713-<8?7?9;|~y>o6?10;6)P5jm0:wA799U505=:r.=?94>6:&576<6>2wvqp5f16;94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9>30Z<;<:3y'262=9?1/:>=5179~yx{h6X>5281!04<3;=7)8<3;35?x{zu2c:9h4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821`=Q9<91>v*935822>"1;:0::6sr}|9j50`=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8h4V070>7}#>:>1n6*9328a?x{zu2c::=4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8225=Q9<91>v*9358a?!04;3h0qpsr;h355?6=,;hi6<;n;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e;<=1<7:50;2x 7de28l97Eo7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;`8 3542k1vqps4i044>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<<7[?:3;0x 3532k1/:>=5b:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576m7>5$3`a>43f32e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`020<72;0;6=u+2c`9f`=O:hl0e<;n:18'6gd=94={%400?d<,?986o5r}|8yg51k3:1?7>50z&1fg<5j11C>lh4i07a>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 3532k1/:>=5b:~yx=n9:5159'265=9=1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj:46F=ag9'52d=901b=8o50;&1fg<6=h1]>oj51zN1fc<6s_;n>743f3_;>?7g=#>:91n6sr}|9j`5<72-8in7j?;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{P5jm09wAok54zT1ef<4s_n>6?u+6209`4=#;o?1:>:4}Wf5>7}#>:81=8o4$2d6>3533t.=nl4>3:U6de=;r\o97a7<,:l>6;=;;|Tg2?4|,?996<;n;%1e1?04;2w/:oo5129~R7gd2:q]h84={%406?b63-9m978<4:U`3<5s-<8>7j?;%1e1?04<2w/:oo5c:U5c?=:r\o97a7<,:l>6;=;;|&5fd<602wvq6g=ac83>!4ej38jn6X=be81I4en3;pZ1/:>=5169~yxJ5jl0:w[:>0q)8ma;34?x{z3`82o7>5$3`a>7?d3_8ih74}Q9l81>v*>f`81=f=Q9<91>v*935823>"1;:0:;6sr}M0aa?7|^;h?6?uYd481!04:382o6*ol51g18?xd4=o0;6>4?:1y'6gd=:k20D?oi;h36f?6=,;hi6<;n;W0a`?7|D;hm6n6X>5281!04<3h0(;=<:c9~yx{5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e;?:1<7?50;2x 7de2;i97E5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571g=zutw0c4`43_;>?7g=#>:91n6sr}|9~f604290?6=4?{%0af?123A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571<6>2.=?>4>6:~yx=n943d3_;>?740<,?986<84}|~?l71?3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?97:T216<5s-<887?9;%407?713twvq6a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571=0;6?0D?oi;h36f?6=,;hi6<;n;W0a`?7|D;hm6n6X>5281!04<3;=7)8<3;35?x{zu2c:9n4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9=5179~yx{6X>5281!04<3;=7)8<3;35?x{zu2c:;84?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8230=Q9<91>v*935822>"1;:0::6sr}|9j520=83.9no4>5`9K6gg<^;ho65281!04<3;=7)8<3;35?x{zu2c:;54?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`823==Q9<91>v*935822>"1;:0::6sr}|9j52?=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:74V070>7}#>:>1=;5+621953=zutw0e<9n:18'6gd=941f3_;>?740<,?986<84}|~?l72l3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:d:T216<5s-<887?9;%407?713twvq6g>5d83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=l1]=8=52z&571<6>2.=?>4>6:~yx=n9:5179'265=9?1vqps4i043>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<;7[?:3;0x 3532k1/:>=5b:~yx=n9?;1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51738R4342;q/:>:5b:&5766383>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>;1]=8=52z&571g=zutw0qo=91;290?6=8r.9no4>f39K6d`4m;|~y>o6>>0;6)P5jm0:wA669U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8yg51>3:1><4?:1y'6gd=?<1C>lh4i07a>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887?>;%407?763twvq6g>5b83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=<5+621954=zutw0e<88:18'6gd=94003_;>?747<,?986;%407?763twvq6g>7483>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?<1]=8=52z&571<692.=?>4>1:~yx=n9><1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51648R4342;q/:>:5109'265=981vqps4i054>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=<7[?:3;0x 35328;0(;=<:038yx{z3`;<47>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;34<>P6=:09w)8<4;32?!04;3;:7psr}:k23<<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;45Y14196~"1;=0:=6*932825>{zut1b=:o50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc952g<^8?86?u+626954=#>:91=<5r}|8m43c290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;k;W367?4|,?9?6474={%400?763-<8?7?>;|~y>o6=o0;6)P5jm0:wA5g9U505=:r.=?94>1:&576<692wvqp5f17294?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9?:0Z<;<:3y'262=981/:>=5109~yx{4={%400?763-<8?7?>;|~y>o6>;0;6)P5jm0:wA639U505=:r.=?94>1:&576<692wvqp5f17194?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9?90Z<;<:3y'262=9>1/:>=5169~yx{4={%400?703-<8?7?8;|~y>o6><0;6)P5jm0:wA649U505=:r.=?94>7:&576<6?2wvqp5f17494?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9?<0Z<;<:3y'262=j2.=?>4m;|~y>o6>10;6)P5jm0:wA699U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m40f290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<8n;W367?4|,?9?6o5+6219f>{zut1b=;l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc953d<^8?86?u+6269f>"1;:0i7psr}:k22f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0::n5Y14196~"1;=0i7)8<3;`8yx{z3`;=h7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;35`>P6=:09w)8<4;`8 3542k1vqps4i04f>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=5b:~yx=n9?l1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko517d8R4342;q/:>:5b:&5767183>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?91]=8=52z&571g=zutw0e<9<:18'6gd=94143_;>?7g=#>:91n6sr}|9j522=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=::4V070>7}#>:>1n6*9328a?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`022<72;;1<7>t$3`a>23<@;km7d?:b;29 7de28?j7E{zut1b=8m50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 35328;0(;=<:038yx{z3`;=;7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;353>P6=:09w)8<4;32?!04;3;:7psr}:k237<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;?5Y14196~"1;=0:=6*932825>{zut1b=:;50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9523<^8?86?u+626954=#>:91=<5r}|8m411290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<99;W367?4|,?9?6474={%400?763-<8?7?>;|~y>o6?10;6)P5jm0:wA799U505=:r.=?94>1:&576<692wvqp5f16;94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9>30Z<;<:3y'262=981/:>=5109~yx{P5jm0:wA5e9U505=:r.=?94>1:&576<692wvqp5f14g94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;j;W367?4|,?9?647{zut1b=;>50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28<;7[?:3;0x 35328;0(;=<:038yx{z3`;==7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6>81]=8=52z&571<692.=?>4>1:~yx=n9?81<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51708R4342;q/:>:5109'265=981vqps4i040>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<87[?:3;0x 35328=0(;=<:058yx{z3`;=87>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6>=1]=8=52z&571<6?2.=?>4>7:~yx=n9??1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51778R4342;q/:>:5169'265=9>1vqps4i045>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<=7[?:3;0x 3532k1/:>=5b:~yx=n9?21<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko517:8R4342;q/:>:5b:&5766883>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>01]=8=52z&571g=zutw0e<8m:18'6gd=940e3_;>?7g=#>:91n6sr}|9j53e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;m4V070>7}#>:>1n6*9328a?x{zu2c::i4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`822a=Q9<91>v*9358a?!04;3h0qpsr;h35a?6=,;hi6<;n;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{:0Z<;<:3y'262=j2.=?>4m;|~y>o6?80;6)P5jm0:wA709U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m413290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9;;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a73>=838:6=4?{%0af?123A8jj6g>5c83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1=<5+621954=zutw0e<;l:18'6gd=9o6X>5281!04<3;:7)8<3;32?x{zu2c:::4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8222=Q9<91>v*935825>"1;:0:=6sr}|9j524=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:<4V070>7}#>:>1=<5+621954=zutw0e<9::18'6gd=94123_;>?747<,?9863:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?86:T216<5s-<887?>;%407?763twvq6g>7683>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?>1]=8=52z&571<692.=?>4>1:~yx=n9>21<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko516:8R4342;q/:>:5109'265=981vqps4i05:>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=27[?:3;0x 35328;0(;=<:038yx{z3`;5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;34e>P6=:09w)8<4;32?!04;3;:7psr}:k21a<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko514f8R4342;q/:>:5109'265=981vqps4i07f>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:e:T216<5s-<887?>;%407?763twvq6g>5g83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8h4V070>7}#>:>1=<5+621954=zutw0e<8?:18'6gd=95281!04<3;:7)8<3;32?x{zu2c::<4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9?;0Z<;<:3y'262=981/:>=5109~yx{5281!04<3;<7)8<3;34?x{zu2c::94?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9?>0Z<;<:3y'262=9>1/:>=5169~yx{6=4+2c`950g<^;ho65281!04<3h0(;=<:c9~yx{4m;|~y>o6>h0;6)P5jm0:wA6`9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m40d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<8l;W367?4|,?9?6o5+6219f>{zut1b=;j50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc953b<^8?86?u+6269f>"1;:0i7psr}:k22`<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0::h5Y14196~"1;=0i7)8<3;`8yx{z3`;=j7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;35b>P6=:09w)8<4;`8 3542k1vqps4i053>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=;7[?:3;0x 3532k1/:>=5b:~yx=n9>;1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51638R4342;q/:>:5b:&5767283>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?:1]=8=52z&571g=zutw0c4`43_;>?7g=#>:91n6sr}|9~f60>290?6=4?{%0af?7a:2B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=94m;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn>7l:181>5<7s-8in7lj;I0bb>o6=h0;6)=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576{M0ab?7|^m=1>v*>f`821g=Q9<91>v*9358a?!04;3h0qpsr;h36g?6=,;hi6<;n;W0a`?7|D;hm6o6X>5281!04<3;?7)8<3;37?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`0e3<72?0;6=u+2c`950><@;km7)?8b;3:?l72i3:1(?lm:07b?S4el3;p@?li:0yU5`4=:r.:jl4>5`9U505=:r.=?94m;%407?doj51zN1fc<6s_;n>7a6<^8?86?u+6269f>"1;:0i7psr}:kg5?6=,;hi6i?4V3`g>7}K:kl1=vX>e381!7ai3n:7[?:3;0x 3532m1/:>=5d:~yI4em3>pZ?ol:2yU`0<5s-<8>7j>;%1e1?04<2w]h;4={%406?72i2.8j849359~ 3df2890q[<5d09'7c3=>:>0q[j9:3y'264=l91/?k;56268y!0ei3;87pX>f881Sb22;q/:><5d09'7c3=>:>0q)8ma;3;?x{z3`8jn7>5$3`a>7ge3_8ih74}Q9l81>v*>f`81eg=Q9<91>v*935823>"1;:0:;6sr}M0aa?7|^;h?6?uYd481!04:38jn6*ol528a8R7dc2;qG>oh51zT2a7<5s-;mm7<6c:T216<5s-<887?8;%407?703twv@?lj:0yU6g2=:r\o977?d3-9m978<4:'2gg=9>1vqp5`1g194?"5jk0:j>54}c1:a?6=;3:143e3_;>?7g=#>:91n6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1n6*9328a?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`0=c<7280;6=u+2c`96f4<@;km7b?i3;29 7de28l876sm3`394?3=83:p(?lm:678L7ga3`;>n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;35?!04;3;=7psr}:k21f<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko514a8R4342;q/:>:5179'265=9?1vqps4i044>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<<7[?:3;0x 35328<0(;=<:048yx{z3`;<>7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;346>P6=:09w)8<4;35?!04;3;=7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th8m?4?:483>5}#:kh1;85G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6<84$710>404={%400?713-<8?7?9;|~y>o6>>0;6)P5jm0:wA669U505=:r.=?94>6:&576<6>2wvqp5f16094?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9>80Z<;<:3y'262=9?1/:>=5179~yx{5<2290;w){M0ab?7|^m=1>v*>f`821g=Q9<91>v*935822>"1;:0::6sr}|9j50e=83.9no4>5`9K6gg<^;ho65281!04<3;=7)8<3;35?x{zu2c:;?4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8237=Q9<91>v*935822>"1;:0::6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi?l:50;794?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887?9;%407?713twvq6g>5b83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=;5+621953=zutw0e<88:18'6gd=94003_;>?740<,?986<84}|~?l70:3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?82:T216<5s-<887?9;%407?713twvq6a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571n6X>5281!04<3h0(;=<:c9~yx{4m;|~y>o6?;0;6)P5jm0:wA739U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8yg5>l3:1?7>50z&1fg<0=2B9mk5f14`94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6<84$710>404={%400?713-<8?7?9;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d7<729q/>ol5bd9K6d`5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c0b7?6=>3:1ol514c8R7dc28qG>oh51zT2a7<5s-;mm7?:a:T216<5s-<887l4$710>g=zutw0ei>50;&1fg{M0ab?7|^8o96?u+1gc9`5=Q9<91>v*9358a?!04;3h0qpsr;hf2>5<#:kh1h<5Y2cf96~J5jo0:w[?j2;0x 4`f2m;0Z<;<:3y'262=l2.=?>4k;|~H7db2=q]>lm53zTg1?4|,?996i?4$2d6>3533t\o:743f3-9m978<4:'2gg=9:1vZ?ol:2yU`0<5s-<8>7j>;%1e1?04<2w]h;4={%406?72i2.8j849329~ 3df2890q[P6=:09w)8<4;34?!04;3;<7psrL3`f>4}Q:k>1>vXk5;0x 3552;ki7)=i5;400>{#>kk1=:5r}|9j6{W3f6?4|,8lj6?7l;W367?4|,?9?6<94$710>41ok51zT1f1<5s_n>6?u+620966;=;;|&5fd<6?2wvq6a>f283>!4ej3;m?65rb3;e>5<4290;w)N5io1b=8l50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 3532k1/:>=5b:~yx=n9:5b:&576f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571t$3`a>23<@;km7d?:b;29 7de28?j7E{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626953=#>:91=;5r}|8m400290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;353>P6=:09w)8<4;35?!04;3;=7psr}:k237<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;?5Y14196~"1;=0::6*932822>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a6t$3`a>23<@;km7d?:b;29 7de28?j7E{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626953=#>:91=;5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn>>?:181>5<7s-8in7lj;I0bb>o6=h0;6)=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576{M0ab?7|^m=1>v*>f`821g=Q9<91>v*9358a?!04;3h0qpsr;h36g?6=,;hi6<;n;W0a`?7|D;hm6o6X>5281!04<3;?7)8<3;37?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`04=<72?0;6=u+2c`950><@;km7)?8b;3:?l72i3:1(?lm:07b?S4el3;p@?li:0yU5`4=:r.:jl4>5`9U505=:r.=?94m;%407?doj51zN1fc<6s_;n>7a6<^8?86?u+6269f>"1;:0i7psr}:kg5?6=,;hi6i?4V3`g>7}K:kl1=vX>e381!7ai3n:7[?:3;0x 3532m1/:>=5d:~yI4em3>pZ?ol:2yU`0<5s-<8>7j>;%1e1?04<2w]h;4={%406?72i2.8j849359~ 3df2890q[<5d09'7c3=>:>0q[j9:3y'264=l91/?k;56268y!0ei3i0q[?i9;0xRa3=:r.=??4k1:&0b0<1;=1v(;ln:0:8yx{P6=:09w)8<4;34?!04;3;<7psrL3`f>4}Q:k>1>vXk5;0x 3552;ki7)=i5;400>{#>kk1=:5r}|9j6{W3f6?4|,8lj6?7l;W367?4|,?9?6<94$710>41ok51zT1f1<5s_n>6?u+620966;=;;|&5fd<6?2wvq6a>f283>!4ej3;m?65rb222>5<4290;w)N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+6269f>"1;:0i7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0i7)8<3;`8yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c136?6=93:11<729q/>ol5749K6d`P5jm0:wA5b9U505=:r.=?94>6:&576<6>2wvqp5f17594?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9?=0Z<;<:3y'262=9?1/:>=5179~yx{5<693:1N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+626953=#>:91=;5r}|8m43d290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;35?!04;3;=7psr}:k222<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:::5Y14196~"1;=0::6*932822>{zut1b=:<50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9524<^8?86?u+626953=#>:91=;5r}|8m412290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9:;W367?4|,?9?6<84$710>40{zut1b=:950;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9521<^8?86?u+626953=#>:91=;5r}|8m41?290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<97;W367?4|,?9?6<84$710>404={%400?713-<8?7?9;|~y>o6?h0;6)P5jm0:wA7`9U505=:r.=?94>6:&576<6>2wvqp5f14f94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5179~yx{j6X>5281!04<3;=7)8<3;35?x{zu2c::=4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8225=Q9<91>v*935822>"1;:0::6sr}|9j537=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;?4V070>7}#>:>1=;5+621953=zutw0e<8=:18'6gd=94053_;>?7g=#>:91n6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi?=850;32>5<7s-8in79:;I0bb>o6=k0;6)P5jm0:wA5c9U505=:r.=?94>6:&576<6>2wvqp5f14a94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5179~yx{6X>5281!04<3;=7)8<3;35?x{zu2c:;84?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8230=Q9<91>v*935822>"1;:0::6sr}|9j520=83.9no4>5`9K6gg<^;ho65281!04<3;=7)8<3;35?x{zu2c:;54?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`823==Q9<91>v*935822>"1;:0::6sr}|9j52?=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:74V070>7}#>:>1=;5+621953=zutw0e<9n:18'6gd=941f3_;>?740<,?986<84}|~?l72l3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:d:T216<5s-<887?9;%407?713twvq6g>5d83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=l1]=8=52z&571<6>2.=?>4>6:~yx=n9:5179'265=9?1vqps4i043>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<;7[?:3;0x 35328<0(;=<:048yx{z3`;==7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;355>P6=:09w)8<4;35?!04;3;=7psr}:k227<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0::?5Y14196~"1;=0i7)8<3;`8yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c137?6=<3:143e3_;>?7g=#>:91n6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1n6*9328a?x{zu2c:::4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8222=Q9<91>v*9358a?!04;3h0qpsr;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{52;294~"5jk0ii6F=ag9j50g=83.9no4>5`98k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn>oj:185>5<7s-8in7?:8:J1ec=#9>h1=45f14c94?"5jk0:9l5Y2cf95~J5jo0:w[?j2;0x 4`f28?j7[?:3;0x 3532k1/:>=5b:~yx=nl90;6)g=zutw0ei?50;&1fgv*9358g?!04;3n0qpsC2cg90~P5ij08w[j::3y'264=l81/?k;56268ySb12;q/:><514c8 6`22?9?7p*9b`827>{Q:hi1?vXk5;0x 3552m;0(>h::717?xPc>38p(;==:07b?!5a=3<8?6s+6cc956=z^;kh6>uYd481!04:3n:7)=i5;400>{Ql?09w)8<2;f3?!5a=3<886s+6cc956=z^8l26?uYd481!04:3n:7)=i5;400>{#>kk1=55r}|9j6dd=83.9no4=ac9U6gb=:rF9nk4>{W3f6?4|,8lj6?om;W367?4|,?9?6<94$710>41ok51zT1f1<5s_n>6?u+62096dd<,:l>6;=;;|&5fd<6?2wvq6g=9b83>!4ej382o6X=be81I4en3;pZ1/:>=5169~yxJ5jl0:w[:>0q)8ma;34?x{z3f;m?7>5$3`a>4`432wi?lo50;194?6|,;hi6?l7;I0bb>o6=k0;6)P5jm0:wA5c9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn>om:182>5<7s-8in755;294~"5jk0<96F=ag9j50d=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1=;5+621953=zutw0e<;l:18'6gd=9o6X>5281!04<3;=7)8<3;35?x{zu2c:::4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9?=0Z<;<:3y'262=9?1/:>=5179~yx{5281!04<3h0(;=<:c9~yx{53;294~"5jk0<96F=ag9j50d=83.9no4>5`9K6gg<^;ho6o6X>5281!04<3;=7)8<3;35?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`0a2<72;0;6=u+2c`9f`=O:hl0e<;n:18'6gd=94={%400?d<,?986o5r}|8yg5bm3:1>7>50z&1fg5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj:l?6=4<:183!4ej38i46F=ag9j50d=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1n6*9328a?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*935820>"1;:0:86sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi?k=50;494?6|,;hi6<;7;I0bb>"6?k0:56g>5`83>!4ej3;>m6X=be82I4en3;pZ4m;|~y>oc83:1(?lm:e28R7dc28qG>oh51zT2a7<5s-;mm7j?;W367?4|,?9?6o5+6219f>{zut1bh<4?:%0af?b63_8ih74}Q9l81>v*>f`8g5>P6=:09w)8<4;f8 3542m1vqpB=bd87S4fk39pZi;52z&5775`9'7c3=>:>0q)8ma;30?xP5ij08w[j::3y'264=l81/?k;56268ySb12;q/:><514c8 6`22?987p*9b`827>{Q:hi1?vXk5;0x 3552m;0(>h::717?xPc>38p(;==:e28 6`22?9?7p*9b`8`?xP6n009w[j::3y'264=l81/?k;56268y!0ei3;37psr;h0bf?6=,;hi6?om;W0a`?4|D;hm6{zuE8ii7?tV3`7>7}Ql<09w)8<2;0bf>"4n<0=?95r$7`b>41k2\:9>4={%400?703-<8?7?8;|~H7db28q]>o:52zTg1?4|,?996?7l;%1e1?04<2w/:oo5169~yx=h9o91<7*=bc82b6=53;294~"5jk09n55G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6o5+6219f>{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+6269f>"1;:0i7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th8i44?:083>5}#:kh1>n<4H3ce?j7a;3:1(?lm:0d0?>{e;lh1<7;50;2x 7de2>?0D?oi;h36f?6=,;hi6<;n;W0a`?7|D;hm6n6X>5281!04<3;;7)8<3;33?x{zu2c:9n4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9=5179~yx{4={%400?773-<8?7??;|~y>o6?;0;6)P5jm0:wA739U505=:r.=?94>6:&576<6>2wvqp5`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e;li1<7950;2x 7de2>?0D?oi;h36f?6=,;hi6<;n;I0ae>P5jm0:wA5c9U505=:r.=?94>0:&576<682wvqp5f14a94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5119~yx{4={%400?773-<8?7??;|~y>o6?;0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc9524<^8?86?u+626955=#>:91==5r}|8m412290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;341>P6=:09w)8<4;33?!04;3;;7psr}:k233<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko51648R4342;q/:>:5179'265=9?1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj:oo6=48:183!4ej3=>7E:5119'265=991vqps4i07`>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 35328:0(;=<:028yx{z3`;=;7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6>>1]=8=52z&571<682.=?>4>0:~yx=n9>81<7*=bc821d=O:kk0Z?lk:0yO6g`=9r\o;74153_;>?746<,?986<>4}|~?l70=3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?85:T216<5s-<887?9;%407?713twvq6g>7783>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:84V070>7}#>:>1=;5+621953=zutw0c4`43_;>?7g=#>:91n6sr}|9~f6cf290?6=4?{%0af?7a:2B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=94m;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn>ki:186>5<7s-8in79:;I0bb>o6=k0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+626954=#>:91=<5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6<94$710>414={%400?703-<8?7?8;|~y>o6?;0;6)P5jm0:wA739U505=:r.=?94>7:&576<6?2wvqp5`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e;o:1<7;50;2x 7de2>?0D?oi;h36f?6=,;hi6<;n;W0a`?7|D;hm6n6X>5281!04<3;<7)8<3;34?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*935823>"1;:0:;6sr}|9j531=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1=:5+621952=zutw0e<9=:18'6gd=94153_;>?741<,?986<94}|~?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887l4$710>g=zutw0qo=i1;297?6=8r.9no4>f39K6d`4m;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d7<729q/>ol5bd9K6d`5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c6a3?6=:3:1N5io1b=8o50;&1fg<6=h10c4`43_;>?7g=#>:91n6sr}|9~f1de29086=4?{%0af?4e02B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=94m;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94>4:&576<6<2wvqp5`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e{M0ab?7|^8o96?u+1gc950g<^8?86?u+6269f>"1;:0i7psr}:kg4?6=,;hi6i>4V3`g>4}K:kl1=vX>e381!7ai3n;7[?:3;0x 3532k1/:>=5b:~yx=nl80;6)a=zutF9nh4;{W0bg?5|^m?1>v*9338g5>"4n<0=?95rVe496~"1;;0:9l5+3g792626?u+6209`4=#;o?1:>:4}Wf5>7}#>:81=8o4$2d6>3543t.=nl4>3:U6de=;r\o97a7<,:l>6;=;;|Tg2?4|,?996i>4$2d6>3533t.=nl4l;|T2b<<5s_n>6?u+6209`4=#;o?1:>:4}%4ae?7?3twv7d4>7:~yI4em3;pZ?l;:3yU`0<5s-<8>7P6=:09w)8<4;34?!04;3;<7psrL3`f>4}Q:k>1>vXk5;0x 3552;3h7)=i5;400>{#>kk1=:5r}|9l5c5=83.9no4>f298yg2fn3:1?7>50z&1fg<5j11C>lh4i07a>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 3532k1/:>=5b:~yx=n9:5b:&576f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571t$3`a>23<@;km7d?:b;29 7de28?j7[4={%400?d<,?986o5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a0g5=83;:6=4?{%0af?123A8jj6g>5c83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1==5+621955=zutw0e<;l:18'6gd=9o6X>5281!04<3;;7)8<3;33?x{zu2c:::4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8222=Q9<91>v*935824>"1;:0:<6sr}|9j524=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:<4V070>7}#>:>1==5+621955=zutw0e<9::18'6gd=94123_;>?746<,?986<>4}|~?l70>3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?86:T216<5s-<887??;%407?773twvq6g>7683>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?>1]=8=52z&571<682.=?>4>0:~yx=n9>21<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko516:8R4342;q/:>:5119'265=991vqps4i05:>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=27[?:3;0x 35328:0(;=<:028yx{z3`;5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6?h1]=8=52z&571<682.=?>4>0:~yx=n943c3_;>?746<,?986<>4}|~?l72m3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`821`=Q9<91>v*935822>"1;:0::6sr}|9j50`=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8h4V070>7}#>:>1=;5+621953=zutw0e<8?:18'6gd=94073_;>?740<,?986<84}|~?l7193:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?91:T216<5s-<887?9;%407?713twvq6g>6383>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>;1]=8=52z&571g=zutw0qo:m4;2954<729q/>ol5749K6d`4={%400?773-<8?7??;|~y>o6=j0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626955=#>:91==5r}|8m400290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<88;W367?4|,?9?6<>4$710>464={%400?773-<8?7??;|~y>o6?<0;6)P5jm0:wA749U505=:r.=?94>0:&576<682wvqp5f16494?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9><0Z<;<:3y'262=991/:>=5119~yx{5281!04<3;;7)8<3;33?x{zu2c:;44?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`823<=Q9<91>v*935824>"1;:0:<6sr}|9j52g=83.9no4>5`9K6gg<^;ho6P5jm0:wA5e9U505=:r.=?94>0:&576<682wvqp5f14g94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;j;W367?4|,?9?6<84$710>404={%400?713-<8?7?9;|~y>o6>90;6)P5jm0:wA619U505=:r.=?94>6:&576<6>2wvqp5f17394?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9?;0Z<;<:3y'262=9?1/:>=5179~yx{5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e:183!4ej3=>7E:5119'265=991vqps4i07`>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:c:T216<5s-<887??;%407?773twvq6g>6683>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>>1]=8=52z&571<682.=?>4>0:~yx=n9>81<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51608R4342;q/:>:5119'265=991vqps4i056>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=>7[?:3;0x 35328:0(;=<:028yx{z3`;<:7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;342>P6=:09w)8<4;33?!04;3;;7psr}:k232<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;:5Y14196~"1;=0:<6*932824>{zut1b=:650;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc952><^8?86?u+626955=#>:91==5r}|8m41>290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<96;W367?4|,?9?6<>4$710>46{zut1b=8j50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?o7[?:3;0x 35328:0(;=<:028yx{z3`;>i7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6=l1]=8=52z&571<6>2.=?>4>6:~yx=n9:5179'265=9?1vqps4i043>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<;7[?:3;0x 35328<0(;=<:048yx{z3`;==7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;355>P6=:09w)8<4;35?!04;3;=7psr}:k227<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0::?5Y14196~"1;=0i7)8<3;`8yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c6a2?6=980;6=u+2c`930=O:hl0e<;m:18'6gd=9n6X>5281!04<3;;7)8<3;33?x{zu2c:9n4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9=5119~yx{6X>5281!04<3;;7)8<3;33?x{zu2c:;84?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8230=Q9<91>v*935824>"1;:0:<6sr}|9j520=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:84V070>7}#>:>1==5+621955=zutw0e<98:18'6gd=94103_;>?746<,?986<>4}|~?l7003:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?88:T216<5s-<887??;%407?773twvq6g>7883>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?01]=8=52z&571<682.=?>4>0:~yx=n9>k1<7*=bc821d=O:kk0Z?lk:0yO6g`=9r\o;741f3_;>?746<,?986<>4}|~?l72l3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`821a=Q9<91>v*935824>"1;:0:<6sr}|9j50c=83.9no4>5`9K6gg<^;ho6j6X>5281!04<3;=7)8<3;35?x{zu2c::=4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8225=Q9<91>v*935822>"1;:0::6sr}|9j537=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;?4V070>7}#>:>1=;5+621953=zutw0e<8=:18'6gd=94053_;>?7g=#>:91n6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi8o?50;494?6|,;hi6o6=k0;6)P5jm0:wA5c9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m400290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<88;W367?4|,?9?6o5+6219f>{zut1b=:<50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9524<^8?86?u+6269f>"1;:0i7psr}:k230<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;85Y14196~"1;=0i7)8<3;`8yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c6aN5io1b=8l50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 35328;0(;=<:038yx{z3`;>o7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571<692.=?>4>1:~yx=n9?=1<7*=bc821d=O:kk0Z?lk:0yO6g`=9r\o;74003_;>?747<,?986{M0ab?7|^m=1>v*>f`8237=Q9<91>v*935825>"1;:0:=6sr}|9j523=83.9no4>5`9K6gg<^;ho65281!04<3;<7)8<3;34?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`5f7<72;0;6=u+2c`9f`=O:hl0e<;n:18'6gd=94={%400?d<,?986o5r}|8yg0e13:1:7>50z&1fg<6=11C>lh4$05a>4?P6=:09w)8<4;`8 3542k1vqps4ie294?"5jk0o<6X=be82I4en3;pZol5d09U6gb=:rF9nk4>{W3f6?4|,8lj6i?4V070>7}#>:>1h6*9328g?x{zD;hn69uY2`a97~Pc=38p(;==:e38 6`22?9?7pXk6;0x 35528?j7)=i5;400>{#>kk1=>5rV3c`>6}Ql<09w)8<2;f2?!5a=3<886sYd781!04:3;>m6*v*9338g5>"4n<0=?95rVe496~"1;;0o<6*7}Ql<09w)8<2;f2?!5a=3<886s+6cc95==zut1b>ll50;&1fg<5ik1]>oj52zN1fc<6s_;n>77ge3_;>?741<,?986<94}|O6gc=9r\9n94={Wf6>7}#>:81>ll4$2d6>3533t.=nl4>7:~y>o51j0;6)P5jm09wA:5169'265=9>1vqpB=bd82S4e<38pZi;52z&577<51j1/?k;56268y!0ei3;<7psr;n3e7?6=,;hi6t$3`a>7d?3A8jj6g>5c83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1n6*9328a?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*9358a?!04;3h0qpsr;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{51;294~"5jk09o?5G2`d8k4`4290/>ol51g18?xd1j?0;6>4?:1y'6gd=?<1C>lh4i07a>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887?9;%407?713twvq6g>5b83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=;5+621953=zutw0c4`43_;>?7g=#>:91n6sr}|9~f3d0290>6=4?{%0af?123A8jj6g>5c83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1=;5+621953=zutw0e<;l:18'6gd=9o6X>5281!04<3;=7)8<3;35?x{zu2c:::4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9?=0Z<;<:3y'262=9?1/:>=5179~yx{4={%400?713-<8?7?9;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d6<729q/>ol51g08L7ga3`;>n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;`8 3542k1vqps4i07`>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 3532k1/:>=5b:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576m7>5$3`a>43f32e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`2f4<72;0;6=u+2c`9f`=O:hl0e<;n:18'6gd=94={%400?d<,?986o5r}|8yg7e03:1:7>50z&1fg<6=11C>lh4$05a>4?P6=:09w)8<4;`8 3542k1vqps4ie294?"5jk0o<6X=be82I4en3;pZol5d09U6gb=:rF9nk4>{W3f6?4|,8lj6i?4V070>7}#>:>1h6*9328g?x{zD;hn69uY2`a97~Pc=38p(;==:e38 6`22?9?7pXk6;0x 35528?j7)=i5;400>{#>kk1=>5rV3c`>6}Ql<09w)8<2;f2?!5a=3<886sYd781!04:3;>m6*v*9338g5>"4n<0=?95rVe496~"1;;0o<6*7}Ql<09w)8<2;f2?!5a=3<886s+6cc95==zut1b>ll50;&1fg<5ik1]>oj52zN1fc<6s_;n>77ge3_;>?741<,?986<94}|O6gc=9r\9n94={Wf6>7}#>:81>ll4$2d6>3533t.=nl4>7:~y>o51j0;6)P5jm09wA:5169'265=9>1vqpB=bd82S4e<38pZi;52z&577<51j1/?k;56268y!0ei3;<7psr;n3e7?6=,;hi6t$3`a>7d?3A8jj6g>5c83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1n6*9328a?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*9358a?!04;3h0qpsr;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{51;294~"5jk09o?5G2`d8k4`4290/>ol51g18?xd6io0;6>4?:1y'6gd=?<1C>lh4i07a>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 3532k1/:>=5b:~yx=n9:5b:&576f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571?0D?oi;h36f?6=,;hi6<;n;I0ae>P5jm0:wA5c9U505=:r.=?94>0:&576<682wvqp5f14a94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6<>4$710>464={%400?773-<8?7??;|~y>o6?;0;6)P5jm0:wA739U505=:r.=?94>0:&576<682wvqp5f16794?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9>?0Z<;<:3y'262=991/:>=5119~yx{5281!04<3;;7)8<3;33?x{zu2c:;54?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`823==Q9<91>v*935824>"1;:0:<6sr}|9j52?=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:74V070>7}#>:>1==5+621955=zutw0e<9n:18'6gd=95281!04<3;;7)8<3;33?x{zu2c:9i4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9=5179~yx{4m;|~y>o6>90;6)P5jm0:wA619U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m405290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<8=;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a5dc=8391<7>t$3`a>4`53A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571g=zutw0c4`43_;>?7g=#>:91n6sr}|9~f4d5290?6=4?{%0af?123A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571<692.=?>4>1:~yx=n943d3_;>?747<,?986{M0ab?7|^m=1>v*>f`8222=Q9<91>v*935823>"1;:0:;6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi=o=50;694?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887?>;%407?763twvq6g>5b83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=<5+621954=zutw0e<88:18'6gd=95281!04<3;<7)8<3;34?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`2f1<72=0;6=u+2c`930=O:hl0e<;m:18'6gd=943e3_;>?747<,?986{M0ab?7|^m=1>v*>f`821f=Q9<91>v*935825>"1;:0:=6sr}|9j531=83.9no4>5`9K6gg<^;ho65281!04<3h0(;=<:c9~yx{54;294~"5jk0<96F=ag9j50d=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1=<5+621954=zutw0e<;l:18'6gd=9o6X>5281!04<3;:7)8<3;32?x{zu2c:::4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9?=0Z<;<:3y'262=9>1/:>=5169~yx{5<2290;w)N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+6269f>"1;:0i7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0i7)8<3;`8yx{z3`;=;7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;353>P6=:09w)8<4;`8 3542k1vqps4i051>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=97[?:3;0x 3532k1/:>=5b:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576m7>5$3`a>43f32e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`7ea<72?0;6=u+2c`950><@;km7)?8b;3:?l72i3:1(?lm:07b?S4el3;p@?li:0yU5`4=:r.:jl4>5`9U505=:r.=?94m;%407?doj51zN1fc<6s_;n>7a6<^8?86?u+6269f>"1;:0i7psr}:kg5?6=,;hi6i?4V3`g>7}K:kl1=vX>e381!7ai3n:7[?:3;0x 3532m1/:>=5d:~yI4em3>pZ?ol:2yU`0<5s-<8>7j>;%1e1?04<2w]h;4={%406?72i2.8j849359~ 3df2890q[<5d09'7c3=>:>0q[j9:3y'264=l91/?k;56268y!0ei3;87pX>f881Sb22;q/:><5d09'7c3=>:>0q)8ma;3;?x{z3`8jn7>5$3`a>7ge3_8ih74}Q9l81>v*>f`81eg=Q9<91>v*935823>"1;:0:;6sr}M0aa?7|^;h?6?uYd481!04:38jn6*ol528a8R7dc2;qG>oh51zT2a7<5s-;mm7<6c:T216<5s-<887?8;%407?703twv@?lj:0yU6g2=:r\o977?d3-9m978<4:'2gg=9>1vqp5`1g194?"5jk0:j>54}c6b=?6=;3:143e3_;>?7g=#>:91n6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1n6*9328a?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`7ed<7280;6=u+2c`96f4<@;km7b?i3;29 7de28l876sm4``94?5=83:p(?lm:678L7ga3`;>n7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571<682.=?>4>0:~yx=n943d3_;>?746<,?986<>4}|~?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887l4$710>g=zutw0qo:n8;297?6=8r.9no485:J1ec=n9:5179'265=9?1vqps4i07`>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 35328<0(;=<:048yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c6ag?6=:3:1N5io1b=8o50;&1fg<6=h10c4`43_;>?7g=#>:91n6sr}|9~f1e5290=6=4?{%0af?7202B9mk5+16`95<=n9!4ej3n;7[4={%400?d<,?986o5r}|8ma7=83.9no4k1:T1fa<5sE8ij7?tV0g1>7}#9ok1h<5Y14196~"1;=0o7)8<3;f8yx{K:ko18vX=ab80Sb22;q/:><5d09'7c3=>:>0q[j9:3y'264=9h::717?x"1jh0:?6sY2`a97~Pc=38p(;==:e38 6`22?9?7pXk6;0x 35528?j7)=i5;407>{#>kk1=>5rV3c`>6}Ql<09w)8<2;f2?!5a=3<886sYd781!04:3n;7)=i5;400>{#>kk1=>5rV0d:>7}Ql<09w)8<2;f2?!5a=3<886s+6cc95==zut1b>ll50;&1fg<5ik1]>oj52zN1fc<6s_;n>77ge3_;>?741<,?986<94}|O6gc=9r\9n94={Wf6>7}#>:81>ll4$2d6>3533t.=nl4>7:~y>o51j0;6)P5jm09wA:5169'265=9>1vqpB=bd82S4e<38pZi;52z&577<51j1/?k;56268y!0ei3;<7psr;n3e7?6=,;hi6t$3`a>7d?3A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571g=zutw0c4`43_;>?7g=#>:91n6sr}|9~f1da290:6=4?{%0af?4d:2B9mk5`1g194?"5jk0:j>54}c6`4?6=;3:1N5io1b=8l50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 35328:0(;=<:028yx{z3`;>o7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571<682.=?>4>0:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;35?!04;3;=7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0::6*932822>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a17?=8381<7>t$3`a>gc<@;km7d?:a;29 7de28?j76a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&5710;6?4?:1y'6gd=jl1C>lh4i07b>5<#:kh1=8o4;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{52;294~"5jk0ii6F=ag9j50g=83.9no4>5`98k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn8=9:185>5<7s-8in7?:8:J1ec=#9>h1=45f14c94?"5jk0:9l5Y2cf95~J5jo0:w[?j2;0x 4`f28?j7[?:3;0x 3532k1/:>=5b:~yx=nl90;6)g=zutw0ei?50;&1fgv*9358g?!04;3n0qpsC2cg90~P5ij08w[j::3y'264=l81/?k;56268ySb12;q/:><514c8 6`22?9?7p*9b`827>{Q:hi1?vXk5;0x 3552m;0(>h::717?xPc>38p(;==:07b?!5a=3<8?6s+6cc956=z^;kh6>uYd481!04:3n:7)=i5;400>{Ql?09w)8<2;f3?!5a=3<886s+6cc9g>{Q9o31>vXk5;0x 3552m;0(>h::717?x"1jh0:46sr}:k1eg<72-8in77}#9ok1>ll4V070>7}#>:>1=:5+621952=zutF9nh4>{W0a0?4|^m?1>v*93381eg=#;o?1:>:4}%4ae?703twv7d<6c;29 7de2;3h7[4>7:~yI4em3;pZ?l;:3yU`0<5s-<8>7<6c:&0b0<1;=1v(;ln:058yx{>l4?:283>5}#:kh1>o64H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887l4$710>g=zutw0e<;l:18'6gd=943d3_;>?7g=#>:91n6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi9?l50;394?6|,;hi6?m=;I0bb>i6n:0;6)=zj<8o6=4<:183!4ej3=>7Eo7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;`8 3542k1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj<8n6=4<:183!4ej3=>7E{zut1b=8m50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 35328<0(;=<:048yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c71b?6=;3:1N5io1b=8l50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 35328<0(;=<:048yx{z3`;>o7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;35?!04;3;=7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th>>n4?:583>5}#:kh1=k<4H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887l4$710>g=zutw0e<;l:18'6gd=943d3_;>?7g=#>:91n6sr}|9j531=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1n6*9328a?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`674<72?0;6=u+2c`930=O:hl0e<;m:18'6gd=9n6X>5281!04<3;:7)8<3;32?x{zu2c:9n4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9=5109~yx{4={%400?763-<8?7?>;|~y>o6?;0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc9524<^8?86?u+626952=#>:91=:5r}|8m412290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9:;W367?4|,?9?6<94$710>414={%400?d<,?986o5r}|8yg34:3:1;7>50z&1fg<0=2B9mk5f14`94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?647{zut1b=;950;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28<<7[?:3;0x 35328;0(;=<:038yx{z3`;<>7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6?;1]=8=52z&571<692.=?>4>1:~yx=n9>?1<7*=bc821d=O:kk0Z?lk:0yO6g`=9r\o;74123_;>?741<,?986<94}|~?l70>3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?86:T216<5s-<887?8;%407?703twvq6a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571lh4i07a>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887?>;%407?763twvq6g>5b83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=<5+621954=zutw0e<88:18'6gd=95281!04<3;:7)8<3;32?x{zu2c:;?4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9>80Z<;<:3y'262=9>1/:>=5169~yx{6=4+2c`950g<^;ho65281!04<3;<7)8<3;34?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`671<72=0;6=u+2c`95c4<@;km7d?:b;29 7de28?j7[4={%400?d<,?986o5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6o5+6219f>{zut1b=;950;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9531<^8?86?u+6269f>"1;:0i7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th=>>4?:383>5}#:kh1nh5G2`d8m43f290/>ol514c8?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887l4$710>g=zutw0qo8=a;292?6=8r.9no4>599K6d`<,8=i6<74i07b>5<#:kh1=8o4V3`g>4}K:kl1=vX>e381!7ai3;>m6X>5281!04<3h0(;=<:c9~yx{P5jm0:wA:18'6gd=l81]>oj52zN1fc<6s_;n>7a7<^8?86?u+6269`>"1;:0o7psrL3`f>1}Q:hi1?vXk5;0x 3552m;0(>h::717?xPc>38p(;==:07b?!5a=3<886s+6cc956=z^;kh6>uYd481!04:3n:7)=i5;400>{Ql?09w)8<2;36e>"4n<0=?>5r$7`b>45v*9338g4>"4n<0=?95r$7`b>f=z^8l26?uYd481!04:3n:7)=i5;400>{#>kk1=55r}|9j6dd=83.9no4=ac9U6gb=:rF9nk4>{W3f6?4|,8lj6?om;W367?4|,?9?6<94$710>41ok51zT1f1<5s_n>6?u+62096dd<,:l>6;=;;|&5fd<6?2wvq6g=9b83>!4ej382o6X=be81I4en3;pZ1/:>=5169~yxJ5jl0:w[:>0q)8ma;34?x{z3f;m?7>5$3`a>4`432wi:?:50;194?6|,;hi6?l7;I0bb>o6=k0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+6269f>"1;:0i7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0i7)8<3;`8yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c411?6=93:147=83:p(?lm:678L7ga3`;>n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;33?!04;3;;7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0:<6*932824>{zut1b=;950;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9531<^8?86?u+626955=#>:91==5r}|8m415290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9=;W367?4|,?9?6<>4$710>464={%400?773-<8?7??;|~y>o6??0;6)P5jm0:wA779U505=:r.=?94>0:&576<682wvqp5f16594?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9>=0Z<;<:3y'262=991/:>=5119~yx{P5jm0:wA789U505=:r.=?94>0:&576<682wvqp5f16c94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9>k0Z<;<:3y'262=9?1/:>=5179~yx{4m;|~y>o6=o0;6)P5jm0:wA5g9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m406290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<8>;W367?4|,?9?6o5+6219f>{zut1b=;<50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9534<^8?86?u+6269f>"1;:0i7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th=>54?:0394?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887??;%407?773twvq6g>5b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571<682.=?>4>0:~yx=n9?=1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51758R4342;q/:>:5119'265=991vqps4i051>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=97[?:3;0x 35328:0(;=<:028yx{z3`;<97>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;341>P6=:09w)8<4;33?!04;3;;7psr}:k233<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;;5Y14196~"1;=0:<6*932824>{zut1b=:950;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9521<^8?86?u+626955=#>:91==5r}|8m41?290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<97;W367?4|,?9?6<>4$710>464={%400?713-<8?7?9;|~y>o6?h0;6)P5jm0:wA7`9U505=:r.=?94>6:&576<6>2wvqp5f14f94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=94m;|~y>o6=l0;6)P5jm0:wA5d9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m407290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<8?;W367?4|,?9?6o5+6219f>{zut1b=;?50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9537<^8?86?u+6269f>"1;:0i7psr}:k227<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0::?5Y14196~"1;=0i7)8<3;`8yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c412?6=;3:143e3_;>?7g=#>:91n6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1n6*9328a?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`56g<72:0;6=u+2c`930=O:hl0e<;m:18'6gd=943e3_;>?746<,?986<>4}|~?l72k3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:c:T216<5s-<887??;%407?773twvq6a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571lh4i07b>5<#:kh1=8o4;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{52;294~"5jk0ii6F=ag9j50g=83.9no4>5`98k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn8jn:181>5<7s-8in7lj;I0bb>o6=h0;6)=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5f:&5764m;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8yg3c93:1=7>50z&1fg<5k;1C>lh4o0d0>5<#:kh1=k=4;|`6`7<72:0;6=u+2c`930=O:hl0e<;m:18'6gd=943e3_;>?7g=#>:91n6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1n6*9328a?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`6`1<72?0;6=u+2c`930=O:hl0e<;m:18'6gd=9n6X>5281!04<3;:7)8<3;32?x{zu2c:9n4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9=5109~yx{4={%400?763-<8?7?>;|~y>o6?;0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc9524<^8?86?u+626952=#>:91=:5r}|8m412290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9:;W367?4|,?9?6<94$710>414={%400?d<,?986o5r}|8yg3c=3:1:7>50z&1fg<0=2B9mk5f14`94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?647{zut1b=;950;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28<<7[?:3;0x 35328;0(;=<:038yx{z3`;<>7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6?;1]=8=52z&571<6?2.=?>4>7:~yx=n9>?1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51678R4342;q/:>:5169'265=9>1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj7E:5109'265=981vqps4i07`>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:c:T216<5s-<887?>;%407?763twvq6g>6683>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1=<5+621954=zutw0e<9=:18'6gd=96X>5281!04<3;<7)8<3;34?x{zu2c:;84?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8230=Q9<91>v*935823>"1;:0:;6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi9i950;594?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`821g=Q9<91>v*935825>"1;:0:=6sr}|9j50e=83.9no4>5`9K6gg<^;ho6P5jm0:wA669U505=:r.=?94>1:&576<692wvqp5f16094?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<9=;W367?4|,?9?647{zut1b=:850;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9520<^8?86?u+626952=#>:91=:5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn8j7:184>5<7s-8in79:;I0bb>o6=k0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+626954=#>:91=<5r}|8m43d290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;32?!04;3;:7psr}:k222<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko51758R4342;q/:>:5109'265=981vqps4i051>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?82:T216<5s-<887?8;%407?703twvq6g>7483>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?<1]=8=52z&571<6?2.=?>4>7:~yx=n9><1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51648R4342;q/:>:5169'265=9>1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj6F=ag9j50d=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1n6*9328a?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*9358a?!04;3h0qpsr;h353?6=,;hi6<;n;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{?0Z<;<:3y'262=j2.=?>4m;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d7<729q/>ol5bd9K6d`5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c70`?6=:3:1N5io1b=8o50;&1fg<6=h10c4`43_;>?7g=#>:91n6sr}|9~f02329096=4?{%0af?db3A8jj6g>5`83>!4ej3;>m65`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e==h1<7=50;2x 7de2;h37Eo7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;37?!04;3;?7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th>8l4?:783>5}#:kh1=864H3ce?!70j3;27d?:a;29 7de28?j7[ol5d19U6gb=9rF9nk4>{W3f6?4|,8lj6i>4V070>7}#>:>1n6*9328a?x{zu2co=7>5$3`a>a7<^;ho6?uC2cd95~P6m;09w)?ia;f2?S72;38p(;=;:e9'265=l2wvqA7?:a:&0b0<1;=1v(;ln:018yS4fk39pZi;52z&5775`9'7c3=>:90q)8ma;30?xP5ij08w[j::3y'264=l81/?k;56268ySb12;q/:><5d19'7c3=>:>0q)8ma;a8yS7a138pZi;52z&5775<#:kh1>ll4V3`g>7}K:kl1=vX>e381!7ai38jn6X>5281!04<3;<7)8<3;34?x{zD;hn64m50;&1fg<51j1]>oj52zN1fc<6s_;n>77?d3_;>?741<,?986<94}|O6gc=9r\9n94={Wf6>7}#>:81>4m4$2d6>3533t.=nl4>7:~y>i6n:0;6)=zj<9m6=4<:183!4ej38i46F=ag9j50d=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1n6*9328a?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*9358a?!04;3h0qpsr;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{51;294~"5jk09o?5G2`d8k4`4290/>ol51g18?xd2<;0;694?:1y'6gd=?<1C>lh4i07a>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887?9;%407?713twvq6g>5b83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=;5+621953=zutw0e<88:18'6gd=94003_;>?740<,?986<84}|~?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887l4$710>g=zutw0qo;;3;291?6=8r.9no485:J1ec=n943e3_;>?740<,?986<84}|~?l72k3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:c:T216<5s-<887?9;%407?713twvq6g>6683>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1=;5+621953=zutw0e<9=:18'6gd=96X>5281!04<3;=7)8<3;35?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`604<72:0;6=u+2c`95c4<@;km7d?:b;29 7de28?j7[4={%400?d<,?986o5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a113=83<1<7>t$3`a>23<@;km7d?:b;29 7de28?j7E{zut1b=8m50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 35328;0(;=<:038yx{z3`;=;7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6>>1]=8=52z&571<692.=?>4>1:~yx=n9>81<7*=bc821d=O:kk0Z?lk:0yO6g`=9r\o;74153_;>?741<,?986<94}|~?l70=3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?85:T216<5s-<887?8;%407?703twvq6a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571lh4i07a>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887?>;%407?763twvq6g>5b83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=<5+621954=zutw0e<88:18'6gd=95281!04<3;:7)8<3;32?x{zu2c:;?4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9>80Z<;<:3y'262=9>1/:>=5169~yx{6=4+2c`950g<^;ho65281!04<3h0(;=<:c9~yx{56;294~"5jk0<96F=ag9j50d=83.9no4>5`9K6gg<^;ho6P5jm0:wA5b9U505=:r.=?94>1:&576<692wvqp5f17594?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<88;W367?4|,?9?647{zut1b=:;50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9523<^8?86?u+626952=#>:91=:5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn8:7:187>5<7s-8in7?i2:J1ec=n9:5b:&5765b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571g=zutw0c4`43_;>?7g=#>:91n6sr}|9~f72>29096=4?{%0af?db3A8jj6g>5`83>!4ej3;>m65`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e:=l1<7<50;2x 7de2ko0D?oi;h36e?6=,;hi6<;n;:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0m7)8<3;d8yx{z3th98l4?:283>5}#:kh1>o64H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887l4$710>g=zutw0e<;l:18'6gd=943d3_;>?7g=#>:91n6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi>9l50;394?6|,;hi6?m=;I0bb>i6n:0;6)=zj;>o6=4<:183!4ej3=>7E{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626953=#>:91=;5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn?:j:180>5<7s-8in79:;I0bb>o6=k0;6)P5jm0:wA5c9U505=:r.=?94>0:&576<682wvqp5f14a94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6<84$710>404={%400?d<,?986o5r}|8yg43k3:1?7>50z&1fg<6n;1C>lh4i07a>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 3532k1/:>=5b:~yx=n9:5b:&576f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571lh4i07b>5<#:kh1=8o4;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{h7>52;294~"5jk0ii6F=ag9j50g=83.9no4>5`98k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn88=:181>5<7s-8in7lj;I0bb>o6=h0;6)=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&5769:k21d<72-8in7?:a:T1fa<6sE8ij7?tV0g1>7}#9ok1=8o4V070>7}#>:>1n6*9328a?x{zu2co<7>5$3`a>a6<^;ho6!4ej3n:7[4={%400?b<,?986i5r}|N1f`<3s_8jo7=tVe796~"1;;0o=6*v*933821d=#;o?1:>:4}%4ae?743t\9mn4<{Wf6>7}#>:81h<5+3g792626;=<;|&5fd<6;2w]>lm53zTg1?4|,?996i?4$2d6>3533t\o:7a6<,:l>6;=;;|&5fd7}#>:81h<5+3g792627:&576<6?2wvqA5<#:kh1>4m4V3`g>7}K:kl1=vX>e381!7ai382o6X>5281!04<3;<7)8<3;34?x{zD;hn64m;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d4<729q/>ol52b08L7ga3f;m?7>5$3`a>4`432wi9;?50;194?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887l4$710>g=zutw0e<;l:18'6gd=943d3_;>?7g=#>:91n6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi9;=50;694?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887?>;%407?763twvq6g>5b83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=:5+621952=zutw0e<88:18'6gd=94003_;>?741<,?986<94}|~?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887l4$710>g=zutw0qo;94;290?6=8r.9no485:J1ec=n943e3_;>?747<,?9866683>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>>1]=8=52z&571<6?2.=?>4>7:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;34?!04;3;<7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0:;6*932823>{zut1b=;950;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9531<^8?86?u+626952=#>:91=:5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn889:187>5<7s-8in7?i2:J1ec=n9:5b:&5765b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571g=zutw0c4`43_;>?7g=#>:91n6sr}|9~f32629096=4?{%0af?db3A8jj6g>5`83>!4ej3;>m65`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e>=k1<7850;2x 7de28?37E{M0ab?7|^8o96?u+1gc950g<^8?86?u+6269f>"1;:0i7psr}:kg4?6=,;hi6i>4V3`g>4}K:kl1=vX>e381!7ai3n;7[?:3;0x 3532k1/:>=5b:~yx=nl80;6)a=zutF9nh4;{W0bg?5|^m?1>v*9338g5>"4n<0=?95rVe496~"1;;0:9l5+3g792626?u+6209`4=#;o?1:>:4}Wf5>7}#>:81=8o4$2d6>3543t.=nl4>3:U6de=;r\o97a7<,:l>6;=;;|Tg2?4|,?996i>4$2d6>3533t.=nl4l;|T2b<<5s_n>6?u+6209`4=#;o?1:>:4}%4ae?7?3twv7d4>7:~yI4em3;pZ?l;:3yU`0<5s-<8>7P6=:09w)8<4;34?!04;3;<7psrL3`f>4}Q:k>1>vXk5;0x 3552;3h7)=i5;400>{#>kk1=:5r}|9l5c5=83.9no4>f298yg03:3:1?7>50z&1fg<5j11C>lh4i07a>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 3532k1/:>=5b:~yx=n9:5b:&576f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571t$3`a>23<@;km7d?:b;29 7de28?j7[4={%400?713-<8?7?9;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94>6:&576<6>2wvqp5`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e>=<1<7950;2x 7de2>?0D?oi;h36f?6=,;hi6<;n;I0ae>P5jm0:wA5c9U505=:r.=?94>0:&576<682wvqp5f14a94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6<>4$710>46{zut1b=:<50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9524<^8?86?u+626953=#>:91=;5r}|8m412290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9:;W367?4|,?9?6<84$710>402\:9>4={%400?713-<8?7?9;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d2<729q/>ol5749K6d`4={%400?773-<8?7??;|~y>o6=j0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626955=#>:91==5r}|8m400290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<88;W367?4|,?9?6<84$710>404={%400?713-<8?7?9;|~y>o6?<0;6)P5jm0:wA749U505=:r.=?94>6:&576<6>2wvqp5f16494?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9><0Z<;<:3y'262=9?1/:>=5179~yx{5=5179~yx{4={%400?713-<8?7?9;|~y>o6>>0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc9531<^8?86?u+626953=#>:91=;5r}|8m415290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9=;W367?4|,?9?6<84$710>40{zut1b=:850;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28==7[?:3;0x 35328<0(;=<:048yx{z3`;<;7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6?>1]=8=52z&571<6>2.=?>4>6:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576{M0ab?7|^m=1>v*>f`821g=Q9<91>v*9358a?!04;3h0qpsr;h36g?6=,;hi6<;n;W0a`?7|D;hm6o6X>5281!04<3h0(;=<:c9~yx{80Z<;<:3y'262=j2.=?>4m;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d7<729q/>ol5bd9K6d`5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c6f2?6=>3:1ol514c8R7dc28qG>oh51zT2a7<5s-;mm7?:a:T216<5s-<887l4$710>g=zutw0ei>50;&1fg{M0ab?7|^8o96?u+1gc9`5=Q9<91>v*9358a?!04;3h0qpsr;hf2>5<#:kh1h<5Y2cf96~J5jo0:w[?j2;0x 4`f2m;0Z<;<:3y'262=l2.=?>4k;|~H7db2=q]>lm53zTg1?4|,?996i?4$2d6>3533t\o:743f3-9m978<4:'2gg=9:1vZ?ol:2yU`0<5s-<8>7j>;%1e1?04<2w]h;4={%406?72i2.8j849329~ 3df2890q[7j>;%1e1?04<2w/:oo5199~yx=n:hh1<7*=bc81eg=Q:kn1>vB=bg82S7b:38p(h::717?x"1jh0:;6sr}:k1=f<72-8in7<6c:T1fa<5sE8ij7?tV0g1>7}#9ok1>4m4V070>7}#>:>1=:5+621952=zutF9nh4>{W0a0?4|^m?1>v*93381=f=#;o?1:>:4}%4ae?703twv7b?i3;29 7de28l876sm4d294?5=83:p(?lm:3`;?M4fn2c:9o4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821g=Q9<91>v*9358a?!04;3h0qpsr;h36g?6=,;hi6<;n;W0a`?7|D;hm6o6X>5281!04<3h0(;=<:c9~yx{5<6290;w)N5io1d=k=50;&1fg<6n:10qo:j3;297?6=8r.9no485:J1ec=n9:5179'265=9?1vqps4i07`>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 35328<0(;=<:048yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c6f0?6=;3:1N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+626953=#>:91=;5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6<84$710>404={%400?d<,?986o5r}|8yg2b:3:1?7>50z&1fg<6n;1C>lh4i07a>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 3532k1/:>=5b:~yx=n9:5b:&576f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&5710;6?4?:1y'6gd=jl1C>lh4i07b>5<#:kh1=8o4;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{nj7>52;294~"5jk0ii6F=ag9j50g=83.9no4>5`98k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn9h;:185>5<7s-8in7?:8:J1ec=#9>h1=45f14c94?"5jk0:9l5Y2cf95~J5jo0:w[?j2;0x 4`f28?j7[?:3;0x 3532k1/:>=5b:~yx=nl90;6)g=zutw0ei?50;&1fgv*9358g?!04;3n0qpsC2cg90~P5ij08w[j::3y'264=l81/?k;56268ySb12;q/:><514c8 6`22?9?7p*9b`827>{Q:hi1?vXk5;0x 3552m;0(>h::717?xPc>38p(;==:07b?!5a=3<8?6s+6cc956=z^;kh6>uYd481!04:3n:7)=i5;400>{Ql?09w)8<2;f3?!5a=3<886s+6cc9g>{Q9o31>vXk5;0x 3552m;0(>h::717?x"1jh0:46sr}:k1eg<72-8in77}#9ok1>ll4V070>7}#>:>1=:5+621952=zutF9nh4>{W0a0?4|^m?1>v*93381eg=#;o?1:>:4}%4ae?703twv7d<6c;29 7de2;3h7[4>7:~yI4em3;pZ?l;:3yU`0<5s-<8>7<6c:&0b0<1;=1v(;ln:058yx{5}#:kh1>o64H3ce?l72j3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`821g=Q9<91>v*9358a?!04;3h0qpsr;h36g?6=,;hi6<;n;W0a`?7|D;hm6o6X>5281!04<3h0(;=<:c9~yx{5<6290;w)N5io1d=k=50;&1fg<6n:10qo:jb;297?6=8r.9no485:J1ec=n9:5b:&5765b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571g=zutw0qo:jc;297?6=8r.9no485:J1ec=n9:5179'265=9?1vqps4i07`>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:c:T216<5s-<887?9;%407?713twvq6a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&5714?:1y'6gd=?<1C>lh4i07a>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 35328<0(;=<:048yx{z3`;>o7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;35?!04;3;=7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th?ih4?:583>5}#:kh1;85G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6<84$710>40{zut1b=;950;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9531<^8?86?u+626953=#>:91=;5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn9kn:186>5<7s-8in7?i2:J1ec=n9:5b:&5765b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571g=zutw0e<9=:18'6gd=94153_;>?7g=#>:91n6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi8k>50;194?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887?>;%407?763twvq6g>5b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571<6?2.=?>4>7:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;32?!04;3;:7psr}:k21f<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko514a8R4342;q/:>:5169'265=9>1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj=l96=4<:183!4ej3;m>6F=ag9j50d=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1n6*9328a?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*9358a?!04;3h0qpsr;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{52;294~"5jk0ii6F=ag9j50g=83.9no4>5`98k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn96=:185>5<7s-8in7?:8:J1ec=#9>h1=l5f14c94?"5jk0:9l5Y2cf95~J5jo0:w[?j2;0x 4`f28?j7[?:3;0x 3532k1/:>=5b:~yx=nl90;6)g=zutw0ei?50;&1fgv*9358g?!04;3n0qpsC2cg90~P5ij08w[j::3y'264=l81/?k;56268ySb12;q/:><514c8 6`22?9?7p*9b`827>{Q:hi1?vXk5;0x 3552m;0(>h::717?xPc>38p(;==:07b?!5a=3<8?6s+6cc956=z^;kh6>uYd481!04:3n:7)=i5;400>{Ql?09w)8<2;f3?!5a=3<886s+6cc9g>{Q9o31>vXk5;0x 3552m;0(>h::717?x"1jh0:46sr}:k1eg<72-8in77}#9ok1>ll4V070>7}#>:>1=:5+621952=zutF9nh4>{W0a0?4|^m?1>v*93381eg=#;o?1:>:4}%4ae?703twv7d<6c;29 7de2;3h7[4>7:~yI4em3;pZ?l;:3yU`0<5s-<8>7<6c:&0b0<1;=1v(;ln:058yx{5}#:kh1>o64H3ce?l72j3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`821g=Q9<91>v*9358a?!04;3h0qpsr;h36g?6=,;hi6<;n;W0a`?7|D;hm6o6X>5281!04<3h0(;=<:c9~yx{5<6290;w)N5io1d=k=50;&1fg<6n:10qo:8e;297?6=8r.9no485:J1ec=n943e3_;>?740<,?986<84}|~?l72k3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*935822>"1;:0::6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi8:h50;794?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`821g=Q9<91>v*935822>"1;:0::6sr}|9j50e=83.9no4>5`9K6gg<^;ho65281!04<3;=7)8<3;35?x{zu2c:;?4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9>80Z<;<:3y'262=9?1/:>=5179~yx{5<2290;w)=5179~yx{4={%400?713-<8?7?9;|~y>o6>>0;6)P5jm0:wA669U505=:r.=?94>6:&576<6>2wvqp5f16094?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<9=;W367?4|,?9?6<84$710>404={%400?d<,?986o5r}|8yg20l3:187>50z&1fg<6n;1C>lh4i07a>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 3532k1/:>=5b:~yx=n9:5b:&5766683>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>>1]=8=52z&571g=zutw0qo::d;296?6=8r.9no4me:J1ec=n95<1290;w)N5io1/=:l5189j50g=83.9no4>5`9U6gb=9rF9nk4>{W3f6?4|,8lj6<;n;W367?4|,?9?6o5+6219f>{zut1bh=4?:%0af?b73_8ih7?tL3`e>4}Q9l81>v*>f`8g4>P6=:09w)8<4;`8 3542k1vqps4ie394?"5jk0o=6X=be81I4en3;pZ7}#>:81h<5+3g792626;=;;|&5fd<6;2w]>lm53zTg1?4|,?996i?4$2d6>3533t\o:743f3-9m978<3:'2gg=9:1vZ?ol:2yU`0<5s-<8>7j>;%1e1?04<2w]h;4={%406?b73-9m978<4:'2gg=k2w]=k752zTg1?4|,?996i?4$2d6>3533t.=nl4>8:~y>o5ik0;6)P5jm09wA:5169'265=9>1vqpB=bd82S4e<38pZi;52z&577<5ik1/?k;56268y!0ei3;<7psr;h0:g?6=,;hi6?7l;W0a`?4|D;hm6{zuE8ii7?tV3`7>7}Ql<09w)8<2;0:g>"4n<0=?95r$7`b>416<729q/>ol52c:8L7ga3`;>n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;`8 3542k1vqps4i07`>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 3532k1/:>=5b:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&5764?:%0af?7a;21vn98>:180>5<7s-8in79:;I0bb>o6=k0;6)P5jm0:wA5c9U505=:r.=?94>6:&576<6>2wvqp5f14a94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5179~yx{5<4290;w){M0ab?7|^m=1>v*>f`821g=Q9<91>v*935822>"1;:0::6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=;5+621953=zutw0c4`43_;>?7g=#>:91n6sr}|9~f104290?6=4?{%0af?123A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571<6>2.=?>4>6:~yx=n943d3_;>?740<,?986<84}|~?l71?3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`8222=Q9<91>v*935822>"1;:0::6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi8;>50;694?6|,;hi6o6=k0;6)P5jm0:wA5c9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m400290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<88;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a7ce=8381<7>t$3`a>gc<@;km7d?:a;29 7de28?j76a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&5713`;>m7>5$3`a>43f3_8ih7?tL3`e>4}Q9l81>v*>f`821d=Q9<91>v*9358a?!04;3h0qpsr;hf3>5<#:kh1h=5Y2cf95~J5jo0:w[?j2;0x 4`f2m:0Z<;<:3y'262=j2.=?>4m;|~y>oc93:1(?lm:e38R7dc2;qG>oh51zT2a7<5s-;mm7j>;W367?4|,?9?6i5+6219`>{zuE8ii7:tV3c`>6}Ql<09w)8<2;f2?!5a=3<886sYd781!04:3;>m6*v*9338g5>"4n<0=?95rVe496~"1;;0:9l5+3g792656?u+6209`4=#;o?1:>:4}Wf5>7}#>:81h=5+3g79262v*9338g5>"4n<0=?95r$7`b>4>4={%400?703-<8?7?8;|~H7db28q]>o:52zTg1?4|,?996?om;%1e1?04<2w/:oo5169~yx=n:0i1<7*=bc81=f=Q:kn1>vB=bg82S7b:38p(h::717?x"1jh0:;6sr}:m2b6<72-8in7?i3:9~f6`c29086=4?{%0af?4e02B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=94m;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8yg5am3:1=7>50z&1fg<5k;1C>lh4o0d0>5<#:kh1=k=4;|`0bc<72:0;6=u+2c`930=O:hl0e<;m:18'6gd=943e3_;>?746<,?986<>4}|~?l72k3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:c:T216<5s-<887??;%407?773twvq6a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571lh4i07b>5<#:kh1=8o4;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{:47>53;294~"5jk09n55G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6o5+6219f>{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626951=#>:91=95r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn9?8:185>5<7s-8in7?:8:J1ec=#9>h1=45f14c94?"5jk0:9l5Y2cf95~J5jo0:w[?j2;0x 4`f28?j7[?:3;0x 3532k1/:>=5b:~yx=nl90;6)g=zutw0ei?50;&1fgv*9358g?!04;3n0qpsC2cg90~P5ij08w[j::3y'264=l81/?k;56268ySb12;q/:><514c8 6`22?9?7p*9b`827>{Q:hi1?vXk5;0x 3552m;0(>h::717?xPc>38p(;==:07b?!5a=3<8?6s+6cc956=z^;kh6>uYd481!04:3n:7)=i5;400>{Ql?09w)8<2;f3?!5a=3<886s+6cc9g>{Q9o31>vXk5;0x 3552m;0(>h::717?x"1jh0:46sr}:k1eg<72-8in77}#9ok1>ll4V070>7}#>:>1=:5+621952=zutF9nh4>{W0a0?4|^m?1>v*93381eg=#;o?1:>:4}%4ae?703twv7d<6c;29 7de2;3h7[4>7:~yI4em3;pZ?l;:3yU`0<5s-<8>7<6c:&0b0<1;=1v(;ln:058yx{5}#:kh1>o64H3ce?l72j3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`821g=Q9<91>v*9358a?!04;3h0qpsr;h36g?6=,;hi6<;n;W0a`?7|D;hm6o6X>5281!04<3h0(;=<:c9~yx{5<6290;w)N5io1d=k=50;&1fg<6n:10qo:>3;290?6=8r.9no485:J1ec=n9:5179'265=9?1vqps4i07`>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:c:T216<5s-<887??;%407?773twvq6g>6683>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>>1]=8=52z&571<6>2.=?>4>6:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576n7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571<6>2.=?>4>6:~yx=n943d3_;>?740<,?986<84}|~?l71?3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`8222=Q9<91>v*935822>"1;:0::6sr}|9j524=83.9no4>5`9K6gg<^;ho65281!04<3;;7)8<3;33?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`750<72?0;6=u+2c`930=O:hl0e<;m:18'6gd=9n6X>5281!04<3;=7)8<3;35?x{zu2c:9n4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9=5179~yx{4={%400?713-<8?7?9;|~y>o6?;0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc9524<^8?86?u+626953=#>:91=;5r}|8m412290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;341>P6=:09w)8<4;35?!04;3;=7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th?=?4?:583>5}#:kh1=k<4H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887l4$710>g=zutw0e<;l:18'6gd=943d3_;>?7g=#>:91n6sr}|9j531=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1n6*9328a?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`723<72;0;6=u+2c`9f`=O:hl0e<;n:18'6gd=94={%400?d<,?986o5r}|8yg21j3:1:7>50z&1fg<6=11C>lh4$05a>4?P6=:09w)8<4;`8 3542k1vqps4ie294?"5jk0o<6X=be82I4en3;pZol5d09U6gb=:rF9nk4>{W3f6?4|,8lj6i?4V070>7}#>:>1h6*9328g?x{zD;hn69uY2`a97~Pc=38p(;==:e38 6`22?9?7pXk6;0x 35528?j7)=i5;400>{#>kk1=>5rV3c`>6}Ql<09w)8<2;f2?!5a=3<886sYd781!04:3;>m6*v*9338g5>"4n<0=?95rVe496~"1;;0o<6*7}Ql<09w)8<2;f2?!5a=3<886s+6cc95==zut1b>ll50;&1fg<5ik1]>oj52zN1fc<6s_;n>77ge3_;>?741<,?986<94}|O6gc=9r\9n94={Wf6>7}#>:81>ll4$2d6>3533t.=nl4>7:~y>o51j0;6)P5jm09wA:5169'265=9>1vqpB=bd82S4e<38pZi;52z&577<51j1/?k;56268y!0ei3;<7psr;n3e7?6=,;hi6t$3`a>7d?3A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571g=zutw0c4`43_;>?7g=#>:91n6sr}|9~f10?290:6=4?{%0af?4d:2B9mk5`1g194?"5jk0:j>54}c65=?6=;3:1N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+626953=#>:91=;5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6<84$710>404={%400?d<,?986o5r}|8yg0f=3:1>7>50z&1fg5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj?h:6=49:183!4ej3;>46F=ag9'52d=9h1b=8o50;&1fg<6=h1]>oj51zN1fc<6s_;n>743f3_;>?7g=#>:91n6sr}|9j`5<72-8in7j?;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{P5jm09wAok54zT1ef<4s_n>6?u+6209`4=#;o?1:>:4}Wf5>7}#>:81=8o4$2d6>3533t.=nl4>3:U6de=;r\o97a7<,:l>6;=;;|Tg2?4|,?996<;n;%1e1?04;2w/:oo5129~R7gd2:q]h84={%406?b63-9m978<4:U`3<5s-<8>7j?;%1e1?04<2w/:oo5c:U5c?=:r\o97a7<,:l>6;=;;|&5fd<602wvq6g=ac83>!4ej38jn6X=be81I4en3;pZ1/:>=5169~yxJ5jl0:w[:>0q)8ma;34?x{z3`82o7>5$3`a>7?d3_8ih74}Q9l81>v*>f`81=f=Q9<91>v*935823>"1;:0:;6sr}M0aa?7|^;h?6?uYd481!04:382o6*ol51g18?xd1i?0;6>4?:1y'6gd=:k20D?oi;h36f?6=,;hi6<;n;I0ae>P5jm0:wA5c9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn;o8:182>5<7s-8in753;294~"5jk0<96F=ag9j50d=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1n6*9328a?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*9358a?!04;3h0qpsr;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{53;294~"5jk0<96F=ag9j50d=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1n6*9328a?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*9358a?!04;3h0qpsr;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{54;294~"5jk0<96F=ag9j50d=83.9no4>5`9K6gg<^;ho6P5jm0:wA5b9U505=:r.=?94>6:&576<6>2wvqp5f17594?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<88;W367?4|,?9?6<84$710>404={%400?d<,?986o5r}|8yg0fk3:197>50z&1fg<0=2B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5119~yx{P5jm0:wA669U505=:r.=?94>6:&576<6>2wvqp5f16094?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<9=;W367?4|,?9?6<84$710>404={%400?d<,?986o5r}|8yg0fl3:197>50z&1fg<0=2B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5119~yx{P5jm0:wA669U505=:r.=?94>6:&576<6>2wvqp5f16094?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<9=;W367?4|,?9?6<84$710>404={%400?d<,?986o5r}|8yg0fm3:197>50z&1fg<0=2B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5179~yx{P5jm0:wA669U505=:r.=?94>6:&576<6>2wvqp5f16094?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<9=;W367?4|,?9?6<84$710>404={%400?d<,?986o5r}|8yg0fn3:197>50z&1fg<0=2B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5179~yx{4={%400?713-<8?7?9;|~y>o6>>0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc9531<^8?86?u+626953=#>:91=;5r}|8m415290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;346>P6=:09w)8<4;35?!04;3;=7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th=m54?:983>5}#:kh1=k<4H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887l4$710>g=zutw0e<;l:18'6gd=943d3_;>?7g=#>:91n6sr}|9j531=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1n6*9328a?x{zu2c:;?4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8237=Q9<91>v*9358a?!04;3h0qpsr;h341?6=,;hi6<;n;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{=0Z<;<:3y'262=j2.=?>4m;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d7<729q/>ol5bd9K6d`5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c61g?6=;3:143e3_;>?7g=#>:91n6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=95+621951=zutw0c4`43_;>?7g=#>:91n6sr}|9~f14e290=6=4?{%0af?7202B9mk5+16`95<=n9!4ej3n;7[4={%400?d<,?986o5r}|8ma7=83.9no4k1:T1fa<5sE8ij7?tV0g1>7}#9ok1h<5Y14196~"1;=0o7)8<3;f8yx{K:ko18vX=ab80Sb22;q/:><5d09'7c3=>:>0q[j9:3y'264=9h::717?x"1jh0:?6sY2`a97~Pc=38p(;==:e38 6`22?9?7pXk6;0x 35528?j7)=i5;407>{#>kk1=>5rV3c`>6}Ql<09w)8<2;f2?!5a=3<886sYd781!04:3n;7)=i5;400>{#>kk1o6sY1g;96~Pc=38p(;==:e38 6`22?9?7p*9b`82<>{zu2c9mo4?:%0af?4fj2\9ni4={M0ab?7|^8o96?u+1gc96dd<^8?86?u+626952=#>:91=:5r}|N1f`<6s_8i87k3:1(?lm:3;`?S4el38p@?li:0yU5`4=:r.:jl4=9b9U505=:r.=?94>7:&576<6?2wvqAk2.8j849359~ 3df28=0qps4o0d0>5<#:kh1=k=4;|`761<72:0;6=u+2c`96g><@;km7d?:b;29 7de28?j7[4={%400?d<,?986o5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a073=83;1<7>t$3`a>7e53A8jj6a>f283>!4ej3;m?65rb504>5<3290;w){M0ab?7|^m=1>v*>f`821g=Q9<91>v*935822>"1;:0::6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=;5+621953=zutw0e<88:18'6gd=94003_;>?746<,?986<>4}|~?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887l4$710>g=zutw0qo:=8;290?6=8r.9no485:J1ec=n9:5179'265=9?1vqps4i07`>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 35328<0(;=<:048yx{z3`;=;7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6>>1]=8=52z&571<6>2.=?>4>6:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;35?!04;3;=7psr}:k21f<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko514a8R4342;q/:>:5119'265=991vqps4i044>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<<7[?:3;0x 35328<0(;=<:048yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c612?6=<3:143e3_;>?7g=#>:91n6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1n6*9328a?x{zu2c:::4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8222=Q9<91>v*9358a?!04;3h0qpsr;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{=o7>52;294~"5jk0ii6F=ag9j50g=83.9no4>5`98k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn99>:185>5<7s-8in7?:8:J1ec=#9>h1=45f14c94?"5jk0:9l5Y2cf95~J5jo0:w[?j2;0x 4`f28?j7[?:3;0x 3532k1/:>=5b:~yx=nl90;6)g=zutw0ei?50;&1fgv*9358g?!04;3n0qpsC2cg90~P5ij08w[j::3y'264=l81/?k;56268ySb12;q/:><514c8 6`22?9?7p*9b`827>{Q:hi1?vXk5;0x 3552m;0(>h::717?xPc>38p(;==:07b?!5a=3<8?6s+6cc956=z^;kh6>uYd481!04:3n:7)=i5;400>{Ql?09w)8<2;f3?!5a=3<886s+6cc9g>{Q9o31>vXk5;0x 3552m;0(>h::717?x"1jh0:46sr}:k1eg<72-8in77}#9ok1>ll4V070>7}#>:>1=:5+621952=zutF9nh4>{W0a0?4|^m?1>v*93381eg=#;o?1:>:4}%4ae?703twv7d<6c;29 7de2;3h7[4>7:~yI4em3;pZ?l;:3yU`0<5s-<8>7<6c:&0b0<1;=1v(;ln:058yx{5}#:kh1>o64H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887l4$710>g=zutw0e<;l:18'6gd=943d3_;>?7g=#>:91n6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi8;k50;394?6|,;hi6?m=;I0bb>i6n:0;6)=zj=7E{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626953=#>:91=;5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn99=:181>5<7s-8in7lj;I0bb>o6=h0;6)=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&5769:k21d<72-8in7?:a:T1fa<6sE8ij7?tV0g1>7}#9ok1=8o4V070>7}#>:>1n6*9328a?x{zu2co<7>5$3`a>a6<^;ho6!4ej3n:7[4={%400?b<,?986i5r}|N1f`<3s_8jo7=tVe796~"1;;0o=6*v*933821d=#;o?1:>:4}%4ae?743t\9mn4<{Wf6>7}#>:81h<5+3g792626;=<;|&5fd<6;2w]>lm53zTg1?4|,?996i?4$2d6>3533t\o:7a6<,:l>6;=;;|&5fd7}#>:81h<5+3g792627:&576<6?2wvqA5<#:kh1>4m4V3`g>7}K:kl1=vX>e381!7ai382o6X>5281!04<3;<7)8<3;34?x{zD;hn64m;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d4<729q/>ol52b08L7ga3f;m?7>5$3`a>4`432wi8:850;794?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`821g=Q9<91>v*935822>"1;:0::6sr}|9j50e=83.9no4>5`9K6gg<^;ho65281!04<3;=7)8<3;35?x{zu2c:;?4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8237=Q9<91>v*935822>"1;:0::6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi8:950;794?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`821g=Q9<91>v*935822>"1;:0::6sr}|9j50e=83.9no4>5`9K6gg<^;ho65281!04<3;=7)8<3;35?x{zu2c:;?4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8237=Q9<91>v*935822>"1;:0::6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi8:;50;194?6|,;hi6o6=k0;6)P5jm0:wA5c9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn?mi:181>5<7s-8in7lj;I0bb>o6=h0;6)=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576m7>5$3`a>43f32e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`1`g<72:0;6=u+2c`96g><@;km7d?:b;29 7de28?j7[4={%400?d<,?986o5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6<:4$710>424={%400?d<,?986o5r}|8yg4ci3:1:7>50z&1fg<6=11C>lh4$05a>4?P6=:09w)8<4;`8 3542k1vqps4ie294?"5jk0o<6X=be82I4en3;pZol5d09U6gb=:rF9nk4>{W3f6?4|,8lj6i?4V070>7}#>:>1h6*9328g?x{zD;hn69uY2`a97~Pc=38p(;==:e38 6`22?9?7pXk6;0x 35528?j7)=i5;400>{#>kk1=>5rV3c`>6}Ql<09w)8<2;f2?!5a=3<886sYd781!04:3;>m6*v*9338g5>"4n<0=?95rVe496~"1;;0o<6*7}Ql<09w)8<2;f2?!5a=3<886s+6cc95==zut1b>ll50;&1fg<5ik1]>oj52zN1fc<6s_;n>77ge3_;>?741<,?986<94}|O6gc=9r\9n94={Wf6>7}#>:81>ll4$2d6>3533t.=nl4>7:~y>o51j0;6)P5jm09wA:5169'265=9>1vqpB=bd82S4e<38pZi;52z&577<51j1/?k;56268y!0ei3;<7psr;n3e7?6=,;hi6t$3`a>7d?3A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571g=zutw0c4`43_;>?7g=#>:91n6sr}|9~f7b6290:6=4?{%0af?4d:2B9mk5`1g194?"5jk0:j>54}c0g6?6=03:1N5io1b=8l50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 35328<0(;=<:048yx{z3`;>o7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;35?!04;3;=7psr}:k222<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:::5Y14196~"1;=0::6*932822>{zut1b=:<50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28=97[?:3;0x 35328<0(;=<:048yx{z3`;<97>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;341>P6=:09w)8<4;35?!04;3;=7psr}:k233<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;;5Y14196~"1;=0::6*932822>{zut1b=:950;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9521<^8?86?u+626953=#>:91=;5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn?j;:1825?6=8r.9no485:J1ec=n943e3_;>?747<,?986{M0ab?7|^m=1>v*>f`821f=Q9<91>v*935825>"1;:0:=6sr}|9j531=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1=<5+621954=zutw0e<9=:18'6gd=94153_;>?747<,?986;%407?763twvq6g>7783>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6??1]=8=52z&571<692.=?>4>1:~yx=n9>=1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51658R4342;q/:>:5109'265=981vqps4i05;>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=37[?:3;0x 35328;0(;=<:038yx{z3`;<57>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;34=>P6=:09w)8<4;32?!04;3;:7psr}:k23d<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko516c8R4342;q/:>:5109'265=981vqps4i07g>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:d:T216<5s-<887?8;%407?703twvq6g>5d83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=l1]=8=52z&571<6?2.=?>4>7:~yx=n9:5169'265=9>1vqps4i043>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<;7[?:3;0x 35328=0(;=<:058yx{z3`;==7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;355>P6=:09w)8<4;34?!04;3;<7psr}:k227<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0::?5Y14196~"1;=0i7)8<3;`8yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c0g1?6=980;6=u+2c`930=O:hl0e<;m:18'6gd=9n6X>5281!04<3;:7)8<3;32?x{zu2c:9n4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9=5109~yx{6X>5281!04<3;:7)8<3;32?x{zu2c:;84?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8230=Q9<91>v*935825>"1;:0:=6sr}|9j520=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:84V070>7}#>:>1=<5+621954=zutw0e<98:18'6gd=94103_;>?747<,?986;%407?763twvq6g>7883>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?01]=8=52z&571<692.=?>4>1:~yx=n9>k1<7*=bc821d=O:kk0Z?lk:0yO6g`=9r\o;741f3_;>?747<,?986{M0ab?7|^m=1>v*>f`821a=Q9<91>v*935823>"1;:0:;6sr}|9j50c=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8k4V070>7}#>:>1=:5+621952=zutw0e<;i:18'6gd=943a3_;>?741<,?986<94}|~?l7183:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?90:T216<5s-<887?8;%407?703twvq6g>6083>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>81]=8=52z&571<6?2.=?>4>7:~yx=n9?81<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51708R4342;q/:>:5b:&576f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571?0D?oi;h36f?6=,;hi6<;n;I0ae>P5jm0:wA5c9U505=:r.=?94>1:&576<692wvqp5f14a94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6474={%400?763-<8?7?>;|~y>o6?;0;6)P5jm0:wA739U505=:r.=?94>1:&576<692wvqp5f16794?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9>?0Z<;<:3y'262=981/:>=5109~yx{5281!04<3;:7)8<3;32?x{zu2c:;54?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`823==Q9<91>v*935825>"1;:0:=6sr}|9j52?=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:74V070>7}#>:>1=<5+621954=zutw0e<9n:18'6gd=95281!04<3;:7)8<3;32?x{zu2c:9i4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=91/:>=5169~yx{j6X>5281!04<3;<7)8<3;34?x{zu2c::=4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8225=Q9<91>v*935823>"1;:0:;6sr}|9j537=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;?4V070>7}#>:>1=:5+621952=zutw0e<8=:18'6gd=94053_;>?7g=#>:91n6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi>i950;32>5<7s-8in79:;I0bb>o6=k0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+626954=#>:91=<5r}|8m43d290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;32?!04;3;:7psr}:k222<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:::5Y14196~"1;=0:=6*932825>{zut1b=:<50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9524<^8?86?u+626954=#>:91=<5r}|8m412290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9:;W367?4|,?9?6472\:9>4={%400?763-<8?7?>;|~y>o6?>0;6)P5jm0:wA769U505=:r.=?94>1:&576<692wvqp5f16:94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9>20Z<;<:3y'262=981/:>=5109~yx{P5jm0:wA7`9U505=:r.=?94>1:&576<692wvqp5f14f94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;k;W367?4|,?9?6<94$710>414={%400?703-<8?7?8;|~y>o6=o0;6)P5jm0:wA5g9U505=:r.=?94>7:&576<6?2wvqp5f17294?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9?:0Z<;<:3y'262=9>1/:>=5169~yx{6X>5281!04<3h0(;=<:c9~yx{5<2290;w)N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+6269f>"1;:0i7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0i7)8<3;`8yx{z3`;=;7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;353>P6=:09w)8<4;`8 3542k1vqps4i051>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=97[?:3;0x 3532k1/:>=5b:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576m7>5$3`a>43f32e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`1a1<72:0;6=u+2c`96g><@;km7d?:b;29 7de28?j7[4={%400?d<,?986o5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6<:4$710>424={%400?d<,?986o5r}|8yg4b;3:1:7>50z&1fg<6=11C>lh4$05a>4?P6=:09w)8<4;`8 3542k1vqps4ie294?"5jk0o<6X=be82I4en3;pZol5d09U6gb=:rF9nk4>{W3f6?4|,8lj6i?4V070>7}#>:>1h6*9328g?x{zD;hn69uY2`a97~Pc=38p(;==:e38 6`22?9?7pXk6;0x 35528?j7)=i5;400>{#>kk1=>5rV3c`>6}Ql<09w)8<2;f2?!5a=3<886sYd781!04:3;>m6*v*9338g5>"4n<0=?95rVe496~"1;;0o<6*7}Ql<09w)8<2;f2?!5a=3<886s+6cc95==zut1b>ll50;&1fg<5ik1]>oj52zN1fc<6s_;n>77ge3_;>?741<,?986<94}|O6gc=9r\9n94={Wf6>7}#>:81>ll4$2d6>3533t.=nl4>7:~y>o51j0;6)P5jm09wA:5169'265=9>1vqpB=bd82S4e<38pZi;52z&577<51j1/?k;56268y!0ei3;<7psr;n3e7?6=,;hi6t$3`a>7d?3A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571g=zutw0c4`43_;>?7g=#>:91n6sr}|9~f7bb290:6=4?{%0af?4d:2B9mk5`1g194?"5jk0:j>54}c0f4?6=?3:1N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+626953=#>:91=;5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6<84$710>404={%400?713-<8?7?9;|~y>o6?;0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc9524<^8?86?u+626953=#>:91=;5r}|8m412290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9:;W367?4|,?9?6<84$710>402\:9>4={%400?713-<8?7?9;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d2<729q/>ol5749K6d`4={%400?713-<8?7?9;|~y>o6=j0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626953=#>:91=;5r}|8m400290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<88;W367?4|,?9?6<84$710>40{zut1b=:;50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9523<^8?86?u+626953=#>:91=;5r}|8m411290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<99;W367?4|,?9?6<84$710>404={%400?d<,?986o5r}|8yg4cn3:1?7>50z&1fg<6n;1C>lh4i07a>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 3532k1/:>=5b:~yx=n9:5b:&576f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571lh4i07b>5<#:kh1=8o4;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{9>7>56;294~"5jk0:955G2`d8 41e2830e<;n:18'6gd=94={%400?d<,?986o5r}|8ma6=83.9no4k0:T1fa<6sE8ij7?tV0g1>7}#9ok1h=5Y14196~"1;=0i7)8<3;`8yx{z3`n:6=4+2c`9`4=Q:kn1>vB=bg82S7b:38p(:5d:&576a7<,:l>6;=;;|Tg2?4|,?996<;n;%1e1?04<2w/:oo5129~R7gd2:q]h84={%406?b63-9m978<4:U`3<5s-<8>7?:a:&0b0<1;:1v(;ln:018yS4fk39pZi;52z&5772;q]h84={%406?b63-9m978<4:'2gg=911vqp5f2``94?"5jk09mo5Y2cf96~J5jo0:w[?j2;0x 4`f2;ki7[?:3;0x 35328=0(;=<:058yx{K:ko1=vX=b581Sb22;q/:><52``8 6`22?9?7p*9b`823>{zu2c95n4?:%0af?4>k2\9ni4={M0ab?7|^8o96?u+1gc96:91=:5r}|N1f`<6s_8i87{e<8k1<7=50;2x 7de2;h37E:5b:&5765b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571g=zutw0qo:>b;295?6=8r.9no4=c39K6d`5}#:kh1;85G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6<84$710>404={%400?713-<8?7?9;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d6<729q/>ol5749K6d`4={%400?713-<8?7?9;|~y>o6=j0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626953=#>:91=;5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn9?i:180>5<7s-8in79:;I0bb>o6=k0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+626955=#>:91==5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6<84$710>404={%400?d<,?986o5r}|8yg2583:187>50z&1fg<0=2B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5179~yx{4={%400?713-<8?7?9;|~y>o6>>0;6)P5jm0:wA669U505=:r.=?94>6:&576<6>2wvqp5`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e<8i1<7;50;2x 7de28l97Eo7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;`8 3542k1vqps4i044>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<<7[?:3;0x 3532k1/:>=5b:~yx=n9>81<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51608R4342;q/:>:5b:&576f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571lh4i07b>5<#:kh1=8o4;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{8;7>53;294~"5jk09n55G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6o5+6219f>{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626951=#>:91=95r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn9=9:185>5<7s-8in7?:8:J1ec=#9>h1=45f14c94?"5jk0:9l5Y2cf95~J5jo0:w[?j2;0x 4`f28?j7[?:3;0x 3532k1/:>=5b:~yx=nl90;6)g=zutw0ei?50;&1fgv*9358g?!04;3n0qpsC2cg90~P5ij08w[j::3y'264=l81/?k;56268ySb12;q/:><514c8 6`22?9?7p*9b`827>{Q:hi1?vXk5;0x 3552m;0(>h::717?xPc>38p(;==:07b?!5a=3<8?6s+6cc956=z^;kh6>uYd481!04:3n:7)=i5;400>{Ql?09w)8<2;f3?!5a=3<886s+6cc9g>{Q9o31>vXk5;0x 3552m;0(>h::717?x"1jh0:46sr}:k1eg<72-8in77}#9ok1>ll4V070>7}#>:>1=:5+621952=zutF9nh4>{W0a0?4|^m?1>v*93381eg=#;o?1:>:4}%4ae?703twv7d<6c;29 7de2;3h7[4>7:~yI4em3;pZ?l;:3yU`0<5s-<8>7<6c:&0b0<1;=1v(;ln:058yx{h4?:283>5}#:kh1>o64H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887l4$710>g=zutw0e<;l:18'6gd=943d3_;>?7g=#>:91n6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi8?h50;394?6|,;hi6?m=;I0bb>i6n:0;6)=zj=9:6=4;:183!4ej3=>7E{zut1b=8m50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 35328:0(;=<:028yx{z3`;=;7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;353>P6=:09w)8<4;35?!04;3;=7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th???4?:483>5}#:kh1;85G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6<84$710>404={%400?713-<8?7?9;|~y>o6>>0;6)P5jm0:wA669U505=:r.=?94>6:&576<6>2wvqp5f16094?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9>80Z<;<:3y'262=991/:>=5119~yx{5<2290;w){M0ab?7|^m=1>v*>f`821g=Q9<91>v*935822>"1;:0::6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=;5+621953=zutw0e<88:18'6gd=94003_;>?740<,?986<84}|~?l70:3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`8237=Q9<91>v*935822>"1;:0::6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi8>:50;794?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887?9;%407?713twvq6g>5b83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=;5+621953=zutw0e<88:18'6gd=95281!04<3;=7)8<3;35?x{zu2c:;?4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8237=Q9<91>v*935822>"1;:0::6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi8>>50;794?6|,;hi6o6=k0;6)P5jm0:wA5c9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m400290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<88;W367?4|,?9?6o5+6219f>{zut1b=:<50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9524<^8?86?u+6269f>"1;:0i7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th=;54?:383>5}#:kh1nh5G2`d8m43f290/>ol514c8?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887l4$710>g=zutw0qo88c;296?6=8r.9no4me:J1ec=n95<1290;w)N5io1/=:l5189j50g=83.9no4>5`9U6gb=9rF9nk4>{W3f6?4|,8lj6<;n;W367?4|,?9?6o5+6219f>{zut1bh=4?:%0af?b73_8ih7?tL3`e>4}Q9l81>v*>f`8g4>P6=:09w)8<4;`8 3542k1vqps4ie394?"5jk0o=6X=be81I4en3;pZ7}#>:81h<5+3g792626;=;;|&5fd<6;2w]>lm53zTg1?4|,?996i?4$2d6>3533t\o:743f3-9m978<3:'2gg=9:1vZ?ol:2yU`0<5s-<8>7j>;%1e1?04<2w]h;4={%406?b73-9m978<4:'2gg=k2w]=k752zTg1?4|,?996i?4$2d6>3533t.=nl4>8:~y>o5ik0;6)P5jm09wA:5169'265=9>1vqpB=bd82S4e<38pZi;52z&577<5ik1/?k;56268y!0ei3;<7psr;h0:g?6=,;hi6?7l;W0a`?4|D;hm6{zuE8ii7?tV3`7>7}Ql<09w)8<2;0:g>"4n<0=?95r$7`b>416<729q/>ol52c:8L7ga3`;>n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;`8 3542k1vqps4i07`>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 3532k1/:>=5b:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&5764?:%0af?7a;21vn;9m:180>5<7s-8in79:;I0bb>o6=k0;6)P5jm0:wA5c9U505=:r.=?94>6:&576<6>2wvqp5f14a94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5179~yx{5<0290;w){M0ab?7|^m=1>v*>f`821g=Q9<91>v*935825>"1;:0:=6sr}|9j50e=83.9no4>5`9K6gg<^;ho65281!04<3;<7)8<3;34?x{zu2c:;?4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9>80Z<;<:3y'262=9>1/:>=5169~yx{6=4+2c`950g<^;ho6P5jm0:wA779U505=:r.=?94>7:&576<6?2wvqp5`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e>>o1<7950;2x 7de2>?0D?oi;h36f?6=,;hi6<;n;W0a`?7|D;hm6n6X>5281!04<3;:7)8<3;32?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*935823>"1;:0:;6sr}|9j531=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1=:5+621952=zutw0e<9=:18'6gd=96X>5281!04<3;<7)8<3;34?x{zu2c:;84?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8230=Q9<91>v*935823>"1;:0:;6sr}|9j520=83.9no4>5`9K6gg<^;ho65281!04<3h0(;=<:c9~yx{57;294~"5jk0<96F=ag9j50d=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1=<5+621954=zutw0e<;l:18'6gd=9o6X>5281!04<3;:7)8<3;32?x{zu2c:::4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8222=Q9<91>v*935823>"1;:0:;6sr}|9j524=83.9no4>5`9K6gg<^;ho65281!04<3;<7)8<3;34?x{zu2c:;;4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9><0Z<;<:3y'262=9>1/:>=5169~yx{5<0290;w){M0ab?7|^m=1>v*>f`821g=Q9<91>v*935825>"1;:0:=6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=:5+621952=zutw0e<88:18'6gd=94003_;>?741<,?986<94}|~?l70:3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`8237=Q9<91>v*935823>"1;:0:;6sr}|9j523=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:;4V070>7}#>:>1=:5+621952=zutw0e<99:18'6gd=95281!04<3;<7)8<3;34?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`5<4<72<0;6=u+2c`95c4<@;km7d?:b;29 7de28?j7[4={%400?d<,?986o5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6o5+6219f>{zut1b=;950;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9531<^8?86?u+6269f>"1;:0i7psr}:k237<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;?5Y14196~"1;=0i7)8<3;`8yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c47f?6=:3:1N5io1b=8o50;&1fg<6=h10c4`43_;>?7g=#>:91n6sr}|9~f33429096=4?{%0af?db3A8jj6g>5`83>!4ej3;>m65`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e><21<7850;2x 7de28?37E{M0ab?7|^8o96?u+1gc950g<^8?86?u+6269f>"1;:0i7psr}:kg4?6=,;hi6i>4V3`g>4}K:kl1=vX>e381!7ai3n;7[?:3;0x 3532k1/:>=5b:~yx=nl80;6)a=zutF9nh4;{W0bg?5|^m?1>v*9338g5>"4n<0=?95rVe496~"1;;0:9l5+3g792626?u+6209`4=#;o?1:>:4}Wf5>7}#>:81=8o4$2d6>3543t.=nl4>3:U6de=;r\o97a7<,:l>6;=;;|Tg2?4|,?996i>4$2d6>3533t.=nl4l;|T2b<<5s_n>6?u+6209`4=#;o?1:>:4}%4ae?7?3twv7d4>7:~yI4em3;pZ?l;:3yU`0<5s-<8>7P6=:09w)8<4;34?!04;3;<7psrL3`f>4}Q:k>1>vXk5;0x 3552;3h7)=i5;400>{#>kk1=:5r}|9l5c5=83.9no4>f298yg03k3:1?7>50z&1fg<5j11C>lh4i07a>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 3532k1/:>=5b:~yx=n9:5b:&576f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571t$3`a>23<@;km7d?:b;29 7de28?j7[4={%400?713-<8?7?9;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94>6:&576<6>2wvqp5`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e><:1<7=50;2x 7de2>?0D?oi;h36f?6=,;hi6<;n;W0a`?7|D;hm6n6X>5281!04<3h0(;=<:c9~yx{5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e><;1<7=50;2x 7de2>?0D?oi;h36f?6=,;hi6<;n;I0ae>P5jm0:wA5c9U505=:r.=?94>6:&576<6>2wvqp5f14a94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5179~yx{5=5179~yx{4={%400?713-<8?7?9;|~y>o6>>0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc9531<^8?86?u+626953=#>:91=;5r}|8m415290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;346>P6=:09w)8<4;35?!04;3;=7psr}:k230<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko51678R4342;q/:>:5179'265=9?1vqps4i055>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?86:T216<5s-<887?9;%407?713twvq6g>7683>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?>1]=8=52z&571<6>2.=?>4>6:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576{M0ab?7|^m=1>v*>f`821g=Q9<91>v*9358a?!04;3h0qpsr;h36g?6=,;hi6<;n;W0a`?7|D;hm6o6X>5281!04<3h0(;=<:c9~yx{80Z<;<:3y'262=j2.=?>4m;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d6<729q/>ol5749K6d`P5jm0:wA5b9U505=:r.=?94>7:&576<6?2wvqp5`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e>?0D?oi;h36f?6=,;hi6<;n;W0a`?7|D;hm6n6X>5281!04<3;<7)8<3;34?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*935823>"1;:0:;6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi:8850;194?6|,;hi6o6=k0;6)P5jm0:wA5c9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn;8l:181>5<7s-8in7lj;I0bb>o6=h0;6)=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&5769:k21d<72-8in7?:a:T1fa<6sE8ij7?tV0g1>7}#9ok1=8o4V070>7}#>:>1n6*9328a?x{zu2co<7>5$3`a>a6<^;ho6!4ej3n:7[4={%400?b<,?986i5r}|N1f`<3s_8jo7=tVe796~"1;;0o=6*v*933821d=#;o?1:>:4}%4ae?743t\9mn4<{Wf6>7}#>:81h<5+3g792626;=<;|&5fd<6;2w]>lm53zTg1?4|,?996i?4$2d6>3533t\o:7a6<,:l>6;=;;|&5fd7}#>:81h<5+3g792627:&576<6?2wvqA5<#:kh1>4m4V3`g>7}K:kl1=vX>e381!7ai382o6X>5281!04<3;<7)8<3;34?x{zD;hn64m;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d4<729q/>ol52b08L7ga3f;m?7>5$3`a>4`432wi:;h50;194?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887?9;%407?713twvq6g>5b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571<6>2.=?>4>6:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576m7>5$3`a>43f32e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`5<@;km7)?8b;3:?l72i3:1(?lm:07b?S4el3;p@?li:0yU5`4=:r.:jl4>5`9U505=:r.=?94m;%407?doj51zN1fc<6s_;n>7a6<^8?86?u+6269f>"1;:0i7psr}:kg5?6=,;hi6i?4V3`g>7}K:kl1=vX>e381!7ai3n:7[?:3;0x 3532m1/:>=5d:~yI4em3>pZ?ol:2yU`0<5s-<8>7j>;%1e1?04<2w]h;4={%406?72i2.8j849359~ 3df2890q[<5d09'7c3=>:>0q[j9:3y'264=l91/?k;56268y!0ei3i0q[?i9;0xRa3=:r.=??4k1:&0b0<1;=1v(;ln:0:8yx{P6=:09w)8<4;34?!04;3;<7psrL3`f>4}Q:k>1>vXk5;0x 3552;ki7)=i5;400>{#>kk1=:5r}|9j6{W3f6?4|,8lj6?7l;W367?4|,?9?6<94$710>41ok51zT1f1<5s_n>6?u+620966;=;;|&5fd<6?2wvq6a>f283>!4ej3;m?65rb7:6>5<4290;w)N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+6269f>"1;:0i7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0i7)8<3;`8yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c4;2?6=93:16<729q/>ol5749K6d`o6X>5281!04<3;=7)8<3;35?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`5<<<72:0;6=u+2c`930=O:hl0e<;m:18'6gd=943e3_;>?740<,?986<84}|~?l72k3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*935822>"1;:0::6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi:5950;194?6|,;hi6o6=k0;6)P5jm0:wA5c9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn;6l:181>5<7s-8in7lj;I0bb>o6=h0;6)=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576m7>5$3`a>43f32e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`5==<72?0;6=u+2c`950><@;km7)?8b;3:?l72i3:1(?lm:07b?S4el3;p@?li:0yU5`4=:r.:jl4>5`9U505=:r.=?94m;%407?doj51zN1fc<6s_;n>7a6<^8?86?u+6269f>"1;:0i7psr}:kg5?6=,;hi6i?4V3`g>7}K:kl1=vX>e381!7ai3n:7[?:3;0x 3532m1/:>=5d:~yI4em3>pZ?ol:2yU`0<5s-<8>7j>;%1e1?04<2w]h;4={%406?72i2.8j849359~ 3df2890q[<5d09'7c3=>:>0q[j9:3y'264=l91/?k;56268y!0ei3i0q[?i9;0xRa3=:r.=??4k1:&0b0<1;=1v(;ln:0:8yx{P6=:09w)8<4;34?!04;3;<7psrL3`f>4}Q:k>1>vXk5;0x 3552;ki7)=i5;400>{#>kk1=:5r}|9j6{W3f6?4|,8lj6?7l;W367?4|,?9?6<94$710>41ok51zT1f1<5s_n>6?u+620966;=;;|&5fd<6?2wvq6a>f283>!4ej3;m?65rb7:g>5<4290;w)N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+6269f>"1;:0i7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0i7)8<3;`8yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c4;a?6=93:16<729q/>ol5749K6d`4m;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d47=83:p(?lm:678L7ga3`;>n7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571<692.=?>4>1:~yx=n943d3_;>?747<,?986;%407?763twvq6g>7383>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?;1]=8=52z&571<692.=?>4>1:~yx=n9>?1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51678R4342;q/:>:5109'265=981vqps4i055>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28==7[?:3;0x 35328;0(;=<:038yx{z3`;<;7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;343>P6=:09w)8<4;32?!04;3;:7psr}:k23=<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;55Y14196~"1;=0:=6*932825>{zut1b=:750;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc952?<^8?86?u+626954=#>:91=<5r}|8m41f290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;34e>P6=:09w)8<4;32?!04;3;:7psr}:k21a<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko514f8R4342;q/:>:5109'265=981vqps4i07f>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:e:T216<5s-<887?8;%407?703twvq6g>5g83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=o1]=8=52z&571<6?2.=?>4>7:~yx=n9?:1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51728R4342;q/:>:5169'265=9>1vqps4i042>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<:7[?:3;0x 3532k1/:>=5b:~yx=n9?81<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51708R4342;q/:>:5b:&576f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571?0D?oi;h36f?6=,;hi6<;n;I0ae>P5jm0:wA5c9U505=:r.=?94>1:&576<692wvqp5f14a94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6474={%400?763-<8?7?>;|~y>o6?;0;6)P5jm0:wA739U505=:r.=?94>1:&576<692wvqp5f16794?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9>?0Z<;<:3y'262=981/:>=5109~yx{5281!04<3;:7)8<3;32?x{zu2c:;54?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`823==Q9<91>v*935825>"1;:0:=6sr}|9j52?=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:74V070>7}#>:>1=<5+621954=zutw0e<9n:18'6gd=95281!04<3;:7)8<3;32?x{zu2c:9i4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=91/:>=5169~yx{j6X>5281!04<3;<7)8<3;34?x{zu2c::=4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8225=Q9<91>v*935823>"1;:0:;6sr}|9j537=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;?4V070>7}#>:>1n6*9328a?x{zu2c::?4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8227=Q9<91>v*9358a?!04;3h0qpsr;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{51083>5}#:kh1;85G2`d8m43e290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;32?!04;3;:7psr}:k21f<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko514a8R4342;q/:>:5109'265=981vqps4i044>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<<7[?:3;0x 35328;0(;=<:038yx{z3`;<>7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;346>P6=:09w)8<4;32?!04;3;:7psr}:k230<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;85Y14196~"1;=0:=6*932825>{zut1b=:850;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9520<^8?86?u+626954=#>:91=<5r}|8m410290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<98;W367?4|,?9?6474={%400?763-<8?7?>;|~y>o6?00;6)P5jm0:wA789U505=:r.=?94>1:&576<692wvqp5f16c94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<9n;W367?4|,?9?647{zut1b=8k50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950c<^8?86?u+626952=#>:91=:5r}|8m43a290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;i;W367?4|,?9?6<94$710>414={%400?703-<8?7?8;|~y>o6>80;6)P5jm0:wA609U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn;7;:1825?6=8r.9no485:J1ec=n943e3_;>?747<,?986{M0ab?7|^m=1>v*>f`821f=Q9<91>v*935825>"1;:0:=6sr}|9j531=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1=<5+621954=zutw0e<9=:18'6gd=94153_;>?747<,?986;%407?763twvq6g>7783>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6??1]=8=52z&571<692.=?>4>1:~yx=n9>=1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51658R4342;q/:>:5109'265=981vqps4i05;>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=37[?:3;0x 35328;0(;=<:038yx{z3`;<57>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;34=>P6=:09w)8<4;32?!04;3;:7psr}:k23d<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko516c8R4342;q/:>:5109'265=981vqps4i07g>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:d:T216<5s-<887?8;%407?703twvq6g>5d83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=l1]=8=52z&571<6?2.=?>4>7:~yx=n9:5169'265=9>1vqps4i043>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<;7[?:3;0x 35328=0(;=<:058yx{z3`;==7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;355>P6=:09w)8<4;`8 3542k1vqps4i041>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<97[?:3;0x 3532k1/:>=5b:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576=5109~yx{4={%400?763-<8?7?>;|~y>o6>>0;6)P5jm0:wA669U505=:r.=?94>1:&576<692wvqp5f16094?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9>80Z<;<:3y'262=981/:>=5109~yx{6=4+2c`950g<^;ho65281!04<3;:7)8<3;32?x{zu2c:;:4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8232=Q9<91>v*935825>"1;:0:=6sr}|9j52>=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:64V070>7}#>:>1=<5+621954=zutw0e<96:18'6gd=941>3_;>?747<,?986{M0ab?7|^m=1>v*>f`823d=Q9<91>v*935825>"1;:0:=6sr}|9j50b=83.9no4>5`9K6gg<^;ho6i6X>5281!04<3;<7)8<3;34?x{zu2c:9k4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821c=Q9<91>v*935823>"1;:0:;6sr}|9j536=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;>4V070>7}#>:>1=:5+621952=zutw0e<8>:18'6gd=94063_;>?7g=#>:91n6sr}|9j534=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;<4V070>7}#>:>1n6*9328a?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`5=3<72?0;6=u+2c`95c4<@;km7d?:b;29 7de28?j7[4={%400?d<,?986o5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6o5+6219f>{zut1b=;950;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9531<^8?86?u+6269f>"1;:0i7psr}:k237<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;?5Y14196~"1;=0i7)8<3;`8yx{z3`;<97>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;341>P6=:09w)8<4;`8 3542k1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj?326=4=:183!4ej3hn7Ef29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi:l:50;494?6|,;hi6<;7;I0bb>"6?k0:56g>5`83>!4ej3;>m6X=be82I4en3;pZ4m;|~y>oc83:1(?lm:e28R7dc28qG>oh51zT2a7<5s-;mm7j?;W367?4|,?9?6o5+6219f>{zut1bh<4?:%0af?b63_8ih74}Q9l81>v*>f`8g5>P6=:09w)8<4;f8 3542m1vqpB=bd87S4fk39pZi;52z&5775`9'7c3=>:>0q)8ma;30?xP5ij08w[j::3y'264=l81/?k;56268ySb12;q/:><514c8 6`22?987p*9b`827>{Q:hi1?vXk5;0x 3552m;0(>h::717?xPc>38p(;==:e28 6`22?9?7p*9b`8`?xP6n009w[j::3y'264=l81/?k;56268y!0ei3;37psr;h0bf?6=,;hi6?om;W0a`?4|D;hm6{zuE8ii7?tV3`7>7}Ql<09w)8<2;0bf>"4n<0=?95r$7`b>41k2\:9>4={%400?703-<8?7?8;|~H7db28q]>o:52zTg1?4|,?996?7l;%1e1?04<2w/:oo5169~yx=h9o91<7*=bc82b6=53;294~"5jk09n55G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6o5+6219f>{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+6269f>"1;:0i7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th=5o4?:083>5}#:kh1>n<4H3ce?j7a;3:1(?lm:0d0?>{e>0n1<7=50;2x 7de2>?0D?oi;h36f?6=,;hi6<;n;W0a`?7|D;hm6n6X>5281!04<3h0(;=<:c9~yx{5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e>0o1<7:50;2x 7de2>?0D?oi;h36f?6=,;hi6<;n;W0a`?7|D;hm6n6X>5281!04<3;=7)8<3;35?x{zu2c:9n4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9=5179~yx{5281!04<3h0(;=<:c9~yx{55;294~"5jk0<96F=ag9j50d=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1==5+621955=zutw0e<;l:18'6gd=943d3_;>?740<,?986<84}|~?l71?3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`8222=Q9<91>v*935822>"1;:0::6sr}|9j524=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:<4V070>7}#>:>1=;5+621953=zutw0c4`43_;>?7g=#>:91n6sr}|9~f3g7290>6=4?{%0af?123A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571<682.=?>4>0:~yx=n9:5179'265=9?1vqps4i044>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?97:T216<5s-<887?9;%407?713twvq6g>7383>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?;1]=8=52z&571<6>2.=?>4>6:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;35?!04;3;=7psr}:k21f<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko514a8R4342;q/:>:5179'265=9?1vqps4i044>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<<7[?:3;0x 35328<0(;=<:048yx{z3`;<>7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6?;1]=8=52z&571<6>2.=?>4>6:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;33?!04;3;;7psr}:k21f<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko514a8R4342;q/:>:5179'265=9?1vqps4i044>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<<7[?:3;0x 35328<0(;=<:048yx{z3`;<>7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6?;1]=8=52z&571<6>2.=?>4>6:~yx=n9>?1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51678R4342;q/:>:5179'265=9?1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj?3h6=48:183!4ej3;m>6F=ag9j50d=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1n6*9328a?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*9358a?!04;3h0qpsr;h353?6=,;hi6<;n;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{?0Z<;<:3y'262=j2.=?>4m;|~y>o6??0;6)P5jm0:wA779U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8yg70k3:1>7>50z&1fg5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj82<6=49:183!4ej3;>46F=ag9'52d=901b=8o50;&1fg<6=h1]>oj51zN1fc<6s_;n>743f3_;>?7g=#>:91n6sr}|9j`5<72-8in7j?;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{P5jm09wAok54zT1ef<4s_n>6?u+6209`4=#;o?1:>:4}Wf5>7}#>:81=8o4$2d6>3533t.=nl4>3:U6de=;r\o97a7<,:l>6;=;;|Tg2?4|,?996<;n;%1e1?04;2w/:oo5129~R7gd2:q]h84={%406?b63-9m978<4:U`3<5s-<8>7j?;%1e1?04<2w/:oo5c:U5c?=:r\o97a7<,:l>6;=;;|&5fd<602wvq6g=ac83>!4ej38jn6X=be81I4en3;pZ1/:>=5169~yxJ5jl0:w[:>0q)8ma;34?x{z3`82o7>5$3`a>7?d3_8ih74}Q9l81>v*>f`81=f=Q9<91>v*935823>"1;:0:;6sr}M0aa?7|^;h?6?uYd481!04:382o6*ol51g18?xd6?m0;6>4?:1y'6gd=:k20D?oi;h36f?6=,;hi6<;n;W0a`?7|D;hm6n6X>5281!04<3h0(;=<:c9~yx{5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e9>o1<7?50;2x 7de2;i97E729086=4?{%0af?123A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571<6>2.=?>4>6:~yx=n9:5179'265=9?1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj82:6=4<:183!4ej3=>7E{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626953=#>:91=;5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn<6=:180>5<7s-8in79:;I0bb>o6=k0;6)P5jm0:wA5c9U505=:r.=?94>6:&576<6>2wvqp5f14a94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5179~yx{5<4290;w){M0ab?7|^m=1>v*>f`821g=Q9<91>v*9358a?!04;3h0qpsr;h36g?6=,;hi6<;n;W0a`?7|D;hm6o6X>5281!04<3h0(;=<:c9~yx{5<4290;w){M0ab?7|^m=1>v*>f`821g=Q9<91>v*935822>"1;:0::6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=;5+621953=zutw0c4`43_;>?7g=#>:91n6sr}|9~f4>2290?6=4?{%0af?123A8jj6g>5c83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1=;5+621953=zutw0e<;l:18'6gd=943d3_;>?740<,?986<84}|~?l71?3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?97:T216<5s-<887?9;%407?713twvq6a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571n6X>5281!04<3h0(;=<:c9~yx{4m;|~y>o6?;0;6)P5jm0:wA739U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m411290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<99;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a7d`=8381<7>t$3`a>gc<@;km7d?:a;29 7de28?j76a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&5714?:1y'6gd=:k20D?oi;h36f?6=,;hi6<;n;W0a`?7|D;hm6n6X>5281!04<3h0(;=<:c9~yx{0qpsr;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{56;294~"5jk0:955G2`d8 41e2830e<;n:18'6gd=94={%400?d<,?986o5r}|8ma6=83.9no4k0:T1fa<6sE8ij7?tV0g1>7}#9ok1h=5Y14196~"1;=0i7)8<3;`8yx{z3`n:6=4+2c`9`4=Q:kn1>vB=bg82S7b:38p(:5d:&576a7<,:l>6;=;;|Tg2?4|,?996<;n;%1e1?04<2w/:oo5129~R7gd2:q]h84={%406?b63-9m978<4:U`3<5s-<8>7?:a:&0b0<1;:1v(;ln:018yS4fk39pZi;52z&5772;q]h84={%406?b63-9m978<4:'2gg=911vqp5f2``94?"5jk09mo5Y2cf96~J5jo0:w[?j2;0x 4`f2;ki7[?:3;0x 35328=0(;=<:058yx{K:ko1=vX=b581Sb22;q/:><52``8 6`22?9?7p*9b`823>{zu2c95n4?:%0af?4>k2\9ni4={M0ab?7|^8o96?u+1gc96:91=:5r}|N1f`<6s_8i87{e;k:1<7=50;2x 7de2;h37E:5b:&5765b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571g=zutw0qo=m1;295?6=8r.9no4=c39K6d`5}#:kh1;85G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6<>4$710>46{zut1b=;950;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28<<7[?:3;0x 35328<0(;=<:048yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c67b?6=:3:1N5io1b=8o50;&1fg<6=h10c4`43_;>?7g=#>:91n6sr}|9~f133290=6=4?{%0af?7202B9mk5+16`95<=n9!4ej3n;7[4={%400?d<,?986o5r}|8ma7=83.9no4k1:T1fa<5sE8ij7?tV0g1>7}#9ok1h<5Y14196~"1;=0o7)8<3;f8yx{K:ko18vX=ab80Sb22;q/:><5d09'7c3=>:>0q[j9:3y'264=9h::717?x"1jh0:?6sY2`a97~Pc=38p(;==:e38 6`22?9?7pXk6;0x 35528?j7)=i5;407>{#>kk1=>5rV3c`>6}Ql<09w)8<2;f2?!5a=3<886sYd781!04:3n;7)=i5;400>{#>kk1o6sY1g;96~Pc=38p(;==:e38 6`22?9?7p*9b`82<>{zu2c9mo4?:%0af?4fj2\9ni4={M0ab?7|^8o96?u+1gc96dd<^8?86?u+626952=#>:91=:5r}|N1f`<6s_8i87k3:1(?lm:3;`?S4el38p@?li:0yU5`4=:r.:jl4=9b9U505=:r.=?94>7:&576<6?2wvqAk2.8j849359~ 3df28=0qps4o0d0>5<#:kh1=k=4;|`715<72:0;6=u+2c`96g><@;km7d?:b;29 7de28?j7[4={%400?d<,?986o5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a007=83;1<7>t$3`a>7e53A8jj6a>f283>!4ej3;m?65rb571>5<4290;w){M0ab?7|^m=1>v*>f`821g=Q9<91>v*935822>"1;:0::6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=;5+621953=zutw0c4`43_;>?7g=#>:91n6sr}|9~f13229096=4?{%0af?db3A8jj6g>5`83>!4ej3;>m65`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e<{M0ab?7|^8o96?u+1gc950g<^8?86?u+6269f>"1;:0i7psr}:kg4?6=,;hi6i>4V3`g>4}K:kl1=vX>e381!7ai3n;7[?:3;0x 3532k1/:>=5b:~yx=nl80;6)a=zutF9nh4;{W0bg?5|^m?1>v*9338g5>"4n<0=?95rVe496~"1;;0:9l5+3g792626?u+6209`4=#;o?1:>:4}Wf5>7}#>:81=8o4$2d6>3543t.=nl4>3:U6de=;r\o97a7<,:l>6;=;;|Tg2?4|,?996i>4$2d6>3533t.=nl4l;|T2b<<5s_n>6?u+6209`4=#;o?1:>:4}%4ae?7?3twv7d4>7:~yI4em3;pZ?l;:3yU`0<5s-<8>7P6=:09w)8<4;34?!04;3;<7psrL3`f>4}Q:k>1>vXk5;0x 3552;3h7)=i5;400>{#>kk1=:5r}|9l5c5=83.9no4>f298yg22>3:1?7>50z&1fg<5j11C>lh4i07a>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 3532k1/:>=5b:~yx=n9:5b:&576f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&5710;6<4?:1y'6gd=:j80D?oi;n3e7?6=,;hi61<7>t$3`a>23<@;km7d?:b;29 7de28?j7[4={%400?713-<8?7?9;|~y>o6=j0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626953=#>:91=;5r}|8m400290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<88;W367?4|,?9?6<84$710>404={%400?d<,?986o5r}|8yg22i3:187>50z&1fg<0=2B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5179~yx{4={%400?713-<8?7?9;|~y>o6>>0;6)P5jm0:wA669U505=:r.=?94>6:&576<6>2wvqp5`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e<<21<7=50;2x 7de28l97Eo7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;`8 3542k1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj=3i6=4=:183!4ej3hn7Ef29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi8l>50;494?6|,;hi6<;7;I0bb>"6?k0:56g>5`83>!4ej3;>m6X=be82I4en3;pZ4m;|~y>oc83:1(?lm:e28R7dc28qG>oh51zT2a7<5s-;mm7j?;W367?4|,?9?6o5+6219f>{zut1bh<4?:%0af?b63_8ih74}Q9l81>v*>f`8g5>P6=:09w)8<4;f8 3542m1vqpB=bd87S4fk39pZi;52z&5775`9'7c3=>:>0q)8ma;30?xP5ij08w[j::3y'264=l81/?k;56268ySb12;q/:><514c8 6`22?987p*9b`827>{Q:hi1?vXk5;0x 3552m;0(>h::717?xPc>38p(;==:e28 6`22?9?7p*9b`8`?xP6n009w[j::3y'264=l81/?k;56268y!0ei3;37psr;h0bf?6=,;hi6?om;W0a`?4|D;hm6{zuE8ii7?tV3`7>7}Ql<09w)8<2;0bf>"4n<0=?95r$7`b>41k2\:9>4={%400?703-<8?7?8;|~H7db28q]>o:52zTg1?4|,?996?7l;%1e1?04<2w/:oo5169~yx=h9o91<7*=bc82b6=2o7>53;294~"5jk09n55G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6o5+6219f>{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+6269f>"1;:0i7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th?5i4?:083>5}#:kh1>n<4H3ce?j7a;3:1(?lm:0d0?>{e<0o1<7=50;2x 7de2>?0D?oi;h36f?6=,;hi6<;n;W0a`?7|D;hm6n6X>5281!04<3;=7)8<3;35?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*935822>"1;:0::6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi8n=50;094?6|,;hi6ok4H3ce?l72i3:1(?lm:07b?>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d7<729q/>ol5bd9K6d`5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c6g4?6=>3:1ol514c8R7dc28qG>oh51zT2a7<5s-;mm7?:a:T216<5s-<887l4$710>g=zutw0ei>50;&1fg{M0ab?7|^8o96?u+1gc9`5=Q9<91>v*9358a?!04;3h0qpsr;hf2>5<#:kh1h<5Y2cf96~J5jo0:w[?j2;0x 4`f2m;0Z<;<:3y'262=l2.=?>4k;|~H7db2=q]>lm53zTg1?4|,?996i?4$2d6>3533t\o:743f3-9m978<4:'2gg=9:1vZ?ol:2yU`0<5s-<8>7j>;%1e1?04<2w]h;4={%406?72i2.8j849329~ 3df2890q[7j>;%1e1?04<2w/:oo5199~yx=n:hh1<7*=bc81eg=Q:kn1>vB=bg82S7b:38p(h::717?x"1jh0:;6sr}:k1=f<72-8in7<6c:T1fa<5sE8ij7?tV0g1>7}#9ok1>4m4V070>7}#>:>1=:5+621952=zutF9nh4>{W0a0?4|^m?1>v*93381=f=#;o?1:>:4}%4ae?703twv7b?i3;29 7de28l876sm4b694?5=83:p(?lm:3`;?M4fn2c:9o4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821g=Q9<91>v*9358a?!04;3h0qpsr;h36g?6=,;hi6<;n;W0a`?7|D;hm6o6X>5281!04<3h0(;=<:c9~yx{5<6290;w)N5io1d=k=50;&1fg<6n:10qo:l7;297?6=8r.9no485:J1ec=n9:5b:&5765b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571g=zutw0qo:l8;2954<729q/>ol5749K6d`4={%400?773-<8?7??;|~y>o6=j0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626955=#>:91==5r}|8m400290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<88;W367?4|,?9?6<>4$710>464={%400?773-<8?7??;|~y>o6?<0;6)P5jm0:wA749U505=:r.=?94>0:&576<682wvqp5f16494?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9><0Z<;<:3y'262=991/:>=5119~yx{5281!04<3;;7)8<3;33?x{zu2c:;44?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`823<=Q9<91>v*935824>"1;:0:<6sr}|9j52g=83.9no4>5`9K6gg<^;ho6P5jm0:wA5e9U505=:r.=?94>0:&576<682wvqp5f14g94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;j;W367?4|,?9?6<84$710>404={%400?713-<8?7?9;|~y>o6>90;6)P5jm0:wA619U505=:r.=?94>6:&576<6>2wvqp5f17394?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9?;0Z<;<:3y'262=j2.=?>4m;|~y>o6>;0;6)P5jm0:wA639U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8yg2d13:1=<4?:1y'6gd=?<1C>lh4i07a>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887??;%407?773twvq6g>5b83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1==5+621955=zutw0e<88:18'6gd=94003_;>?746<,?986<>4}|~?l70:3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?82:T216<5s-<887??;%407?773twvq6g>7483>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?<1]=8=52z&571<682.=?>4>0:~yx=n9><1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51648R4342;q/:>:5119'265=991vqps4i054>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=<7[?:3;0x 35328:0(;=<:028yx{z3`;<47>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;34<>P6=:09w)8<4;33?!04;3;;7psr}:k23<<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;45Y14196~"1;=0:<6*932824>{zut1b=:o50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28=j7[?:3;0x 35328:0(;=<:028yx{z3`;>h7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6=m1]=8=52z&571<682.=?>4>0:~yx=n943b3_;>?740<,?986<84}|~?l72n3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:f:T216<5s-<887?9;%407?713twvq6g>6183>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>91]=8=52z&571<6>2.=?>4>6:~yx=n9?;1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51738R4342;q/:>:5b:&5766383>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>;1]=8=52z&571g=zutw0qo:la;2954<729q/>ol5749K6d`4={%400?773-<8?7??;|~y>o6=j0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626955=#>:91==5r}|8m400290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<88;W367?4|,?9?6<>4$710>464={%400?773-<8?7??;|~y>o6?<0;6)P5jm0:wA749U505=:r.=?94>0:&576<682wvqp5f16494?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9><0Z<;<:3y'262=991/:>=5119~yx{5281!04<3;;7)8<3;33?x{zu2c:;44?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`823<=Q9<91>v*935824>"1;:0:<6sr}|9j52g=83.9no4>5`9K6gg<^;ho6P5jm0:wA5e9U505=:r.=?94>0:&576<682wvqp5f14g94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;j;W367?4|,?9?6<84$710>404={%400?713-<8?7?9;|~y>o6>90;6)P5jm0:wA619U505=:r.=?94>6:&576<6>2wvqp5f17394?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9?;0Z<;<:3y'262=j2.=?>4m;|~y>o6>;0;6)P5jm0:wA639U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8yg2d>3:197>50z&1fg<6n;1C>lh4i07a>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 3532k1/:>=5b:~yx=n9:5b:&5766683>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>>1]=8=52z&571g=zutw0c4`43_;>?7g=#>:91n6sr}|9~f1ed290>6=4?{%0af?123A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571<692.=?>4>1:~yx=n943d3_;>?741<,?986<94}|~?l71?3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`8222=Q9<91>v*935823>"1;:0:;6sr}|9j524=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:<4V070>7}#>:>1=:5+621952=zutw0c4`43_;>?7g=#>:91n6sr}|9~f1ec290<6=4?{%0af?123A8jj6g>5c83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1=<5+621954=zutw0e<;l:18'6gd=9o6X>5281!04<3;:7)8<3;32?x{zu2c:::4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9?=0Z<;<:3y'262=981/:>=5109~yx{4={%400?703-<8?7?8;|~y>o6?<0;6)P5jm0:wA749U505=:r.=?94>7:&576<6?2wvqp5f16494?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9><0Z<;<:3y'262=9>1/:>=5169~yx{5<4290;w)N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+6269f>"1;:0i7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0i7)8<3;`8yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c40f?6=:3:1N5io1b=8o50;&1fg<6=h10c4`43_;>?7g=#>:91n6sr}|9~f327290=6=4?{%0af?7202B9mk5+16`95<=n9!4ej3n;7[4={%400?d<,?986o5r}|8ma7=83.9no4k1:T1fa<5sE8ij7?tV0g1>7}#9ok1h<5Y14196~"1;=0o7)8<3;f8yx{K:ko18vX=ab80Sb22;q/:><5d09'7c3=>:>0q[j9:3y'264=9h::717?x"1jh0:?6sY2`a97~Pc=38p(;==:e38 6`22?9?7pXk6;0x 35528?j7)=i5;407>{#>kk1=>5rV3c`>6}Ql<09w)8<2;f2?!5a=3<886sYd781!04:3n;7)=i5;400>{#>kk1o6sY1g;96~Pc=38p(;==:e38 6`22?9?7p*9b`82<>{zu2c9mo4?:%0af?4fj2\9ni4={M0ab?7|^8o96?u+1gc96dd<^8?86?u+626952=#>:91=:5r}|N1f`<6s_8i87k3:1(?lm:3;`?S4el38p@?li:0yU5`4=:r.:jl4=9b9U505=:r.=?94>7:&576<6?2wvqAk2.8j849359~ 3df28=0qps4o0d0>5<#:kh1=k=4;|`57f<72:0;6=u+2c`96g><@;km7d?:b;29 7de28?j7[4={%400?d<,?986o5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a26b=83;1<7>t$3`a>7e53A8jj6a>f283>!4ej3;m?65rb71f>5<4290;w)=5179~yx{4={%400?713-<8?7?9;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d7<729q/>ol5bd9K6d`5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c443?6=>3:1ol514c8R7dc28qG>oh51zT2a7<5s-;mm7?:a:T216<5s-<887l4$710>g=zutw0ei>50;&1fg{M0ab?7|^8o96?u+1gc9`5=Q9<91>v*9358a?!04;3h0qpsr;hf2>5<#:kh1h<5Y2cf96~J5jo0:w[?j2;0x 4`f2m;0Z<;<:3y'262=l2.=?>4k;|~H7db2=q]>lm53zTg1?4|,?996i?4$2d6>3533t\o:743f3-9m978<4:'2gg=9:1vZ?ol:2yU`0<5s-<8>7j>;%1e1?04<2w]h;4={%406?72i2.8j849329~ 3df2890q[7j>;%1e1?04<2w/:oo5199~yx=n:hh1<7*=bc81eg=Q:kn1>vB=bg82S7b:38p(h::717?x"1jh0:;6sr}:k1=f<72-8in7<6c:T1fa<5sE8ij7?tV0g1>7}#9ok1>4m4V070>7}#>:>1=:5+621952=zutF9nh4>{W0a0?4|^m?1>v*93381=f=#;o?1:>:4}%4ae?703twv7b?i3;29 7de28l876sm66194?5=83:p(?lm:3`;?M4fn2c:9o4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821g=Q9<91>v*9358a?!04;3h0qpsr;h36g?6=,;hi6<;n;W0a`?7|D;hm6o6X>5281!04<3h0(;=<:c9~yx{5<6290;w)N5io1d=k=50;&1fg<6n:10qo885;297?6=8r.9no485:J1ec=n9:5179'265=9?1vqps4i07`>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 35328<0(;=<:048yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c3:`?6=:3:1N5io1b=8o50;&1fg<6=h10c4`43_;>?7g=#>:91n6sr}|9~f4gf29086=4?{%0af?4e02B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=94m;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94>4:&576<6<2wvqp5`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e9h31<7850;2x 7de28?37E{M0ab?7|^8o96?u+1gc950g<^8?86?u+6269f>"1;:0i7psr}:kg4?6=,;hi6i>4V3`g>4}K:kl1=vX>e381!7ai3n;7[?:3;0x 3532k1/:>=5b:~yx=nl80;6)a=zutF9nh4;{W0bg?5|^m?1>v*9338g5>"4n<0=?95rVe496~"1;;0:9l5+3g792626?u+6209`4=#;o?1:>:4}Wf5>7}#>:81=8o4$2d6>3543t.=nl4>3:U6de=;r\o97a7<,:l>6;=;;|Tg2?4|,?996i>4$2d6>3533t.=nl4l;|T2b<<5s_n>6?u+6209`4=#;o?1:>:4}%4ae?7?3twv7d4>7:~yI4em3;pZ?l;:3yU`0<5s-<8>7P6=:09w)8<4;34?!04;3;<7psrL3`f>4}Q:k>1>vXk5;0x 3552;3h7)=i5;400>{#>kk1=:5r}|9l5c5=83.9no4>f298yg7>m3:1?7>50z&1fg<5j11C>lh4i07a>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887l4$710>g=zutw0e<;l:18'6gd=943d3_;>?7g=#>:91n6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi=4h50;394?6|,;hi6?m=;I0bb>i6n:0;6)=zj8k:6=4<:183!4ej3=>7E{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626953=#>:91=;5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn5<7s-8in79:;I0bb>o6=k0;6)P5jm0:wA5c9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn5<7s-8in79:;I0bb>o6=k0;6)P5jm0:wA5c9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn5<7s-8in79:;I0bb>o6=k0;6)P5jm0:wA5c9U505=:r.=?94>6:&576<6>2wvqp5f14a94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5179~yx{4={%400?773-<8?7??;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?da483>1<729q/>ol5749K6d`P5jm0:wA5b9U505=:r.=?94>6:&576<6>2wvqp5f17594?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9?=0Z<;<:3y'262=9?1/:>=5179~yx{5<3290;w)=5179~yx{5281!04<3;;7)8<3;33?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`2e2<72=0;6=u+2c`930=O:hl0e<;m:18'6gd=943e3_;>?740<,?986<84}|~?l72k3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*935822>"1;:0::6sr}|9j531=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1=;5+621953=zutw0c4`43_;>?7g=#>:91n6sr}|9~f4g729036=4?{%0af?7a:2B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=94m;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m415290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9=;W367?4|,?9?6o5+6219f>{zut1b=:;50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9523<^8?86?u+6269f>"1;:0i7psr}:k233<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;;5Y14196~"1;=0i7)8<3;`8yx{z3`;<;7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;343>P6=:09w)8<4;`8 3542k1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj8i<6=4=:183!4ej3hn7Ef29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi=i:50;194?6|,;hi6?l7;I0bb>o6=k0;6)P5jm0:wA5c9U505=:r.=?94m;%407?d4={%400?733-<8?7?;;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?dd283>3<729q/>ol514:8L7ga3-;:5b:&576?7a=#>:91h6sr}M0aa?2|^;kh6>uYd481!04:3n:7)=i5;400>{Ql?09w)8<2;36e>"4n<0=?95r$7`b>45v*933821d=#;o?1:>=4}%4ae?743t\9mn4<{Wf6>7}#>:81h<5+3g79262:4}%4ae?eol52``8R7dc2;qG>oh51zT2a7<5s-;mm77ge3-9m978<4:'2gg=9>1vqp5f28a94?"5jk095n5Y2cf96~J5jo0:w[?j2;0x 4`f2;3h7[?:3;0x 35328=0(;=<:058yx{K:ko1=vX=b581Sb22;q/:><528a8 6`22?9?7p*9b`823>{zu2e:j>4?:%0af?7a;21vn5<7s-8in743e3_;>?7g=#>:91n6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1n6*9328a?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`2g<<7280;6=u+2c`96f4<@;km7b?i3;29 7de28l876sm1b`94?5=83:p(?lm:678L7ga3`;>n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;35?!04;3;=7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0::6*932822>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a5fe=8391<7>t$3`a>23<@;km7d?:b;29 7de28?j7[4={%400?d<,?986o5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a5fb=8391<7>t$3`a>23<@;km7d?:b;29 7de28?j7[4={%400?d<,?986o5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a5fc=83>1<7>t$3`a>23<@;km7d?:b;29 7de28?j7[4={%400?713-<8?7?9;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94>6:&576<6>2wvqp5f17594?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<88;W367?4|,?9?6<>4$710>464={%400?d<,?986o5r}|8yg7dn3:187>50z&1fg<0=2B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5179~yx{4={%400?713-<8?7?9;|~y>o6>>0;6)P5jm0:wA669U505=:r.=?94>6:&576<6>2wvqp5`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e9m:1<7:50;2x 7de2>?0D?oi;h36f?6=,;hi6<;n;I0ae>P5jm0:wA5c9U505=:r.=?94>6:&576<6>2wvqp5f14a94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5179~yx{5281!04<3h0(;=<:c9~yx{54;294~"5jk0<96F=ag9j50d=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1=;5+621953=zutw0e<;l:18'6gd=9o6X>5281!04<3;=7)8<3;35?x{zu2c:::4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8222=Q9<91>v*935822>"1;:0::6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi=no50;:94?6|,;hi6o6=k0;6)P5jm0:wA5c9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m400290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<88;W367?4|,?9?6o5+6219f>{zut1b=:<50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9524<^8?86?u+6269f>"1;:0i7psr}:k230<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;85Y14196~"1;=0i7)8<3;`8yx{z3`;<:7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;342>P6=:09w)8<4;`8 3542k1vqps4i054>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=<7[?:3;0x 3532k1/:>=5b:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576m7>5$3`a>43f32e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`0fa<72;0;6=u+2c`9f`=O:hl0e<;n:18'6gd=94={%400?d<,?986o5r}|8yg5d:3:1:7>50z&1fg<6=11C>lh4$05a>4?P6=:09w)8<4;`8 3542k1vqps4ie294?"5jk0o<6X=be82I4en3;pZol5d09U6gb=:rF9nk4>{W3f6?4|,8lj6i?4V070>7}#>:>1h6*9328g?x{zD;hn69uY2`a97~Pc=38p(;==:e38 6`22?9?7pXk6;0x 35528?j7)=i5;400>{#>kk1=>5rV3c`>6}Ql<09w)8<2;f2?!5a=3<886sYd781!04:3;>m6*v*9338g5>"4n<0=?95rVe496~"1;;0o<6*7}Ql<09w)8<2;f2?!5a=3<886s+6cc95==zut1b>ll50;&1fg<5ik1]>oj52zN1fc<6s_;n>77ge3_;>?741<,?986<94}|O6gc=9r\9n94={Wf6>7}#>:81>ll4$2d6>3533t.=nl4>7:~y>o51j0;6)P5jm09wA:5169'265=9>1vqpB=bd82S4e<38pZi;52z&577<51j1/?k;56268y!0ei3;<7psr;n3e7?6=,;hi6t$3`a>7d?3A8jj6g>5c83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1n6*9328a?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*9358a?!04;3h0qpsr;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{51;294~"5jk09o?5G2`d8k4`4290/>ol51g18?xd4jh0;6>4?:1y'6gd=?<1C>lh4i07a>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 35328:0(;=<:028yx{z3`;>o7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571<6>2.=?>4>6:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;35?!04;3;=7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0::6*932822>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a7ge=8391<7>t$3`a>23<@;km7d?:b;29 7de28?j7E{zut1b=8m50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 35328<0(;=<:048yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c1a=?6=<3:143e3_;>?7g=#>:91n6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1n6*9328a?x{zu2c:::4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8222=Q9<91>v*9358a?!04;3h0qpsr;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{57;294~"5jk0<96F=ag9j50d=83.9no4>5`9K6gg<^;ho6P5jm0:wA5b9U505=:r.=?94>1:&576<692wvqp5f17594?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<88;W367?4|,?9?6474={%400?703-<8?7?8;|~y>o6?<0;6)P5jm0:wA749U505=:r.=?94>7:&576<6?2wvqp5f16494?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9><0Z<;<:3y'262=9>1/:>=5169~yx{5<0290;w)=5109~yx{4={%400?763-<8?7?>;|~y>o6>>0;6)P5jm0:wA669U505=:r.=?94>7:&576<6?2wvqp5f16094?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9>80Z<;<:3y'262=9>1/:>=5169~yx{6=4+2c`950g<^;ho65281!04<3;<7)8<3;34?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`0g5<72:0;6=u+2c`95c4<@;km7d?:b;29 7de28?j7[4={%400?d<,?986o5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a7f5=8381<7>t$3`a>gc<@;km7d?:a;29 7de28?j76a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&5710;6?4?:1y'6gd=jl1C>lh4i07b>5<#:kh1=8o4;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{53;294~"5jk09n55G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6o5+6219f>{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626951=#>:91=95r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn>j?:185>5<7s-8in7?:8:J1ec=#9>h1=45f14c94?"5jk0:9l5Y2cf95~J5jo0:w[?j2;0x 4`f28?j7[?:3;0x 3532k1/:>=5b:~yx=nl90;6)g=zutw0ei?50;&1fgv*9358g?!04;3n0qpsC2cg90~P5ij08w[j::3y'264=l81/?k;56268ySb12;q/:><514c8 6`22?9?7p*9b`827>{Q:hi1?vXk5;0x 3552m;0(>h::717?xPc>38p(;==:07b?!5a=3<8?6s+6cc956=z^;kh6>uYd481!04:3n:7)=i5;400>{Ql?09w)8<2;f3?!5a=3<886s+6cc9g>{Q9o31>vXk5;0x 3552m;0(>h::717?x"1jh0:46sr}:k1eg<72-8in77}#9ok1>ll4V070>7}#>:>1=:5+621952=zutF9nh4>{W0a0?4|^m?1>v*93381eg=#;o?1:>:4}%4ae?703twv7d<6c;29 7de2;3h7[4>7:~yI4em3;pZ?l;:3yU`0<5s-<8>7<6c:&0b0<1;=1v(;ln:058yx{5}#:kh1>o64H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887l4$710>g=zutw0e<;l:18'6gd=943d3_;>?7g=#>:91n6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi?n;50;394?6|,;hi6?m=;I0bb>i6n:0;6)=zj:i=6=4<:183!4ej3=>7Eo7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;`8 3542k1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj:i36=4<:183!4ej3=>7E{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626950=#>:91=85r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn>m6:180>5<7s-8in79:;I0bb>o6=k0;6)P5jm0:wA5c9U505=:r.=?94>1:&576<692wvqp5f14a94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6<94$710>414={%400?d<,?986o5r}|8yg5di3:1?7>50z&1fg<0=2B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5109~yx{4={%400?703-<8?7?8;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d6<729q/>ol5749K6d`4={%400?703-<8?7?8;|~y>o6=j0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626952=#>:91=:5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn>ml:187>5<7s-8in79:;I0bb>o6=k0;6)P5jm0:wA5c9U505=:r.=?94>1:&576<692wvqp5f14a94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5109~yx{4={%400?703-<8?7?8;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d1<729q/>ol5749K6d`o6X>5281!04<3;:7)8<3;32?x{zu2c:::4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9?=0Z<;<:3y'262=9>1/:>=5169~yx{5<0290;w)N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+6269f>"1;:0i7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0i7)8<3;`8yx{z3`;=;7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;353>P6=:09w)8<4;`8 3542k1vqps4i051>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=97[?:3;0x 3532k1/:>=5b:~yx=n9>?1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51678R4342;q/:>:5b:&5767783>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6??1]=8=52z&571g=zutw0qo:5<1290;w)N5io1/=:l5189j50g=83.9no4>5`9U6gb=9rF9nk4>{W3f6?4|,8lj6<;n;W367?4|,?9?6o5+6219f>{zut1bh=4?:%0af?b73_8ih7?tL3`e>4}Q9l81>v*>f`8g4>P6=:09w)8<4;`8 3542k1vqps4ie394?"5jk0o=6X=be81I4en3;pZ7}#>:81h<5+3g792626;=;;|&5fd<6;2w]>lm53zTg1?4|,?996i?4$2d6>3533t\o:743f3-9m978<3:'2gg=9:1vZ?ol:2yU`0<5s-<8>7j>;%1e1?04<2w]h;4={%406?b73-9m978<4:'2gg=k2w]=k752zTg1?4|,?996i?4$2d6>3533t.=nl4>8:~y>o5ik0;6)P5jm09wA:5169'265=9>1vqpB=bd82S4e<38pZi;52z&577<5ik1/?k;56268y!0ei3;<7psr;h0:g?6=,;hi6?7l;W0a`?4|D;hm6{zuE8ii7?tV3`7>7}Ql<09w)8<2;0:g>"4n<0=?95r$7`b>416<729q/>ol52c:8L7ga3`;>n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;`8 3542k1vqps4i07`>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 3532k1/:>=5b:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&5764?:%0af?7a;21vn9:>:180>5<7s-8in79:;I0bb>o6=k0;6)P5jm0:wA5c9U505=:r.=?94>6:&576<6>2wvqp5f14a94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5179~yx{m7>5$3`a>43f32e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`5`?6=>3:1ol514c8R7dc28qG>oh51zT2a7<5s-;mm7?:a:T216<5s-<887l4$710>g=zutw0ei>50;&1fg{M0ab?7|^8o96?u+1gc9`5=Q9<91>v*9358a?!04;3h0qpsr;hf2>5<#:kh1h<5Y2cf96~J5jo0:w[?j2;0x 4`f2m;0Z<;<:3y'262=l2.=?>4k;|~H7db2=q]>lm53zTg1?4|,?996i?4$2d6>3533t\o:743f3-9m978<4:'2gg=9:1vZ?ol:2yU`0<5s-<8>7j>;%1e1?04<2w]h;4={%406?72i2.8j849329~ 3df2890q[7j>;%1e1?04<2w/:oo5199~yx=n:hh1<7*=bc81eg=Q:kn1>vB=bg82S7b:38p(h::717?x"1jh0:;6sr}:k1=f<72-8in7<6c:T1fa<5sE8ij7?tV0g1>7}#9ok1>4m4V070>7}#>:>1=:5+621952=zutF9nh4>{W0a0?4|^m?1>v*93381=f=#;o?1:>:4}%4ae?703twv7b?i3;29 7de28l876sm6583>6<729q/>ol52c:8L7ga3`;>n7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571g=zutw0c4`43_;>?7g=#>:91n6sr}|9~f33=83;1<7>t$3`a>7e53A8jj6a>f283>!4ej3;m?65rb7594?1=83:p(?lm:678L7ga3`;>n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;35?!04;3;=7psr}:k21f<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko514a8R4342;q/:>:5179'265=9?1vqps4i044>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?97:T216<5s-<887?9;%407?713twvq6g>7383>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:<4V070>7}#>:>1=;5+621953=zutw0e<9::18'6gd=95281!04<3;=7)8<3;35?x{zu2c:;;4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8233=Q9<91>v*935822>"1;:0::6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi:54?:683>5}#:kh1;85G2`d8m43e290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;35?!04;3;=7psr}:k21f<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko514a8R4342;q/:>:5179'265=9?1vqps4i044>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?97:T216<5s-<887?9;%407?713twvq6g>7383>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:<4V070>7}#>:>1=;5+621953=zutw0e<9::18'6gd=95281!04<3;=7)8<3;35?x{zu2c:;;4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8233=Q9<91>v*935822>"1;:0::6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi:44?:683>5}#:kh1;85G2`d8m43e290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;35?!04;3;=7psr}:k21f<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko514a8R4342;q/:>:5179'265=9?1vqps4i044>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?97:T216<5s-<887?9;%407?713twvq6g>7383>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:<4V070>7}#>:>1=;5+621953=zutw0e<9::18'6gd=95281!04<3;=7)8<3;35?x{zu2c:;;4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8233=Q9<91>v*935822>"1;:0::6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi:l4?:683>5}#:kh1;85G2`d8m43e290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;35?!04;3;=7psr}:k21f<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko514a8R4342;q/:>:5179'265=9?1vqps4i044>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?97:T216<5s-<887?9;%407?713twvq6g>7383>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:<4V070>7}#>:>1=;5+621953=zutw0e<9::18'6gd=95281!04<3;=7)8<3;35?x{zu2c:;;4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9><0Z<;<:3y'262=9?1/:>=5179~yx{=83:p(?lm:678L7ga3`;>n7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571<6>2.=?>4>6:~yx=n943d3_;>?740<,?986<84}|~?l71?3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`8222=Q9<91>v*935822>"1;:0::6sr}|9j524=83.9no4>5`9K6gg<^;ho65281!04<3;=7)8<3;35?x{zu2c:;;4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9><0Z<;<:3y'262=9?1/:>=5179~yx{4={%400?713-<8?7?9;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?df39K6d`4m;|~y>o6>>0;6)P5jm0:wA669U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m412290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9:;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a5g?=8381<7>t$3`a>gc<@;km7d?:a;29 7de28?j76a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571lh4i07b>5<#:kh1=8o4;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{56;294~"5jk0:955G2`d8 41e2830e<;n:18'6gd=94={%400?d<,?986o5r}|8ma6=83.9no4k0:T1fa<6sE8ij7?tV0g1>7}#9ok1h=5Y14196~"1;=0i7)8<3;`8yx{z3`n:6=4+2c`9`4=Q:kn1>vB=bg82S7b:38p(:5d:&576a7<,:l>6;=;;|Tg2?4|,?996<;n;%1e1?04<2w/:oo5129~R7gd2:q]h84={%406?b63-9m978<4:U`3<5s-<8>7?:a:&0b0<1;:1v(;ln:018yS4fk39pZi;52z&5772;q]h84={%406?b63-9m978<4:'2gg=911vqp5f2``94?"5jk09mo5Y2cf96~J5jo0:w[?j2;0x 4`f2;ki7[?:3;0x 35328=0(;=<:058yx{K:ko1=vX=b581Sb22;q/:><52``8 6`22?9?7p*9b`823>{zu2c95n4?:%0af?4>k2\9ni4={M0ab?7|^8o96?u+1gc96:91=:5r}|N1f`<6s_8i87{e9kk1<7=50;2x 7de2;h37E:5b:&5765b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571g=zutw0qo?mb;295?6=8r.9no4=c39K6d`5}#:kh1;85G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6o5+6219f>{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+6269f>"1;:0i7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th:nh4?:283>5}#:kh1;85G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6<84$710>40{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a5g`=8391<7>t$3`a>23<@;km7d?:b;29 7de28?j7[4={%400?713-<8?7?9;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94>6:&576<6>2wvqp5`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e9ki1<7:50;2x 7de28l97Eo7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;`8 3542k1vqps4i044>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<<7[?:3;0x 3532k1/:>=5b:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576=83:p(?lm:678L7ga3`;>n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;32?!04;3;:7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0:=6*932825>{zut1b=;950;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28<<7[?:3;0x 35328=0(;=<:058yx{z3`;<>7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;346>P6=:09w)8<4;34?!04;3;<7psr}:k230<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko51678R4342;q/:>:5169'265=9>1vqps4i055>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?86:T216<5s-<887?8;%407?703twvq6g>7683>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?>1]=8=52z&571<6?2.=?>4>7:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576=83:p(?lm:678L7ga3`;>n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;32?!04;3;:7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0:=6*932825>{zut1b=;950;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28<<7[?:3;0x 35328=0(;=<:058yx{z3`;<>7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;346>P6=:09w)8<4;34?!04;3;<7psr}:k230<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko51678R4342;q/:>:5169'265=9>1vqps4i055>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?86:T216<5s-<887?8;%407?703twvq6g>7683>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?>1]=8=52z&571<6?2.=?>4>7:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576=83:p(?lm:678L7ga3`;>n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;32?!04;3;:7psr}:k21f<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko514a8R4342;q/:>:5109'265=981vqps4i044>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?97:T216<5s-<887?8;%407?703twvq6g>7383>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:<4V070>7}#>:>1=:5+621952=zutw0e<9::18'6gd=95281!04<3;<7)8<3;34?x{zu2c:;;4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8233=Q9<91>v*935823>"1;:0:;6sr}|9j521=83.9no4>5`9K6gg<^;ho65281!04<3h0(;=<:c9~yx{54;294~"5jk0:j?5G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6o5+6219f>{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+6269f>"1;:0i7psr}:k222<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:::5Y14196~"1;=0i7)8<3;`8yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c3g1?6=:3:1N5io1b=8o50;&1fg<6=h10c4`43_;>?7g=#>:91n6sr}|9~f4c6290=6=4?{%0af?7202B9mk5+16`95<=n9!4ej3n;7[4={%400?d<,?986o5r}|8ma7=83.9no4k1:T1fa<5sE8ij7?tV0g1>7}#9ok1h<5Y14196~"1;=0o7)8<3;f8yx{K:ko18vX=ab80Sb22;q/:><5d09'7c3=>:>0q[j9:3y'264=9h::717?x"1jh0:?6sY2`a97~Pc=38p(;==:e38 6`22?9?7pXk6;0x 35528?j7)=i5;407>{#>kk1=>5rV3c`>6}Ql<09w)8<2;f2?!5a=3<886sYd781!04:3n;7)=i5;400>{#>kk1o6sY1g;96~Pc=38p(;==:e38 6`22?9?7p*9b`82<>{zu2c9mo4?:%0af?4fj2\9ni4={M0ab?7|^8o96?u+1gc96dd<^8?86?u+626952=#>:91=:5r}|N1f`<6s_8i87k3:1(?lm:3;`?S4el38p@?li:0yU5`4=:r.:jl4=9b9U505=:r.=?94>7:&576<6?2wvqAk2.8j849359~ 3df28=0qps4o0d0>5<#:kh1=k=4;|`2`3<72:0;6=u+2c`96g><@;km7d?:b;29 7de28?j7[4={%400?d<,?986o5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a5a1=83;1<7>t$3`a>7e53A8jj6a>f283>!4ej3;m?65rb0f:>5<4290;w){M0ab?7|^m=1>v*>f`821g=Q9<91>v*9358a?!04;3h0qpsr;h36g?6=,;hi6<;n;W0a`?7|D;hm6o6X>5281!04<3h0(;=<:c9~yx{5<4290;w){M0ab?7|^m=1>v*>f`821g=Q9<91>v*9358a?!04;3h0qpsr;h36g?6=,;hi6<;n;W0a`?7|D;hm6o6X>5281!04<3h0(;=<:c9~yx{5<3290;w)=5179~yx{5281!04<3;=7)8<3;35?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`2`f<72=0;6=u+2c`930=O:hl0e<;m:18'6gd=943e3_;>?740<,?986<84}|~?l72k3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*935822>"1;:0::6sr}|9j531=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1=;5+621953=zutw0c4`43_;>?7g=#>:91n6sr}|9~f4bc290>6=4?{%0af?123A8jj6g>5c83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1=;5+621953=zutw0e<;l:18'6gd=9o6X>5281!04<3;=7)8<3;35?x{zu2c:::4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9?=0Z<;<:3y'262=9?1/:>=5179~yx{4={%400?713-<8?7?9;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?ddd83>=<729q/>ol5749K6d`P5jm0:wA5b9U505=:r.=?94>0:&576<682wvqp5f17594?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<88;W367?4|,?9?6<>4$710>46{zut1b=:;50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28=>7[?:3;0x 35328<0(;=<:048yx{z3`;<:7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6??1]=8=52z&571<6>2.=?>4>6:~yx=n9>=1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51658R4342;q/:>:5179'265=9?1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj8nm6=47:183!4ej3=>7E{zut1b=8m50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 35328:0(;=<:028yx{z3`;=;7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6>>1]=8=52z&571<6>2.=?>4>6:~yx=n9>81<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51608R4342;q/:>:5179'265=9?1vqps4i056>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?85:T216<5s-<887?9;%407?713twvq6g>7783>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:84V070>7}#>:>1=;5+621953=zutw0e<98:18'6gd=94103_;>?740<,?986<84}|~?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887l4$710>g=zutw0qo?k8;29f39K6d`4m;|~y>o6>>0;6)P5jm0:wA669U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m412290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9:;W367?4|,?9?6o5+6219f>{zut1b=:850;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9520<^8?86?u+6269f>"1;:0i7psr}:k232<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;:5Y14196~"1;=0i7)8<3;`8yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c3ef?6=:3:1N5io1b=8o50;&1fg<6=h10c4`43_;>?7g=#>:91n6sr}|9~f760290=6=4?{%0af?7202B9mk5+16`95<=n9!4ej3n;7[4={%400?d<,?986o5r}|8ma7=83.9no4k1:T1fa<5sE8ij7?tV0g1>7}#9ok1h<5Y14196~"1;=0o7)8<3;f8yx{K:ko18vX=ab80Sb22;q/:><5d09'7c3=>:>0q[j9:3y'264=9h::717?x"1jh0:?6sY2`a97~Pc=38p(;==:e38 6`22?9?7pXk6;0x 35528?j7)=i5;407>{#>kk1=>5rV3c`>6}Ql<09w)8<2;f2?!5a=3<886sYd781!04:3n;7)=i5;400>{#>kk1o6sY1g;96~Pc=38p(;==:e38 6`22?9?7p*9b`82<>{zu2c9mo4?:%0af?4fj2\9ni4={M0ab?7|^8o96?u+1gc96dd<^8?86?u+626952=#>:91=:5r}|N1f`<6s_8i87k3:1(?lm:3;`?S4el38p@?li:0yU5`4=:r.:jl4=9b9U505=:r.=?94>7:&576<6?2wvqAk2.8j849359~ 3df28=0qps4o0d0>5<#:kh1=k=4;|`2bf<72:0;6=u+2c`96g><@;km7d?:b;29 7de28?j7[4={%400?d<,?986o5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a5cb=83;1<7>t$3`a>7e53A8jj6a>f283>!4ej3;m?65rb0de>5<4290;w){M0ab?7|^m=1>v*>f`821g=Q9<91>v*9358a?!04;3h0qpsr;h36g?6=,;hi6<;n;W0a`?7|D;hm6o6X>5281!04<3h0(;=<:c9~yx{5<4290;w){M0ab?7|^m=1>v*>f`821g=Q9<91>v*9358a?!04;3h0qpsr;h36g?6=,;hi6<;n;W0a`?7|D;hm6o6X>5281!04<3h0(;=<:c9~yx{5<4290;w){M0ab?7|^m=1>v*>f`821g=Q9<91>v*935824>"1;:0:<6sr}|9j50e=83.9no4>5`9K6gg<^;ho65281!04<3h0(;=<:c9~yx{7>53;294~"5jk0<96F=ag9j50d=83.9no4>5`9K6gg<^;ho6P5jm0:wA5b9U505=:r.=?94>6:&576<6>2wvqp5`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e:991<7=50;2x 7de2>?0D?oi;h36f?6=,;hi6<;n;I0ae>P5jm0:wA5c9U505=:r.=?94>6:&576<6>2wvqp5f14a94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6<84$710>404={%400?d<,?986o5r}|8yg47<3:1?7>50z&1fg<0=2B9mk5f14`94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6<84$710>404={%400?713-<8?7?9;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d1<729q/>ol5749K6d`o6X>5281!04<3;;7)8<3;33?x{zu2c:::4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9?=0Z<;<:3y'262=9?1/:>=5179~yx{5N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+6269f>"1;:0i7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0i7)8<3;`8yx{z3`;=;7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;353>P6=:09w)8<4;`8 3542k1vqps4i051>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=97[?:3;0x 3532k1/:>=5b:~yx=n9>?1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51678R4342;q/:>:5b:&5767783>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6??1]=8=52z&571g=zutw0c4`43_;>?7g=#>:91n6sr}|9~f74629096=4?{%0af?db3A8jj6g>5`83>!4ej3;>m65`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e:;21<7<50;2x 7de2ko0D?oi;h36e?6=,;hi6<;n;:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th9>h4?:783>5}#:kh1=864H3ce?!70j3;27d?:a;29 7de28?j7[ol5d19U6gb=9rF9nk4>{W3f6?4|,8lj6i>4V070>7}#>:>1n6*9328a?x{zu2co=7>5$3`a>a7<^;ho6?uC2cd95~P6m;09w)?ia;f2?S72;38p(;=;:e9'265=l2wvqA7?:a:&0b0<1;=1v(;ln:018yS4fk39pZi;52z&5775`9'7c3=>:90q)8ma;30?xP5ij08w[j::3y'264=l81/?k;56268ySb12;q/:><5d19'7c3=>:>0q)8ma;a8yS7a138pZi;52z&5775<#:kh1>ll4V3`g>7}K:kl1=vX>e381!7ai38jn6X>5281!04<3;<7)8<3;34?x{zD;hn64m50;&1fg<51j1]>oj52zN1fc<6s_;n>77?d3_;>?741<,?986<94}|O6gc=9r\9n94={Wf6>7}#>:81>4m4$2d6>3533t.=nl4>7:~y>i6n:0;6)=zj;896=4<:183!4ej38i46F=ag9j50d=83.9no4>5`9K6gg<^;ho64m;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d4<729q/>ol52b08L7ga3f;m?7>5$3`a>4`432wi>?;50;194?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887l4$710>g=zutw0e<;l:18'6gd=943d3_;>?7g=#>:91n6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi>?850;594?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`821g=Q9<91>v*935822>"1;:0::6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=;5+621953=zutw0e<88:18'6gd=95281!04<3;=7)8<3;35?x{zu2c:;?4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9>80Z<;<:3y'262=9?1/:>=5179~yx{6=4+2c`950g<@;hj7[4={%400?713-<8?7?9;|~y>o6??0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc9520<^8?86?u+626953=#>:91=;5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn?<8:184>5<7s-8in79:;I0bb>o6=k0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+626953=#>:91=;5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6<84$710>40{zut1b=:<50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28=97[?:3;0x 35328<0(;=<:048yx{z3`;<97>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6?<1]=8=52z&571<6>2.=?>4>6:~yx=n9><1<7*=bc821d=O:kk0Z?lk:0yO6g`=9r\o;74113_;>?740<,?986<84}|~?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887l4$710>g=zutw0qo<=4;290?6=8r.9no4>f39K6d`4m;|~y>o6>>0;6)P5jm0:wA669U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8yg4513:197>50z&1fg<0=2B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=91/:>=5169~yx{4={%400?703-<8?7?8;|~y>o6>>0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc9531<^8?86?u+626952=#>:91=:5r}|8m415290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;346>P6=:09w)8<4;34?!04;3;<7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th9>l4?:783>5}#:kh1;85G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?647{zut1b=;950;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9531<^8?86?u+626952=#>:91=:5r}|8m415290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;346>P6=:09w)8<4;34?!04;3;<7psr}:k230<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko51678R4342;q/:>:5169'265=9>1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj;8i6=47:183!4ej3=>7E:5109'265=981vqps4i07`>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:c:T216<5s-<887?>;%407?763twvq6g>6683>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1=<5+621954=zutw0e<9=:18'6gd=94153_;>?741<,?986<94}|~?l70=3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`8230=Q9<91>v*935823>"1;:0:;6sr}|9j520=83.9no4>5`9K6gg<^;ho65281!04<3;<7)8<3;34?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`16f<72=0;6=u+2c`95c4<@;km7d?:b;29 7de28?j7[4={%400?d<,?986o5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6o5+6219f>{zut1b=;950;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9531<^8?86?u+6269f>"1;:0i7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th9o>4?:383>5}#:kh1nh5G2`d8m43f290/>ol514c8?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887l4$710>g=zutw0qo599K6d`<,8=i6<74i07b>5<#:kh1=8o4V3`g>4}K:kl1=vX>e381!7ai3;>m6X>5281!04<3h0(;=<:c9~yx{P5jm0:wA:18'6gd=l81]>oj52zN1fc<6s_;n>7a7<^8?86?u+6269`>"1;:0o7psrL3`f>1}Q:hi1?vXk5;0x 3552m;0(>h::717?xPc>38p(;==:07b?!5a=3<886s+6cc956=z^;kh6>uYd481!04:3n:7)=i5;400>{Ql?09w)8<2;36e>"4n<0=?>5r$7`b>45v*9338g4>"4n<0=?95r$7`b>f=z^8l26?uYd481!04:3n:7)=i5;400>{#>kk1=55r}|9j6dd=83.9no4=ac9U6gb=:rF9nk4>{W3f6?4|,8lj6?om;W367?4|,?9?6<94$710>41ok51zT1f1<5s_n>6?u+62096dd<,:l>6;=;;|&5fd<6?2wvq6g=9b83>!4ej382o6X=be81I4en3;pZ1/:>=5169~yxJ5jl0:w[:>0q)8ma;34?x{z3f;m?7>5$3`a>4`432wi>n:50;194?6|,;hi6?l7;I0bb>o6=k0;6)P5jm0:wA5c9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn?m::182>5<7s-8in753;294~"5jk0<96F=ag9j50d=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1==5+621955=zutw0e<;l:18'6gd=943d3_;>?746<,?986<>4}|~?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887l4$710>g=zutw0qo=k2;296?6=8r.9no4me:J1ec=n95<5290;w)oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a7`6=8391<7>t$3`a>7d?3A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&5713`;>m7>5$3`a>43f3_8ih7?tL3`e>4}Q9l81>v*>f`821d=Q9<91>v*9358a?!04;3h0qpsr;hf3>5<#:kh1h=5Y2cf95~J5jo0:w[?j2;0x 4`f2m:0Z<;<:3y'262=j2.=?>4m;|~y>oc93:1(?lm:e38R7dc2;qG>oh51zT2a7<5s-;mm7j>;W367?4|,?9?6i5+6219`>{zuE8ii7:tV3c`>6}Ql<09w)8<2;f2?!5a=3<886sYd781!04:3;>m6*v*9338g5>"4n<0=?95rVe496~"1;;0:9l5+3g792656?u+6209`4=#;o?1:>:4}Wf5>7}#>:81h=5+3g79262v*9338g5>"4n<0=?95r$7`b>4>4={%400?703-<8?7?8;|~H7db28q]>o:52zTg1?4|,?996?om;%1e1?04<2w/:oo5169~yx=n:0i1<7*=bc81=f=Q:kn1>vB=bg82S7b:38p(h::717?x"1jh0:;6sr}:m2b6<72-8in7?i3:9~f6b429086=4?{%0af?4e02B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=94m;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8yg5c<3:1=7>50z&1fg<5k;1C>lh4o0d0>5<#:kh1=k=4;|`0`3<72:0;6=u+2c`930=O:hl0e<;m:18'6gd=943e3_;>?7g=#>:91n6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1n6*9328a?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`0`2<72=0;6=u+2c`930=O:hl0e<;m:18'6gd=943e3_;>?746<,?986<>4}|~?l72k3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*935822>"1;:0::6sr}|9j531=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1=;5+621953=zutw0c4`43_;>?7g=#>:91n6sr}|9~f6b229086=4?{%0af?7a:2B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=94m;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8yg5c13:187>50z&1fg<0=2B9mk5f14`94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?647{zut1b=;950;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28<<7[?:3;0x 35328=0(;=<:058yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c1ge?6=13:1N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+626954=#>:91=<5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6474={%400?763-<8?7?>;|~y>o6?;0;6)P5jm0:wA739U505=:r.=?94>1:&576<692wvqp5f16794?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9>?0Z<;<:3y'262=981/:>=5109~yx{5281!04<3;:7)8<3;32?x{zu2c:;54?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`823==Q9<91>v*935825>"1;:0:=6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi?il50;32>5<7s-8in79:;I0bb>o6=k0;6)P5jm0:wA5c9U505=:r.=?94>1:&576<692wvqp5f14a94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5109~yx{6X>5281!04<3;:7)8<3;32?x{zu2c:;84?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8230=Q9<91>v*935825>"1;:0:=6sr}|9j520=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:84V070>7}#>:>1=<5+621954=zutw0e<98:18'6gd=94103_;>?747<,?986{M0ab?7|^m=1>v*>f`823==Q9<91>v*935825>"1;:0:=6sr}|9j52?=83.9no4>5`9K6gg<^;ho6P5jm0:wA7`9U505=:r.=?94>7:&576<6?2wvqp5f14f94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=94m;|~y>o6=l0;6)P5jm0:wA5d9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m407290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<8?;W367?4|,?9?6o5+6219f>{zut1b=;?50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9537<^8?86?u+6269f>"1;:0i7psr}:k227<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0::?5Y14196~"1;=0i7)8<3;`8yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c1gg?6=980;6=u+2c`930=O:hl0e<;m:18'6gd=943e3_;>?747<,?986;%407?763twvq6g>6683>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>>1]=8=52z&571<692.=?>4>1:~yx=n9>81<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51608R4342;q/:>:5109'265=981vqps4i056>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=>7[?:3;0x 35328;0(;=<:038yx{z3`;<:7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;342>P6=:09w)8<4;32?!04;3;:7psr}:k232<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;:5Y14196~"1;=0:=6*932825>{zut1b=:650;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28=37[?:3;0x 35328;0(;=<:038yx{z3`;<57>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6?01]=8=52z&571<6?2.=?>4>7:~yx=n9>k1<7*=bc821d=O:kk0Z?lk:0yO6g`=9r\o;741f3_;>?741<,?986<94}|~?l72l3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:d:T216<5s-<887l4$710>g=zutw0e<;j:18'6gd=943b3_;>?7g=#>:91n6sr}|9j50`=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8h4V070>7}#>:>1n6*9328a?x{zu2c::=4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8225=Q9<91>v*9358a?!04;3h0qpsr;h355?6=,;hi6<;n;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e;mn1<7;50;2x 7de28l97Eo7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;`8 3542k1vqps4i044>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<<7[?:3;0x 3532k1/:>=5b:~yx=n9>81<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51608R4342;q/:>:5b:&576f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571lh4i07b>5<#:kh1=8o4;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{56;294~"5jk0:955G2`d8 41e2830e<;n:18'6gd=94={%400?d<,?986o5r}|8ma6=83.9no4k0:T1fa<6sE8ij7?tV0g1>7}#9ok1h=5Y14196~"1;=0i7)8<3;`8yx{z3`n:6=4+2c`9`4=Q:kn1>vB=bg82S7b:38p(:5d:&576a7<,:l>6;=;;|Tg2?4|,?996<;n;%1e1?04<2w/:oo5129~R7gd2:q]h84={%406?b63-9m978<4:U`3<5s-<8>7?:a:&0b0<1;:1v(;ln:018yS4fk39pZi;52z&5772;q]h84={%406?b63-9m978<4:'2gg=911vqp5f2``94?"5jk09mo5Y2cf96~J5jo0:w[?j2;0x 4`f2;ki7[?:3;0x 35328=0(;=<:058yx{K:ko1=vX=b581Sb22;q/:><52``8 6`22?9?7p*9b`823>{zu2c95n4?:%0af?4>k2\9ni4={M0ab?7|^8o96?u+1gc96:91=:5r}|N1f`<6s_8i87{e;l81<7=50;2x 7de2;h37Eo7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;`8 3542k1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj:o86=4>:183!4ej38h>6F=ag9l5c5=83.9no4>f298yg5b<3:1?7>50z&1fg<0=2B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5119~yx{5281!04<3h0(;=<:c9~yx{;>7>52;294~"5jk0ii6F=ag9j50g=83.9no4>5`98k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn9>n:181>5<7s-8in7lj;I0bb>o6=h0;6)=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576{M0ab?7|^m=1>v*>f`821g=Q9<91>v*9358a?!04;3h0qpsr;h36g?6=,;hi6<;n;W0a`?7|D;hm6o6X>5281!04<3;?7)8<3;37?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`74a<72?0;6=u+2c`950><@;km7)?8b;3:?l72i3:1(?lm:07b?S4el3;p@?li:0yU5`4=:r.:jl4>5`9U505=:r.=?94m;%407?doj51zN1fc<6s_;n>7a6<^8?86?u+6269f>"1;:0i7psr}:kg5?6=,;hi6i?4V3`g>7}K:kl1=vX>e381!7ai3n:7[?:3;0x 3532m1/:>=5d:~yI4em3>pZ?ol:2yU`0<5s-<8>7j>;%1e1?04<2w]h;4={%406?72i2.8j849359~ 3df2890q[<5d09'7c3=>:>0q[j9:3y'264=l91/?k;56268y!0ei3i0q[?i9;0xRa3=:r.=??4k1:&0b0<1;=1v(;ln:0:8yx{P6=:09w)8<4;34?!04;3;<7psrL3`f>4}Q:k>1>vXk5;0x 3552;ki7)=i5;400>{#>kk1=:5r}|9j6{W3f6?4|,8lj6?7l;W367?4|,?9?6<94$710>41ok51zT1f1<5s_n>6?u+620966;=;;|&5fd<6?2wvq6a>f283>!4ej3;m?65rb520>5<4290;w)N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+6269f>"1;:0i7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0i7)8<3;`8yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c630?6=93:10<729q/>ol5749K6d`4={%400?713-<8?7?9;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94>6:&576<6>2wvqp5f17594?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9?=0Z<;<:3y'262=9?1/:>=5179~yx{4={%400?713-<8?7?9;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d2<729q/>ol5749K6d`4={%400?713-<8?7?9;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94>6:&576<6>2wvqp5f17594?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<88;W367?4|,?9?6<84$710>40{zut1b=:;50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28=>7[?:3;0x 35328<0(;=<:048yx{z3`;<:7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;342>P6=:09w)8<4;35?!04;3;=7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th?<54?:683>5}#:kh1;85G2`d8m43e290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;35?!04;3;=7psr}:k21f<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko514a8R4342;q/:>:5179'265=9?1vqps4i044>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?97:T216<5s-<887?9;%407?713twvq6g>7383>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:<4V070>7}#>:>1=;5+621953=zutw0e<9::18'6gd=95281!04<3;=7)8<3;35?x{zu2c:;;4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8233=Q9<91>v*935822>"1;:0::6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi8=750;594?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`821g=Q9<91>v*935822>"1;:0::6sr}|9j50e=83.9no4>5`9K6gg<^;ho6P5jm0:wA669U505=:r.=?94>6:&576<6>2wvqp5f16094?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<9=;W367?4|,?9?6<84$710>40{zut1b=:850;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9520<^8?86?u+626953=#>:91=;5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn9>::186>5<7s-8in7?i2:J1ec=n9:5b:&5765b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571g=zutw0e<9=:18'6gd=94153_;>?7g=#>:91n6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi8=l50;794?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`821g=Q9<91>v*935823>"1;:0:;6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=:5+621952=zutw0e<88:18'6gd=94003_;>?741<,?986<94}|~?l70:3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?82:T216<5s-<887?8;%407?703twvq6a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571lh4i07b>5<#:kh1=8o4;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{8h7>56;294~"5jk0:955G2`d8 41e2830e<;n:18'6gd=94={%400?d<,?986o5r}|8ma6=83.9no4k0:T1fa<6sE8ij7?tV0g1>7}#9ok1h=5Y14196~"1;=0i7)8<3;`8yx{z3`n:6=4+2c`9`4=Q:kn1>vB=bg82S7b:38p(:5d:&576a7<,:l>6;=;;|Tg2?4|,?996<;n;%1e1?04<2w/:oo5129~R7gd2:q]h84={%406?b63-9m978<4:U`3<5s-<8>7?:a:&0b0<1;:1v(;ln:018yS4fk39pZi;52z&5772;q]h84={%406?b63-9m978<4:'2gg=911vqp5f2``94?"5jk09mo5Y2cf96~J5jo0:w[?j2;0x 4`f2;ki7[?:3;0x 35328=0(;=<:058yx{K:ko1=vX=b581Sb22;q/:><52``8 6`22?9?7p*9b`823>{zu2c95n4?:%0af?4>k2\9ni4={M0ab?7|^8o96?u+1gc96:91=:5r}|N1f`<6s_8i87{e<:31<7=50;2x 7de2;h37Eo7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;`8 3542k1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj=9j6=4>:183!4ej38h>6F=ag9l5c5=83.9no4>f298yg24j3:1?7>50z&1fg<0=2B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5119~yx{5281!04<3h0(;=<:c9~yx{?87>52;294~"5jk0ii6F=ag9j50g=83.9no4>5`98k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn9:j:185>5<7s-8in7?:8:J1ec=#9>h1=45f14c94?"5jk0:9l5Y2cf95~J5jo0:w[?j2;0x 4`f28?j7[?:3;0x 3532k1/:>=5b:~yx=nl90;6)g=zutw0ei?50;&1fgv*9358g?!04;3n0qpsC2cg90~P5ij08w[j::3y'264=l81/?k;56268ySb12;q/:><514c8 6`22?9?7p*9b`827>{Q:hi1?vXk5;0x 3552m;0(>h::717?xPc>38p(;==:07b?!5a=3<8?6s+6cc956=z^;kh6>uYd481!04:3n:7)=i5;400>{Ql?09w)8<2;f3?!5a=3<886s+6cc9g>{Q9o31>vXk5;0x 3552m;0(>h::717?x"1jh0:46sr}:k1eg<72-8in77}#9ok1>ll4V070>7}#>:>1=:5+621952=zutF9nh4>{W0a0?4|^m?1>v*93381eg=#;o?1:>:4}%4ae?703twv7d<6c;29 7de2;3h7[4>7:~yI4em3;pZ?l;:3yU`0<5s-<8>7<6c:&0b0<1;=1v(;ln:058yx{5}#:kh1>o64H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887l4$710>g=zutw0e<;l:18'6gd=943d3_;>?7g=#>:91n6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi89850;394?6|,;hi6?m=;I0bb>i6n:0;6)=zj=>36=4<:183!4ej3=>7E{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626953=#>:91=;5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn9:6:180>5<7s-8in79:;I0bb>o6=k0;6)P5jm0:wA5c9U505=:r.=?94>6:&576<6>2wvqp5f14a94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5179~yx{5<4290;w){M0ab?7|^m=1>v*>f`821g=Q9<91>v*935822>"1;:0::6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=;5+621953=zutw0c4`43_;>?7g=#>:91n6sr}|9~f12e290?6=4?{%0af?123A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571<6>2.=?>4>6:~yx=n943d3_;>?740<,?986<84}|~?l71?3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`8222=Q9<91>v*935822>"1;:0::6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi89m50;:94?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`821g=Q9<91>v*935822>"1;:0::6sr}|9j50e=83.9no4>5`9K6gg<^;ho6P5jm0:wA669U505=:r.=?94>6:&576<6>2wvqp5f16094?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<9=;W367?4|,?9?6<84$710>40{zut1b=:850;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28==7[?:3;0x 35328<0(;=<:048yx{z3`;<;7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;343>P6=:09w)8<4;35?!04;3;=7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th?8:4?:783>5}#:kh1=k<4H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887l4$710>g=zutw0e<;l:18'6gd=943d3_;>?7g=#>:91n6sr}|9j531=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1n6*9328a?x{zu2c:;?4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8237=Q9<91>v*9358a?!04;3h0qpsr;h341?6=,;hi6<;n;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{5<5290;w)oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a0=>=83<1<7>t$3`a>43?3A8jj6*>7c82=>o6=h0;6)P5jm0:wA:5b:&576?7g=#>:91n6sr}|9j`4<72-8in7j>;W0a`?4|D;hm65281!04<3n0(;=<:e9~yxJ5jl0?w[<5d09'7c3=>:>0q[j9:3y'264=9h::710?x"1jh0:?6sY2`a97~Pc=38p(;==:e38 6`22?9?7pXk6;0x 3552m:0(>h::717?x"1jh0h7pX>f881Sb22;q/:><5d09'7c3=>:>0q)8ma;3;?x{z3`8jn7>5$3`a>7ge3_8ih74}Q9l81>v*>f`81eg=Q9<91>v*935823>"1;:0:;6sr}M0aa?7|^;h?6?uYd481!04:38jn6*ol528a8R7dc2;qG>oh51zT2a7<5s-;mm7<6c:T216<5s-<887?8;%407?703twv@?lj:0yU6g2=:r\o977?d3-9m978<4:'2gg=9>1vqp5`1g194?"5jk0:j>54}c6;0?6=;3:143e3_;>?7g=#>:91n6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1n6*9328a?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`7<0<7280;6=u+2c`96f4<@;km7b?i3;29 7de28l876sm49494?5=83:p(?lm:678L7ga3`;>n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;35?!04;3;=7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0::6*932822>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a0=?=8381<7>t$3`a>gc<@;km7d?:a;29 7de28?j76a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&5713`;>m7>5$3`a>43f3_8ih7?tL3`e>4}Q9l81>v*>f`821d=Q9<91>v*9358a?!04;3h0qpsr;hf3>5<#:kh1h=5Y2cf95~J5jo0:w[?j2;0x 4`f2m:0Z<;<:3y'262=j2.=?>4m;|~y>oc93:1(?lm:e38R7dc2;qG>oh51zT2a7<5s-;mm7j>;W367?4|,?9?6i5+6219`>{zuE8ii7:tV3c`>6}Ql<09w)8<2;f2?!5a=3<886sYd781!04:3;>m6*v*9338g5>"4n<0=?95rVe496~"1;;0:9l5+3g792656?u+6209`4=#;o?1:>:4}Wf5>7}#>:81h=5+3g79262v*9338g5>"4n<0=?95r$7`b>4>4={%400?703-<8?7?8;|~H7db28q]>o:52zTg1?4|,?996?om;%1e1?04<2w/:oo5169~yx=n:0i1<7*=bc81=f=Q:kn1>vB=bg82S7b:38p(h::717?x"1jh0:;6sr}:m2b6<72-8in7?i3:9~f1>f29086=4?{%0af?4e02B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=94m;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8yg2?j3:1=7>50z&1fg<5k;1C>lh4o0d0>5<#:kh1=k=4;|`7n6X>5281!04<3;;7)8<3;33?x{zu2c:9n4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9=5119~yx{5<5290;w)oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a26g=83<1<7>t$3`a>43?3A8jj6*>7c82=>o6=h0;6)P5jm0:wA:5b:&576?7g=#>:91n6sr}|9j`4<72-8in7j>;W0a`?4|D;hm65281!04<3n0(;=<:e9~yxJ5jl0?w[<5d09'7c3=>:>0q[j9:3y'264=9h::710?x"1jh0:?6sY2`a97~Pc=38p(;==:e38 6`22?9?7pXk6;0x 3552m:0(>h::717?x"1jh0h7pX>f881Sb22;q/:><5d09'7c3=>:>0q)8ma;3;?x{z3`8jn7>5$3`a>7ge3_8ih74}Q9l81>v*>f`81eg=Q9<91>v*935823>"1;:0:;6sr}M0aa?7|^;h?6?uYd481!04:38jn6*ol528a8R7dc2;qG>oh51zT2a7<5s-;mm7<6c:T216<5s-<887?8;%407?703twv@?lj:0yU6g2=:r\o977?d3-9m978<4:'2gg=9>1vqp5`1g194?"5jk0:j>54}c402?6=;3:1n6X>5281!04<3h0(;=<:c9~yx{5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e>:=1<7?50;2x 7de2;i97E5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571<682.=?>4>0:~yx=n943d3_;>?740<,?986<84}|~?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887l4$710>g=zutw0qo8:f;296?6=8r.9no4me:J1ec=n95<1290;w)N5io1/=:l5189j50g=83.9no4>5`9U6gb=9rF9nk4>{W3f6?4|,8lj6<;n;W367?4|,?9?6o5+6219f>{zut1bh=4?:%0af?b73_8ih7?tL3`e>4}Q9l81>v*>f`8g4>P6=:09w)8<4;`8 3542k1vqps4ie394?"5jk0o=6X=be81I4en3;pZ7}#>:81h<5+3g792626;=;;|&5fd<6;2w]>lm53zTg1?4|,?996i?4$2d6>3533t\o:743f3-9m978<3:'2gg=9:1vZ?ol:2yU`0<5s-<8>7j>;%1e1?04<2w]h;4={%406?b73-9m978<4:'2gg=k2w]=k752zTg1?4|,?996i?4$2d6>3533t.=nl4>8:~y>o5ik0;6)P5jm09wA:5169'265=9>1vqpB=bd82S4e<38pZi;52z&577<5ik1/?k;56268y!0ei3;<7psr;h0:g?6=,;hi6?7l;W0a`?4|D;hm6{zuE8ii7?tV3`7>7}Ql<09w)8<2;0:g>"4n<0=?95r$7`b>416<729q/>ol52c:8L7ga3`;>n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;`8 3542k1vqps4i07`>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 3532k1/:>=5b:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&5764?:%0af?7a;21vn;8<:180>5<7s-8in79:;I0bb>o6=k0;6)P5jm0:wA5c9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn;8;:180>5<7s-8in79:;I0bb>o6=k0;6)P5jm0:wA5c9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn;8::187>5<7s-8in79:;I0bb>o6=k0;6)P5jm0:wA5c9U505=:r.=?94>6:&576<6>2wvqp5f14a94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6<84$710>404={%400?713-<8?7?9;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d0<729q/>ol5749K6d`o6X>5281!04<3;=7)8<3;35?x{zu2c:::4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9?=0Z<;<:3y'262=9?1/:>=5179~yx{5281!04<3h0(;=<:c9~yx{55;294~"5jk0<96F=ag9j50d=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1==5+621955=zutw0e<;l:18'6gd=943d3_;>?740<,?986<84}|~?l71?3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`8222=Q9<91>v*935822>"1;:0::6sr}|9j524=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:<4V070>7}#>:>1=;5+621953=zutw0c4`43_;>?7g=#>:91n6sr}|9~f30?290>6=4?{%0af?123A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571<6>2.=?>4>6:~yx=n943d3_;>?740<,?986<84}|~?l71?3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?97:T216<5s-<887?9;%407?713twvq6g>7383>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:<4V070>7}#>:>1=;5+621953=zutw0c4`43_;>?7g=#>:91n6sr}|9~f30>290=6=4?{%0af?123A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571<682.=?>4>0:~yx=n943d3_;>?740<,?986<84}|~?l71?3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?97:T216<5s-<887?9;%407?713twvq6g>7383>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:<4V070>7}#>:>1=;5+621953=zutw0e<9::18'6gd=94123_;>?740<,?986<84}|~?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887l4$710>g=zutw0qo892;29f39K6d`4m;|~y>o6>>0;6)P5jm0:wA669U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m412290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9:;W367?4|,?9?6o5+6219f>{zut1b=:850;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9520<^8?86?u+6269f>"1;:0i7psr}:k232<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;:5Y14196~"1;=0i7)8<3;`8yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c4f>5<5290;w)oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a36<72?0;6=u+2c`950><@;km7)?8b;3:?l72i3:1(?lm:07b?S4el3;p@?li:0yU5`4=:r.:jl4>5`9U505=:r.=?94m;%407?doj51zN1fc<6s_;n>7a6<^8?86?u+6269f>"1;:0i7psr}:kg5?6=,;hi6i?4V3`g>7}K:kl1=vX>e381!7ai3n:7[?:3;0x 3532m1/:>=5d:~yI4em3>pZ?ol:2yU`0<5s-<8>7j>;%1e1?04<2w]h;4={%406?72i2.8j849359~ 3df2890q[<5d09'7c3=>:>0q[j9:3y'264=l91/?k;56268y!0ei3i0q[?i9;0xRa3=:r.=??4k1:&0b0<1;=1v(;ln:0:8yx{P6=:09w)8<4;34?!04;3;<7psrL3`f>4}Q:k>1>vXk5;0x 3552;ki7)=i5;400>{#>kk1=:5r}|9j6{W3f6?4|,8lj6?7l;W367?4|,?9?6<94$710>41ok51zT1f1<5s_n>6?u+620966;=;;|&5fd<6?2wvq6a>f283>!4ej3;m?65rb7d94?5=83:p(?lm:3`;?M4fn2c:9o4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821g=Q9<91>v*9358a?!04;3h0qpsr;h36g?6=,;hi6<;n;W0a`?7|D;hm6o6X>5281!04<3h0(;=<:c9~yx{4?:%0af?7a;21vn:?50;194?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887?9;%407?713twvq6g>5b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571<6>2.=?>4>6:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576m7>5$3`a>43f32e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`1g`<72?0;6=u+2c`950><@;km7)?8b;3:?l72i3:1(?lm:07b?S4el3;p@?li:0yU5`4=:r.:jl4>5`9U505=:r.=?94m;%407?doj51zN1fc<6s_;n>7a6<^8?86?u+6269f>"1;:0i7psr}:kg5?6=,;hi6i?4V3`g>7}K:kl1=vX>e381!7ai3n:7[?:3;0x 3532m1/:>=5d:~yI4em3>pZ?ol:2yU`0<5s-<8>7j>;%1e1?04<2w]h;4={%406?72i2.8j849359~ 3df2890q[<5d09'7c3=>:>0q[j9:3y'264=l91/?k;56268y!0ei3i0q[?i9;0xRa3=:r.=??4k1:&0b0<1;=1v(;ln:0:8yx{P6=:09w)8<4;34?!04;3;<7psrL3`f>4}Q:k>1>vXk5;0x 3552;ki7)=i5;400>{#>kk1=:5r}|9j6{W3f6?4|,8lj6?7l;W367?4|,?9?6<94$710>41ok51zT1f1<5s_n>6?u+620966;=;;|&5fd<6?2wvq6a>f283>!4ej3;m?65rb3ab>5<4290;w)N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+6269f>"1;:0i7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0i7)8<3;`8yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c0`f?6=93:16<729q/>ol5749K6d`o6X>5281!04<3;=7)8<3;35?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`02a<72;0;6=u+2c`9f`=O:hl0e<;n:18'6gd=94={%400?d<,?986o5r}|8yg50:3:1:7>50z&1fg<6=11C>lh4$05a>4?P6=:09w)8<4;`8 3542k1vqps4ie294?"5jk0o<6X=be82I4en3;pZol5d09U6gb=:rF9nk4>{W3f6?4|,8lj6i?4V070>7}#>:>1h6*9328g?x{zD;hn69uY2`a97~Pc=38p(;==:e38 6`22?9?7pXk6;0x 35528?j7)=i5;400>{#>kk1=>5rV3c`>6}Ql<09w)8<2;f2?!5a=3<886sYd781!04:3;>m6*v*9338g5>"4n<0=?95rVe496~"1;;0o<6*7}Ql<09w)8<2;f2?!5a=3<886s+6cc95==zut1b>ll50;&1fg<5ik1]>oj52zN1fc<6s_;n>77ge3_;>?741<,?986<94}|O6gc=9r\9n94={Wf6>7}#>:81>ll4$2d6>3533t.=nl4>7:~y>o51j0;6)P5jm09wA:5169'265=9>1vqpB=bd82S4e<38pZi;52z&577<51j1/?k;56268y!0ei3;<7psr;n3e7?6=,;hi6t$3`a>7d?3A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571g=zutw0c4`43_;>?7g=#>:91n6sr}|9~f60a290:6=4?{%0af?4d:2B9mk5`1g194?"5jk0:j>54}c144?6=980;6=u+2c`930=O:hl0e<;m:18'6gd=9n6X>5281!04<3;=7)8<3;35?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*935822>"1;:0::6sr}|9j531=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1=;5+621953=zutw0e<9=:18'6gd=94153_;>?740<,?986<84}|~?l70=3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?85:T216<5s-<887?9;%407?713twvq6g>7783>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6??1]=8=52z&571<6>2.=?>4>6:~yx=n9>=1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51658R4342;q/:>:5179'265=9?1vqps4i05;>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=37[?:3;0x 35328<0(;=<:048yx{z3`;<57>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;34=>P6=:09w)8<4;35?!04;3;=7psr}:k23d<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;l5Y14196~"1;=0::6*932822>{zut1b=8j50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950b<^8?86?u+626953=#>:91=;5r}|8m43b290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;j;W367?4|,?9?6<84$710>404={%400?d<,?986o5r}|8m407290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<8?;W367?4|,?9?6o5+6219f>{zut1b=;?50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9537<^8?86?u+6269f>"1;:0i7psr}:k227<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0::?5Y14196~"1;=0i7)8<3;`8yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c1e2?6=:3:1N5io1b=8o50;&1fg<6=h10c4`43_;>?7g=#>:91n6sr}|9~f6`e290=6=4?{%0af?7202B9mk5+16`95<=n9!4ej3n;7[4={%400?d<,?986o5r}|8ma7=83.9no4k1:T1fa<5sE8ij7?tV0g1>7}#9ok1h<5Y14196~"1;=0o7)8<3;f8yx{K:ko18vX=ab80Sb22;q/:><5d09'7c3=>:>0q[j9:3y'264=9h::717?x"1jh0:?6sY2`a97~Pc=38p(;==:e38 6`22?9?7pXk6;0x 35528?j7)=i5;407>{#>kk1=>5rV3c`>6}Ql<09w)8<2;f2?!5a=3<886sYd781!04:3n;7)=i5;400>{#>kk1o6sY1g;96~Pc=38p(;==:e38 6`22?9?7p*9b`82<>{zu2c9mo4?:%0af?4fj2\9ni4={M0ab?7|^8o96?u+1gc96dd<^8?86?u+626952=#>:91=:5r}|N1f`<6s_8i87k3:1(?lm:3;`?S4el38p@?li:0yU5`4=:r.:jl4=9b9U505=:r.=?94>7:&576<6?2wvqAk2.8j849359~ 3df28=0qps4o0d0>5<#:kh1=k=4;|`0b2<72:0;6=u+2c`96g><@;km7d?:b;29 7de28?j7[4={%400?d<,?986o5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a7c>=83;1<7>t$3`a>7e53A8jj6a>f283>!4ej3;m?65rb2d:>5<4290;w)=5119~yx{4={%400?773-<8?7??;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d7<729q/>ol5bd9K6d`5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c6:7?6=:3:1N5io1b=8o50;&1fg<6=h10c4`43_;>?7g=#>:91n6sr}|9~f1?f290=6=4?{%0af?7202B9mk5+16`95<=n9!4ej3n;7[4={%400?d<,?986o5r}|8ma7=83.9no4k1:T1fa<5sE8ij7?tV0g1>7}#9ok1h<5Y14196~"1;=0o7)8<3;f8yx{K:ko18vX=ab80Sb22;q/:><5d09'7c3=>:>0q[j9:3y'264=9h::717?x"1jh0:?6sY2`a97~Pc=38p(;==:e38 6`22?9?7pXk6;0x 35528?j7)=i5;407>{#>kk1=>5rV3c`>6}Ql<09w)8<2;f2?!5a=3<886sYd781!04:3n;7)=i5;400>{#>kk1o6sY1g;96~Pc=38p(;==:e38 6`22?9?7p*9b`82<>{zu2c9mo4?:%0af?4fj2\9ni4={M0ab?7|^8o96?u+1gc96dd<^8?86?u+626952=#>:91=:5r}|N1f`<6s_8i87k3:1(?lm:3;`?S4el38p@?li:0yU5`4=:r.:jl4=9b9U505=:r.=?94>7:&576<6?2wvqAk2.8j849359~ 3df28=0qps4o0d0>5<#:kh1=k=4;|`7=5<72:0;6=u+2c`96g><@;km7d?:b;29 7de28?j7[4={%400?d<,?986o5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a0<7=83;1<7>t$3`a>7e53A8jj6a>f283>!4ej3;m?65rb5;1>5<4290;w)=5179~yx{5281!04<3h0(;=<:c9~yx{287>53;294~"5jk0<96F=ag9j50d=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1=<5+621954=zutw0e<;l:18'6gd=9o6X>5281!04<3;<7)8<3;34?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`7=0<72:0;6=u+2c`930=O:hl0e<;m:18'6gd=9n6X>5281!04<3;<7)8<3;34?x{zu2c:9n4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=91/:>=5169~yx{5<4290;w)1/:>=5169~yx{4={%400?703-<8?7?8;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d47=83:p(?lm:678L7ga3`;>n7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571<692.=?>4>1:~yx=n943d3_;>?747<,?986;%407?763twvq6g>7383>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?;1]=8=52z&571<692.=?>4>1:~yx=n9>?1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51678R4342;q/:>:5109'265=981vqps4i055>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28==7[?:3;0x 35328;0(;=<:038yx{z3`;<;7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;343>P6=:09w)8<4;32?!04;3;:7psr}:k23=<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;55Y14196~"1;=0:=6*932825>{zut1b=:750;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc952?<^8?86?u+626954=#>:91=<5r}|8m41f290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;34e>P6=:09w)8<4;32?!04;3;:7psr}:k21a<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko514f8R4342;q/:>:5169'265=9>1vqps4i07f>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?n7[?:3;0x 3532k1/:>=5b:~yx=n9:5b:&5766183>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>91]=8=52z&571g=zutw0e<8=:18'6gd=94053_;>?7g=#>:91n6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi84650;794?6|,;hi6o6=k0;6)P5jm0:wA5c9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m400290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<88;W367?4|,?9?6o5+6219f>{zut1b=:<50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9524<^8?86?u+6269f>"1;:0i7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th?m<4?:383>5}#:kh1nh5G2`d8m43f290/>ol514c8?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887l4$710>g=zutw0qo:n6;292?6=8r.9no4>599K6d`<,8=i6<74i07b>5<#:kh1=8o4V3`g>4}K:kl1=vX>e381!7ai3;>m6X>5281!04<3h0(;=<:c9~yx{P5jm0:wA:18'6gd=l81]>oj52zN1fc<6s_;n>7a7<^8?86?u+6269`>"1;:0o7psrL3`f>1}Q:hi1?vXk5;0x 3552m;0(>h::717?xPc>38p(;==:07b?!5a=3<886s+6cc956=z^;kh6>uYd481!04:3n:7)=i5;400>{Ql?09w)8<2;36e>"4n<0=?>5r$7`b>45v*9338g4>"4n<0=?95r$7`b>f=z^8l26?uYd481!04:3n:7)=i5;400>{#>kk1=55r}|9j6dd=83.9no4=ac9U6gb=:rF9nk4>{W3f6?4|,8lj6?om;W367?4|,?9?6<94$710>41ok51zT1f1<5s_n>6?u+62096dd<,:l>6;=;;|&5fd<6?2wvq6g=9b83>!4ej382o6X=be81I4en3;pZ1/:>=5169~yxJ5jl0:w[:>0q)8ma;34?x{z3f;m?7>5$3`a>4`432wi8l<50;194?6|,;hi6?l7;I0bb>o6=k0;6)P5jm0:wA5c9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn9o<:182>5<7s-8in7j87>53;294~"5jk0<96F=ag9j50d=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1=;5+621953=zutw0e<;l:18'6gd=943d3_;>?740<,?986<84}|~?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887l4$710>g=zutw0qo;kd;296?6=8r.9no4me:J1ec=n95<1290;w)N5io1/=:l5189j50g=83.9no4>5`9U6gb=9rF9nk4>{W3f6?4|,8lj6<;n;W367?4|,?9?6o5+6219f>{zut1bh=4?:%0af?b73_8ih7?tL3`e>4}Q9l81>v*>f`8g4>P6=:09w)8<4;`8 3542k1vqps4ie394?"5jk0o=6X=be81I4en3;pZ7}#>:81h<5+3g792626;=;;|&5fd<6;2w]>lm53zTg1?4|,?996i?4$2d6>3533t\o:743f3-9m978<3:'2gg=9:1vZ?ol:2yU`0<5s-<8>7j>;%1e1?04<2w]h;4={%406?b73-9m978<4:'2gg=k2w]=k752zTg1?4|,?996i?4$2d6>3533t.=nl4>8:~y>o5ik0;6)P5jm09wA:5169'265=9>1vqpB=bd82S4e<38pZi;52z&577<5ik1/?k;56268y!0ei3;<7psr;h0:g?6=,;hi6?7l;W0a`?4|D;hm6{zuE8ii7?tV3`7>7}Ql<09w)8<2;0:g>"4n<0=?95r$7`b>416<729q/>ol52c:8L7ga3`;>n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;`8 3542k1vqps4i07`>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 3532k1/:>=5b:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&5764?:%0af?7a;21vn8k?:180>5<7s-8in79:;I0bb>o6=k0;6)P5jm0:wA5c9U505=:r.=?94>6:&576<6>2wvqp5f14a94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6<84$710>404={%400?d<,?986o5r}|8yg0213:1>7>50z&1fg5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj??n6=49:183!4ej3;>46F=ag9'52d=901b=8o50;&1fg<6=h1]>oj51zN1fc<6s_;n>743f3_;>?7g=#>:91n6sr}|9j`5<72-8in7j?;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{P5jm09wAok54zT1ef<4s_n>6?u+6209`4=#;o?1:>:4}Wf5>7}#>:81=8o4$2d6>3533t.=nl4>3:U6de=;r\o97a7<,:l>6;=;;|Tg2?4|,?996<;n;%1e1?04;2w/:oo5129~R7gd2:q]h84={%406?b63-9m978<4:U`3<5s-<8>7j?;%1e1?04<2w/:oo5c:U5c?=:r\o97a7<,:l>6;=;;|&5fd<602wvq6g=ac83>!4ej38jn6X=be81I4en3;pZ1/:>=5169~yxJ5jl0:w[:>0q)8ma;34?x{z3`82o7>5$3`a>7?d3_8ih74}Q9l81>v*>f`81=f=Q9<91>v*935823>"1;:0:;6sr}M0aa?7|^;h?6?uYd481!04:382o6*ol51g18?xd1=h0;6>4?:1y'6gd=:k20D?oi;h36f?6=,;hi6<;n;I0ae>P5jm0:wA5c9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn;;m:182>5<7s-8in7o7>53;294~"5jk0<96F=ag9j50d=83.9no4>5`9K6gg<^;ho6P5jm0:wA5b9U505=:r.=?94>6:&576<6>2wvqp5`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e:;l1<7<50;2x 7de2ko0D?oi;h36e?6=,;hi6<;n;:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th9?84?:383>5}#:kh1nh5G2`d8m43f290/>ol514c8?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887h4$710>c=zutw0qo<<0;297?6=8r.9no4=b99K6d`4m;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d4<729q/>ol52b08L7ga3f;m?7>5$3`a>4`432wi>>=50;194?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887??;%407?773twvq6g>5b83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=;5+621953=zutw0c4`43_;>?7g=#>:91n6sr}|9~f75329086=4?{%0af?123A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571<6>2.=?>4>6:~yx=n9:5119'265=991vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj;996=4<:183!4ej3;m>6F=ag9j50d=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1n6*9328a?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*9358a?!04;3h0qpsr;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{52;294~"5jk0ii6F=ag9j50g=83.9no4>5`98k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn?=l:181>5<7s-8in7lj;I0bb>o6=h0;6)=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5f:&576{M0ab?7|^m=1>v*>f`821g=Q9<91>v*9358a?!04;3h0qpsr;h36g?6=,;hi6<;n;W0a`?7|D;hm6o6X>5281!04<3h0(;=<:c9~yx{5<6290;w)N5io1d=k=50;&1fg<6n:10qo<:5119'265=991vqps4i07`>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:c:T216<5s-<887?9;%407?713twvq6a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&5714?:1y'6gd=?<1C>lh4i07a>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 35328<0(;=<:048yx{z3`;>o7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;33?!04;3;;7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th9?44?:283>5}#:kh1=k<4H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887l4$710>g=zutw0e<;l:18'6gd=943d3_;>?7g=#>:91n6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi>8>50;094?6|,;hi6ok4H3ce?l72i3:1(?lm:07b?>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d7<729q/>ol5bd9K6d`5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c06N5io1b=8o50;&1fg<6=h10c4`43_;>?7c=#>:91j6sr}|9~f73629086=4?{%0af?4e02B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=94m;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8yg42:3:1=7>50z&1fg<5k;1C>lh4o0d0>5<#:kh1=k=4;|`111<72:0;6=u+2c`930=O:hl0e<;m:18'6gd=943e3_;>?746<,?986<>4}|~?l72k3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*935822>"1;:0::6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi>8;50;194?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887?9;%407?713twvq6g>5b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571<682.=?>4>0:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576{M0ab?7|^m=1>v*>f`821g=Q9<91>v*9358a?!04;3h0qpsr;h36g?6=,;hi6<;n;W0a`?7|D;hm6o6X>5281!04<3h0(;=<:c9~yx{5<693:1N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+626952=#>:91=:5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6<94$710>414={%400?703-<8?7?8;|~y>o6?;0;6)P5jm0:wA739U505=:r.=?94>7:&576<6?2wvqp5f16794?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9>?0Z<;<:3y'262=9>1/:>=5169~yx{2\:9>4={%400?703-<8?7?8;|~y>o6?>0;6)P5jm0:wA769U505=:r.=?94>7:&576<6?2wvqp5f16:94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9>20Z<;<:3y'262=9>1/:>=5169~yx{5281!04<3;<7)8<3;34?x{zu2c:9i4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821a=Q9<91>v*935823>"1;:0:;6sr}|9j50c=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8k4V070>7}#>:>1=:5+621952=zutw0e<;i:18'6gd=943a3_;>?741<,?986<94}|~?l7183:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?90:T216<5s-<887l4$710>g=zutw0e<8>:18'6gd=94063_;>?7g=#>:91n6sr}|9j534=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;<4V070>7}#>:>1n6*9328a?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`11<<72;0;6=u+2c`9f`=O:hl0e<;n:18'6gd=94={%400?d<,?986o5r}|8yg42n3:1>7>50z&1fg5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj;<>6=4=:183!4ej3hn7Ef29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1j6*9328e?x{zu2wi>8o50;194?6|,;hi6?l7;I0bb>o6=k0;6)P5jm0:wA5c9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn?;m:182>5<7s-8in7h7>53;294~"5jk0<96F=ag9j50d=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1n6*9328a?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*9358a?!04;3h0qpsr;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{i7>53;294~"5jk0<96F=ag9j50d=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1==5+621955=zutw0e<;l:18'6gd=9o6X>5281!04<3;=7)8<3;35?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`11f<72:0;6=u+2c`95c4<@;km7d?:b;29 7de28?j7[4={%400?d<,?986o5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a636=83=1<7>t$3`a>23<@;km7d?:b;29 7de28?j7[4={%400?763-<8?7?>;|~y>o6=j0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626954=#>:91=<5r}|8m400290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<88;W367?4|,?9?6<94$710>41{zut1b=:;50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9523<^8?86?u+626952=#>:91=:5r}|8m411290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;342>P6=:09w)8<4;34?!04;3;<7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th9:<4?:683>5}#:kh1;85G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6474={%400?703-<8?7?8;|~y>o6>>0;6)P5jm0:wA669U505=:r.=?94>7:&576<6?2wvqp5f16094?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<9=;W367?4|,?9?6<94$710>414={%400?703-<8?7?8;|~y>o6??0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc9520<^8?86?u+626952=#>:91=:5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn?8=:184>5<7s-8in79:;I0bb>o6=k0;6)P5jm0:wA5c9U505=:r.=?94>1:&576<692wvqp5f14a94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=91/:>=5169~yx{P5jm0:wA739U505=:r.=?94>7:&576<6?2wvqp5f16794?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9>?0Z<;<:3y'262=9>1/:>=5169~yx{2\:9>4={%400?703-<8?7?8;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d<<729q/>ol5749K6d`4={%400?703-<8?7?8;|~y>o6=j0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626952=#>:91=:5r}|8m400290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;353>P6=:09w)8<4;34?!04;3;<7psr}:k237<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko51608R4342;q/:>:5169'265=9>1vqps4i056>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?85:T216<5s-<887?8;%407?703twvq6g>7783>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:84V070>7}#>:>1=:5+621952=zutw0e<98:18'6gd=95281!04<3;<7)8<3;34?x{zu2c:;54?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`823==Q9<91>v*935823>"1;:0:;6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi>;:50;794?6|,;hi6o6=k0;6)P5jm0:wA5c9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m400290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<88;W367?4|,?9?6o5+6219f>{zut1b=:<50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9524<^8?86?u+6269f>"1;:0i7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th9:;4?:383>5}#:kh1nh5G2`d8m43f290/>ol514c8?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887l4$710>g=zutw0qo<9c;296?6=8r.9no4me:J1ec=n95<5290;w)oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269b>"1;:0m7psr}:a631=8391<7>t$3`a>7d?3A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571g=zutw0c4`43_;>?7g=#>:91n6sr}|9~f70?290:6=4?{%0af?4d:2B9mk5`1g194?"5jk0:j>54}c05e?6=;3:1N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+626955=#>:91==5r}|8m43d290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;35?!04;3;=7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th9:o4?:283>5}#:kh1;85G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6<84$710>404={%400?773-<8?7??;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d6<729q/>ol51g08L7ga3`;>n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;`8 3542k1vqps4i07`>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 3532k1/:>=5b:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576n7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571<692.=?>4>1:~yx=n943d3_;>?747<,?986{M0ab?7|^m=1>v*>f`8222=Q9<91>v*935823>"1;:0:;6sr}|9j524=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:<4V070>7}#>:>1=:5+621952=zutw0c4`43_;>?7g=#>:91n6sr}|9~f70b290=6=4?{%0af?123A8jj6g>5c83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1=<5+621954=zutw0e<;l:18'6gd=9o6X>5281!04<3;:7)8<3;32?x{zu2c:::4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9?=0Z<;<:3y'262=981/:>=5109~yx{5281!04<3;<7)8<3;34?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`12c<72?0;6=u+2c`930=O:hl0e<;m:18'6gd=9n6X>5281!04<3;:7)8<3;32?x{zu2c:9n4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9=5109~yx{6X>5281!04<3;<7)8<3;34?x{zu2c:;84?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8230=Q9<91>v*935823>"1;:0:;6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi>:>50;694?6|,;hi6o6=k0;6)P5jm0:wA5c9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m400290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<88;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a624=8381<7>t$3`a>gc<@;km7d?:a;29 7de28?j76a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571lh4i07b>5<#:kh1=8o4;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{52;294~"5jk0ii6F=ag9j50g=83.9no4>5`98k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn?9<:180>5<7s-8in7:5b:&5765b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571g=zutw0qo<84;295?6=8r.9no4=c39K6d`5}#:kh1;85G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6<>4$710>46{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a621=8391<7>t$3`a>23<@;km7d?:b;29 7de28?j7[4={%400?713-<8?7?9;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94>0:&576<682wvqp5`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e:>?1<7=50;2x 7de28l97Eo7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;`8 3542k1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj;=26=48:183!4ej3=>7E:5109'265=981vqps4i07`>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:c:T216<5s-<887?>;%407?763twvq6g>6683>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1=<5+621954=zutw0e<9=:18'6gd=94153_;>?741<,?986<94}|~?l70=3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?85:T216<5s-<887?8;%407?703twvq6g>7783>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6??1]=8=52z&571<6?2.=?>4>7:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576n7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571<692.=?>4>1:~yx=n943d3_;>?747<,?9867383>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?;1]=8=52z&571<6?2.=?>4>7:~yx=n9>?1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51678R4342;q/:>:5169'265=9>1vqps4i055>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28==7[?:3;0x 35328=0(;=<:058yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c04f?6=?3:1N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+626954=#>:91=<5r}|8m43d290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;32?!04;3;:7psr}:k222<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:::5Y14196~"1;=0:;6*932823>{zut1b=:<50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28=97[?:3;0x 35328=0(;=<:058yx{z3`;<97>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;341>P6=:09w)8<4;34?!04;3;<7psr}:k233<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko51648R4342;q/:>:5169'265=9>1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj;=h6=4;:183!4ej3;m>6F=ag9j50d=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1n6*9328a?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*9358a?!04;3h0qpsr;h353?6=,;hi6<;n;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{5<5290;w)oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a6=2=8381<7>t$3`a>gc<@;km7d?:a;29 7de28?j76a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571lh4i07b>5<#:kh1=8o4;n3e7?6=,;hi65281!04<3l0(;=<:g9~yx{53;294~"5jk09n55G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6o5+6219f>{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+6269f>"1;:0i7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th94=4?:083>5}#:kh1>n<4H3ce?j7a;3:1(?lm:0d0?>{e:181<7=50;2x 7de2>?0D?oi;h36f?6=,;hi6<;n;W0a`?7|D;hm6n6X>5281!04<3;;7)8<3;33?x{zu2c:9n4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9=5179~yx{5<4290;w){M0ab?7|^m=1>v*>f`821g=Q9<91>v*935822>"1;:0::6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1==5+621955=zutw0c4`43_;>?7g=#>:91n6sr}|9~f7>629086=4?{%0af?7a:2B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=94m;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8yg4?=3:1?7>50z&1fg<0=2B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=91/:>=5169~yx{5281!04<3h0(;=<:c9~yx{52;294~"5jk0ii6F=ag9j50g=83.9no4>5`98k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn?6k:181>5<7s-8in7lj;I0bb>o6=h0;6)=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5f:&576{M0ab?7|^m=1>v*>f`821g=Q9<91>v*9358a?!04;3h0qpsr;h36g?6=,;hi6<;n;W0a`?7|D;hm6o6X>5281!04<3h0(;=<:c9~yx{5<6290;w)N5io1d=k=50;&1fg<6n:10qo<7b;297?6=8r.9no485:J1ec=n9:5119'265=991vqps4i07`>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:c:T216<5s-<887?9;%407?713twvq6a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&5714?:1y'6gd=?<1C>lh4i07a>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 35328<0(;=<:048yx{z3`;>o7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;33?!04;3;;7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th94l4?:283>5}#:kh1=k<4H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887l4$710>g=zutw0e<;l:18'6gd=943d3_;>?7g=#>:91n6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi>5k50;094?6|,;hi6ok4H3ce?l72i3:1(?lm:07b?>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d7<729q/>ol5bd9K6d`5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;d8 3542o1vqps4}c0;b?6=;3:143e3_;>?7g=#>:91n6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1n6*9328a?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`1=5<7280;6=u+2c`96f4<@;km7b?i3;29 7de28l876sm28094?5=83:p(?lm:678L7ga3`;>n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;33?!04;3;;7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0::6*932822>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a6<5=8391<7>t$3`a>23<@;km7d?:b;29 7de28?j7[4={%400?773-<8?7??;|~y>o6=j0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626953=#>:91=;5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn?7>:180>5<7s-8in7?i2:J1ec=n9:5b:&5765b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571g=zutw0qo<65;296?6=8r.9no4me:J1ec=n95<5290;w)oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269b>"1;:0m7psr}:a6<0=8391<7>t$3`a>7d?3A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571g=zutw0c4`43_;>?7g=#>:91n6sr}|9~f7?0290:6=4?{%0af?4d:2B9mk5`1g194?"5jk0:j>54}c0:=?6=;3:1N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+626955=#>:91==5r}|8m43d290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;35?!04;3;=7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th95l4?:283>5}#:kh1;85G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6<>4$710>464={%400?713-<8?7?9;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d6<729q/>ol51g08L7ga3`;>n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;`8 3542k1vqps4i07`>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 3532k1/:>=5b:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576m7>5$3`a>43f32e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`6b2<72;0;6=u+2c`9f`=O:hl0e<;n:18'6gd=94={%400?d<,?986o5r}|8yg3al3:1>7>50z&1fg5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532o1/:>=5f:~yx=zj5`9K6gg<^;ho64m;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d4<729q/>ol52b08L7ga3f;m?7>5$3`a>4`432wi9k850;194?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`821g=Q9<91>v*935824>"1;:0:<6sr}|9j50e=83.9no4>5`9K6gg<^;ho65281!04<3h0(;=<:c9~yx{54;294~"5jk0<96F=ag9j50d=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1=<5+621954=zutw0e<;l:18'6gd=9o6X>5281!04<3;:7)8<3;32?x{zu2c:::4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9?=0Z<;<:3y'262=9>1/:>=5169~yx{5<3290;w){M0ab?7|^m=1>v*>f`821g=Q9<91>v*935825>"1;:0:=6sr}|9j50e=83.9no4>5`9K6gg<^;ho6P5jm0:wA669U505=:r.=?94>7:&576<6?2wvqp5`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e=ok1<7;50;2x 7de2>?0D?oi;h36f?6=,;hi6<;n;W0a`?7|D;hm6n6X>5281!04<3;:7)8<3;32?x{zu2c:9n4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9=5109~yx{P5jm0:wA739U505=:r.=?94>7:&576<6?2wvqp5`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e=oh1<7850;2x 7de2>?0D?oi;h36f?6=,;hi6<;n;W0a`?7|D;hm6n6X>5281!04<3;<7)8<3;34?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*935823>"1;:0:;6sr}|9j531=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1=:5+621952=zutw0e<9=:18'6gd=96X>5281!04<3;<7)8<3;34?x{zu2c:;84?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8230=Q9<91>v*935823>"1;:0:;6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi9km50;794?6|,;hi6o6=k0;6)P5jm0:wA5c9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m400290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<88;W367?4|,?9?6o5+6219f>{zut1b=:<50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9524<^8?86?u+6269f>"1;:0i7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th?j:4?:383>5}#:kh1nh5G2`d8m43f290/>ol514c8?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887l4$710>g=zutw0qo:ib;296?6=8r.9no4me:J1ec=n95<4290;w)N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+6269f>"1;:0i7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0i7)8<3;`8yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c6e=?6=93:16<729q/>ol5749K6d`4={%400?713-<8?7?9;|~y>o6=j0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626953=#>:91=;5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn8:j:181>5<7s-8in7lj;I0bb>o6=h0;6)=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&5769:k21d<72-8in7?:a:T1fa<6sE8ij7?tV0g1>7}#9ok1=8o4V070>7}#>:>1n6*9328a?x{zu2co<7>5$3`a>a6<^;ho6!4ej3n:7[4={%400?b<,?986i5r}|N1f`<3s_8jo7=tVe796~"1;;0o=6*v*933821d=#;o?1:>:4}%4ae?743t\9mn4<{Wf6>7}#>:81h<5+3g792626;=<;|&5fd<6;2w]>lm53zTg1?4|,?996i?4$2d6>3533t\o:7a6<,:l>6;=;;|&5fd7}#>:81h<5+3g792627:&576<6?2wvqA5<#:kh1>4m4V3`g>7}K:kl1=vX>e381!7ai382o6X>5281!04<3;<7)8<3;34?x{zD;hn64m;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d4<729q/>ol52b08L7ga3f;m?7>5$3`a>4`432wi98<50;194?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887?9;%407?713twvq6g>5b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571<6>2.=?>4>6:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;35?!04;3;=7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0::6*932822>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a102=8391<7>t$3`a>23<@;km7d?:b;29 7de28?j7[4={%400?d<,?986o5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a103=8391<7>t$3`a>23<@;km7d?:b;29 7de28?j7[4={%400?d<,?986o5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a100=8391<7>t$3`a>23<@;km7d?:b;29 7de28?j7E{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626953=#>:91=;5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn8;8:180>5<7s-8in79:;I0bb>o6=k0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+626953=#>:91=;5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6<84$710>404={%400?d<,?986o5r}|8yg3203:1?7>50z&1fg<0=2B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5179~yx{5281!04<3h0(;=<:c9~yx{=7>58;294~"5jk0:j?5G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6o5+6219f>{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+6269f>"1;:0i7psr}:k222<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:::5Y14196~"1;=0i7)8<3;`8yx{z3`;<>7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;346>P6=:09w)8<4;`8 3542k1vqps4i056>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=>7[?:3;0x 3532k1/:>=5b:~yx=n9><1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51648R4342;q/:>:5b:&5767683>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?>1]=8=52z&571g=zutw0qo;9e;296?6=8r.9no4me:J1ec=n95<5290;w)oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a12>=83<1<7>t$3`a>43?3A8jj6*>7c82=>o6=h0;6)P5jm0:wA:5b:&576?7g=#>:91n6sr}|9j`4<72-8in7j>;W0a`?4|D;hm65281!04<3n0(;=<:e9~yxJ5jl0?w[<5d09'7c3=>:>0q[j9:3y'264=9h::710?x"1jh0:?6sY2`a97~Pc=38p(;==:e38 6`22?9?7pXk6;0x 3552m:0(>h::717?x"1jh0h7pX>f881Sb22;q/:><5d09'7c3=>:>0q)8ma;3;?x{z3`8jn7>5$3`a>7ge3_8ih74}Q9l81>v*>f`81eg=Q9<91>v*935823>"1;:0:;6sr}M0aa?7|^;h?6?uYd481!04:38jn6*ol528a8R7dc2;qG>oh51zT2a7<5s-;mm7<6c:T216<5s-<887?8;%407?703twv@?lj:0yU6g2=:r\o977?d3-9m978<4:'2gg=9>1vqp5`1g194?"5jk0:j>54}c75b?6=;3:1n6X>5281!04<3h0(;=<:c9~yx{5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e=>:1<7?50;2x 7de2;i97E5c83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1=;5+621953=zutw0e<;l:18'6gd=9o6X>5281!04<3;=7)8<3;35?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`636<72=0;6=u+2c`930=O:hl0e<;m:18'6gd=9n6X>5281!04<3;<7)8<3;34?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*935823>"1;:0:;6sr}|9j531=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1=:5+621952=zutw0c4`43_;>?7g=#>:91n6sr}|9~f013290?6=4?{%0af?123A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571<6?2.=?>4>7:~yx=n943d3_;>?741<,?986<94}|~?l71?3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`8222=Q9<91>v*935823>"1;:0:;6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi9:;50;494?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887?8;%407?703twvq6g>5b83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=:5+621952=zutw0e<88:18'6gd=95281!04<3;<7)8<3;34?x{zu2c:;?4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9>80Z<;<:3y'262=9>1/:>=5169~yx{6=4+2c`950g<@;hj7[4={%400?703-<8?7?8;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d1<729q/>ol51g08L7ga3`;>n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;`8 3542k1vqps4i07`>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 3532k1/:>=5b:~yx=n9?=1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51758R4342;q/:>:5b:&576f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571lh4i07b>5<#:kh1=8o4;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{52;294~"5jk0ii6F=ag9j50g=83.9no4>5`98k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn8l=:185>5<7s-8in7?:8:J1ec=#9>h1=45f14c94?"5jk0:9l5Y2cf95~J5jo0:w[?j2;0x 4`f28?j7[?:3;0x 3532k1/:>=5b:~yx=nl90;6)g=zutw0ei?50;&1fgv*9358g?!04;3n0qpsC2cg90~P5ij08w[j::3y'264=l81/?k;56268ySb12;q/:><514c8 6`22?9?7p*9b`827>{Q:hi1?vXk5;0x 3552m;0(>h::717?xPc>38p(;==:07b?!5a=3<8?6s+6cc956=z^;kh6>uYd481!04:3n:7)=i5;400>{Ql?09w)8<2;f3?!5a=3<886s+6cc9g>{Q9o31>vXk5;0x 3552m;0(>h::717?x"1jh0:46sr}:k1eg<72-8in77}#9ok1>ll4V070>7}#>:>1=:5+621952=zutF9nh4>{W0a0?4|^m?1>v*93381eg=#;o?1:>:4}%4ae?703twv7d<6c;29 7de2;3h7[4>7:~yI4em3;pZ?l;:3yU`0<5s-<8>7<6c:&0b0<1;=1v(;ln:058yx{m;4?:283>5}#:kh1>o64H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887l4$710>g=zutw0e<;l:18'6gd=943d3_;>?7g=#>:91n6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi9l950;394?6|,;hi6?m=;I0bb>i6n:0;6)=zj7E:5119'265=991vqps4i07`>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:c:T216<5s-<887??;%407?773twvq6a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&5714?:1y'6gd=?<1C>lh4i07a>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 3532k1/:>=5b:~yx=n9:5b:&576f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&5714?:1y'6gd=9o80D?oi;h36f?6=,;hi6<;n;W0a`?7|D;hm6n6X>5281!04<3h0(;=<:c9~yx{5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e=hi1<7;50;2x 7de2>?0D?oi;h36f?6=,;hi6<;n;I0ae>P5jm0:wA5c9U505=:r.=?94>1:&576<692wvqp5f14a94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?647{zut1b=:<50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9524<^8?86?u+626952=#>:91=:5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn8ok:186>5<7s-8in79:;I0bb>o6=k0;6)P5jm0:wA5c9U505=:r.=?94>1:&576<692wvqp5f14a94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6<94$710>41{zut1b=:<50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9524<^8?86?u+626952=#>:91=:5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn8oj:185>5<7s-8in79:;I0bb>o6=k0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+626954=#>:91=<5r}|8m43d290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;32?!04;3;:7psr}:k222<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko51758R4342;q/:>:5109'265=981vqps4i051>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=97[?:3;0x 35328=0(;=<:058yx{z3`;<97>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;341>P6=:09w)8<4;34?!04;3;<7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th>mk4?:783>5}#:kh1;85G2`d8m43e290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;32?!04;3;:7psr}:k21f<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko514a8R4342;q/:>:5109'265=981vqps4i044>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<<7[?:3;0x 35328=0(;=<:058yx{z3`;<>7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;346>P6=:09w)8<4;34?!04;3;<7psr}:k230<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;85Y14196~"1;=0:;6*932823>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a1g6=83?1<7>t$3`a>4`53A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571g=zutw0e<88:18'6gd=94003_;>?7g=#>:91n6sr}|9j524=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:<4V070>7}#>:>1n6*9328a?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`2a2<72;0;6=u+2c`9f`=O:hl0e<;n:18'6gd=94={%400?d<,?986o5r}|8yg7b03:1>7>50z&1fg5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj8o26=4=:183!4ej3hn7Ef29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi=hj50;094?6|,;hi6ok4H3ce?l72i3:1(?lm:07b?>i6n:0;6)P5jm0:wAf29U505=:r.=?94i;%407?`e`83>6<729q/>ol52c:8L7ga3`;>n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;`8 3542k1vqps4i07`>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 3532k1/:>=5b:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&5764?:%0af?7a;21vn5<7s-8in753;294~"5jk0<96F=ag9j50d=83.9no4>5`9K6gg<^;ho6oh51zTg3?4|,8lj6<;l;W367?4|,?9?6h5+6219a>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a66b=8381<7>t$3`a>gc<@;km7d?:a;29 7de28?j76a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571lh4i07b>5<#:kh1=8o4;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{52;294~"5jk0ii6F=ag9j50g=83.9no4>5`98k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn?=j:180>5<7s-8in7:5b:&5765b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571g=zutw0qo<5}#:kh1;85G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6o5+6219f>{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+6269f>"1;:0i7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th98?4?:783>5}#:kh1;85G2`d8m43e290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;32?!04;3;:7psr}:k21f<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko514a8R4342;q/:>:5109'265=981vqps4i044>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?97:T216<5s-<887?>;%407?763twvq6g>7383>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:<4V070>7}#>:>1=:5+621952=zutw0e<9::18'6gd=94123_;>?741<,?986<94}|~?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887l4$710>g=zutw0qo<;3;292?6=8r.9no485:J1ec=n943e3_;>?747<,?986{M0ab?7|^m=1>v*>f`821f=Q9<91>v*935825>"1;:0:=6sr}|9j531=83.9no4>5`9K6gg<^;ho6P5jm0:wA739U505=:r.=?94>7:&576<6?2wvqp5f16794?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9>?0Z<;<:3y'262=9>1/:>=5169~yx{5<1290;w)=5109~yx{4={%400?763-<8?7?>;|~y>o6>>0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc9531<^8?86?u+626954=#>:91=<5r}|8m415290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;346>P6=:09w)8<4;34?!04;3;<7psr}:k230<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;85Y14196~"1;=0:;6*932823>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a613=83<1<7>t$3`a>23<@;km7d?:b;29 7de28?j7E{zut1b=8m50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 35328;0(;=<:038yx{z3`;=;7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6>>1]=8=52z&571<692.=?>4>1:~yx=n9>81<7*=bc821d=O:kk0Z?lk:0yO6g`=9r\o;74153_;>?741<,?986<94}|~?l70=3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?85:T216<5s-<887?8;%407?703twvq6a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571lh4i07a>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887?>;%407?763twvq6g>5b83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=<5+621954=zutw0e<88:18'6gd=95281!04<3;:7)8<3;32?x{zu2c:;?4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9>80Z<;<:3y'262=9>1/:>=5169~yx{6=4+2c`950g<^;ho65281!04<3;<7)8<3;34?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`102<72?0;6=u+2c`95c4<@;km7d?:b;29 7de28?j7[4={%400?d<,?986o5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6o5+6219f>{zut1b=;950;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9531<^8?86?u+6269f>"1;:0i7psr}:k237<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;?5Y14196~"1;=0i7)8<3;`8yx{z3`;<97>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;341>P6=:09w)8<4;`8 3542k1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj=ln6=4=:183!4ej3hn7Ef29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi;94?:383>5}#:kh1nh5G2`d8m43f290/>ol514c8?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887l4$710>g=zutw0qo;?5;292?6=8r.9no4>599K6d`<,8=i65<#:kh1=8o4V3`g>4}K:kl1=vX>e381!7ai3;>m6X>5281!04<3h0(;=<:c9~yx{P5jm0:wA:18'6gd=l81]>oj52zN1fc<6s_;n>7a7<^8?86?u+6269`>"1;:0o7psrL3`f>1}Q:hi1?vXk5;0x 3552m;0(>h::717?xPc>38p(;==:07b?!5a=3<886s+6cc956=z^;kh6>uYd481!04:3n:7)=i5;400>{Ql?09w)8<2;36e>"4n<0=?>5r$7`b>45v*9338g4>"4n<0=?95r$7`b>f=z^8l26?uYd481!04:3n:7)=i5;400>{#>kk1=55r}|9j6dd=83.9no4=ac9U6gb=:rF9nk4>{W3f6?4|,8lj6?om;W367?4|,?9?6<94$710>41ok51zT1f1<5s_n>6?u+62096dd<,:l>6;=;;|&5fd<6?2wvq6g=9b83>!4ej382o6X=be81I4en3;pZ1/:>=5169~yxJ5jl0:w[:>0q)8ma;34?x{z3f;m?7>5$3`a>4`432wi8kh50;194?6|,;hi6?l7;I0bb>o6=k0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+6269f>"1;:0i7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0i7)8<3;`8yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c734?6=93:16<729q/>ol5749K6d`o6X>5281!04<3;=7)8<3;35?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`646<72:0;6=u+2c`930=O:hl0e<;m:18'6gd=9n6X>5281!04<3;=7)8<3;35?x{zu2c:9n4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9=5179~yx{5<4290;w)N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+6269f>"1;:0i7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0i7)8<3;`8yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c72e?6=:3:1N5io1b=8o50;&1fg<6=h10c4`43_;>?7g=#>:91n6sr}|9~fgb=8381<7>t$3`a>gc<@;km7d?:a;29 7de28?j76a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571lh4i07b>5<#:kh1=8o4;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{56;294~"5jk0:955G2`d8 41e28k0e<;n:18'6gd=94={%400?d<,?986o5r}|8ma6=83.9no4k0:T1fa<6sE8ij7?tV0g1>7}#9ok1h=5Y14196~"1;=0i7)8<3;`8yx{z3`n:6=4+2c`9`4=Q:kn1>vB=bg82S7b:38p(:5d:&576a7<,:l>6;=;;|Tg2?4|,?996<;n;%1e1?04<2w/:oo5129~R7gd2:q]h84={%406?b63-9m978<4:U`3<5s-<8>7?:a:&0b0<1;:1v(;ln:018yS4fk39pZi;52z&5772;q]h84={%406?b63-9m978<4:'2gg=911vqp5f2``94?"5jk09mo5Y2cf96~J5jo0:w[?j2;0x 4`f2;ki7[?:3;0x 35328=0(;=<:058yx{K:ko1=vX=b581Sb22;q/:><52``8 6`22?9?7p*9b`823>{zu2c95n4?:%0af?4>k2\9ni4={M0ab?7|^8o96?u+1gc96:91=:5r}|N1f`<6s_8i87{e=8h1<7=50;2x 7de2;h37E:5b:&5765b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571g=zutw0qo;>c;295?6=8r.9no4=c39K6d`=i4?:283>5}#:kh1;85G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6o5+6219f>{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+6269f>"1;:0i7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th>=k4?:3394?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`821g=Q9<91>v*935825>"1;:0:=6sr}|9j50e=83.9no4>5`9K6gg<^;ho65281!04<3;:7)8<3;32?x{zu2c:;?4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8237=Q9<91>v*935825>"1;:0:=6sr}|9j523=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:;4V070>7}#>:>1=<5+621954=zutw0e<99:18'6gd=94113_;>?747<,?986;%407?763twvq6g>7983>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?11]=8=52z&571<692.=?>4>1:~yx=n9>31<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko516;8R4342;q/:>:5109'265=981vqps4i05b>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=j7[?:3;0x 35328;0(;=<:038yx{z3`;>h7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36`>P6=:09w)8<4;32?!04;3;:7psr}:k21`<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9h5Y14196~"1;=0:=6*932825>{zut1b=8h50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950`<^8?86?u+626954=#>:91=<5r}|8m407290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<8?;W367?4|,?9?647{zut1b=;<50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9534<^8?86?u+626954=#>:91=<5r}|8m404290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<8<;W367?4|,?9?6<94$710>414={%400?703-<8?7?8;|~y>o6><0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc9533<^8?86?u+626952=#>:91=:5r}|8m401290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<89;W367?4|,?9?6<94$710>414={%400?d<,?986o5r}|8m40>290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<86;W367?4|,?9?6o5+6219f>{zut1b=;o50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc953g<^8?86?u+6269f>"1;:0i7psr}:k22g<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0::o5Y14196~"1;=0i7)8<3;`8yx{z3`;=o7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;35g>P6=:09w)8<4;`8 3542k1vqps4i04g>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=5b:~yx=n9?o1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko517g8R4342;q/:>:5b:&5766g83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>o1]=8=52z&571g=zutw0e<9>:18'6gd=94163_;>?7g=#>:91n6sr}|9j525=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:=4V070>7}#>:>1n6*9328a?x{zu2c:;94?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8231=Q9<91>v*9358a?!04;3h0qpsr;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{52083>5}#:kh1;85G2`d8m43e290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;32?!04;3;:7psr}:k21f<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko514a8R4342;q/:>:5109'265=981vqps4i044>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<<7[?:3;0x 35328;0(;=<:038yx{z3`;<>7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;346>P6=:09w)8<4;32?!04;3;:7psr}:k230<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;85Y14196~"1;=0:=6*932825>{zut1b=:850;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9520<^8?86?u+626954=#>:91=<5r}|8m410290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<98;W367?4|,?9?6474={%400?763-<8?7?>;|~y>o6?00;6)P5jm0:wA789U505=:r.=?94>1:&576<692wvqp5f16c94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9>k0Z<;<:3y'262=981/:>=5109~yx{i6X>5281!04<3;:7)8<3;32?x{zu2c:9k4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821c=Q9<91>v*935825>"1;:0:=6sr}|9j536=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;>4V070>7}#>:>1=<5+621954=zutw0e<8>:18'6gd=95281!04<3;:7)8<3;32?x{zu2c::?4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8227=Q9<91>v*935825>"1;:0:=6sr}|9j535=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;=4V070>7}#>:>1=:5+621952=zutw0e<8;:18'6gd=94033_;>?741<,?986<94}|~?l71=3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`8220=Q9<91>v*935823>"1;:0:;6sr}|9j530=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;84V070>7}#>:>1=:5+621952=zutw0e<87:18'6gd=940?3_;>?7g=#>:91n6sr}|9j53?=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;74V070>7}#>:>1n6*9328a?x{zu2c::l4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`822d=Q9<91>v*9358a?!04;3h0qpsr;h35f?6=,;hi6<;n;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{4m;|~y>o6>l0;6)P5jm0:wA6d9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m417290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9?;W367?4|,?9?6o5+6219f>{zut1b=:?50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9527<^8?86?u+6269f>"1;:0i7psr}:k236<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;>5Y14196~"1;=0i7)8<3;`8yx{z3`;<87>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;340>P6=:09w)8<4;`8 3542k1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj<8:6=4=1;294~"5jk0<96F=ag9j50d=83.9no4>5`9K6gg<^;ho6P5jm0:wA5b9U505=:r.=?94>1:&576<692wvqp5f17594?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9?=0Z<;<:3y'262=981/:>=5109~yx{5281!04<3;:7)8<3;32?x{zu2c:;;4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8233=Q9<91>v*935825>"1;:0:=6sr}|9j521=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:94V070>7}#>:>1=<5+621954=zutw0e<97:18'6gd=941?3_;>?747<,?986;%407?763twvq6g>7`83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?h1]=8=52z&571<692.=?>4>1:~yx=n943c3_;>?747<,?986{M0ab?7|^m=1>v*>f`821`=Q9<91>v*935825>"1;:0:=6sr}|9j50`=83.9no4>5`9K6gg<^;ho6P5jm0:wA619U505=:r.=?94>1:&576<692wvqp5f17394?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<8>;W367?4|,?9?647{zut1b=;=50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9535<^8?86?u+626954=#>:91=<5r}|8m403290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<8;;W367?4|,?9?6<94$710>414={%400?703-<8?7?8;|~y>o6>?0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc9530<^8?86?u+626952=#>:91=:5r}|8m40?290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<87;W367?4|,?9?6o5+6219f>{zut1b=;750;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc953?<^8?86?u+6269f>"1;:0i7psr}:k22d<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0::l5Y14196~"1;=0i7)8<3;`8yx{z3`;=n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;35f>P6=:09w)8<4;`8 3542k1vqps4i04`>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=5b:~yx=n9?n1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko517f8R4342;q/:>:5b:&5766d83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>l1]=8=52z&571g=zutw0e<9?:18'6gd=94173_;>?7g=#>:91n6sr}|9j527=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:?4V070>7}#>:>1n6*9328a?x{zu2c:;>4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8236=Q9<91>v*9358a?!04;3h0qpsr;h340?6=,;hi6<;n;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{5<593:1N5io1b=8l50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 35328;0(;=<:038yx{z3`;>o7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571<692.=?>4>1:~yx=n9?=1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51758R4342;q/:>:5109'265=981vqps4i051>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=97[?:3;0x 35328;0(;=<:038yx{z3`;<97>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;341>P6=:09w)8<4;32?!04;3;:7psr}:k233<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;;5Y14196~"1;=0:=6*932825>{zut1b=:950;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9521<^8?86?u+626954=#>:91=<5r}|8m41?290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<97;W367?4|,?9?6474={%400?763-<8?7?>;|~y>o6?h0;6)P5jm0:wA7`9U505=:r.=?94>1:&576<692wvqp5f14f94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;k;W367?4|,?9?647{zut1b=8h50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?m7[?:3;0x 35328;0(;=<:038yx{z3`;=<7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6>91]=8=52z&571<692.=?>4>1:~yx=n9?;1<7*=bc821d=O:kk0Z?lk:0yO6g`=9r\o;74063_;>?747<,?986;%407?763twvq6g>6283>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>:1]=8=52z&571<6?2.=?>4>7:~yx=n9?>1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51768R4342;q/:>:5169'265=9>1vqps4i046>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?95:T216<5s-<887?8;%407?703twvq6g>6783>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>?1]=8=52z&571<6?2.=?>4>7:~yx=n9?21<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko517:8R4342;q/:>:5b:&5766883>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>01]=8=52z&571g=zutw0e<8m:18'6gd=940e3_;>?7g=#>:91n6sr}|9j53e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;m4V070>7}#>:>1n6*9328a?x{zu2c::i4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`822a=Q9<91>v*9358a?!04;3h0qpsr;h35a?6=,;hi6<;n;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{:0Z<;<:3y'262=j2.=?>4m;|~y>o6?80;6)P5jm0:wA709U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m413290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9;;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a175=838:6=4?{%0af?123A8jj6g>5c83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1=<5+621954=zutw0e<;l:18'6gd=9o6X>5281!04<3;:7)8<3;32?x{zu2c:::4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8222=Q9<91>v*935825>"1;:0:=6sr}|9j524=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:<4V070>7}#>:>1=<5+621954=zutw0e<9::18'6gd=94123_;>?747<,?9863:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?86:T216<5s-<887?>;%407?763twvq6g>7683>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?>1]=8=52z&571<692.=?>4>1:~yx=n9>21<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko516:8R4342;q/:>:5109'265=981vqps4i05:>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=27[?:3;0x 35328;0(;=<:038yx{z3`;5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;34e>P6=:09w)8<4;32?!04;3;:7psr}:k21a<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko514f8R4342;q/:>:5109'265=981vqps4i07f>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:e:T216<5s-<887?>;%407?763twvq6g>5g83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8h4V070>7}#>:>1=<5+621954=zutw0e<8?:18'6gd=95281!04<3;:7)8<3;32?x{zu2c::<4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9?;0Z<;<:3y'262=981/:>=5109~yx{5281!04<3;<7)8<3;34?x{zu2c::94?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8221=Q9<91>v*935823>"1;:0:;6sr}|9j533=83.9no4>5`9K6gg<^;ho65281!04<3;<7)8<3;34?x{zu2c::54?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`822==Q9<91>v*9358a?!04;3h0qpsr;h35=?6=,;hi6<;n;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{4m;|~y>o6>j0;6)P5jm0:wA6b9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m40b290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<8j;W367?4|,?9?6o5+6219f>{zut1b=;h50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc953`<^8?86?u+6269f>"1;:0i7psr}:k235<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;=5Y14196~"1;=0i7)8<3;`8yx{z3`;<=7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;345>P6=:09w)8<4;`8 3542k1vqps4i050>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=87[?:3;0x 3532k1/:>=5b:~yx=n9>>1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51668R4342;q/:>:5b:&576f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571n6X>5281!04<3h0(;=<:c9~yx{4m;|~y>o6?;0;6)P5jm0:wA739U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn89m:181>5<7s-8in7lj;I0bb>o6=h0;6)=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576m7>5$3`a>43f32e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358e?!04;3l0qpsr;|`63f<72:0;6=u+2c`96g><@;km7d?:b;29 7de28?j7Eo7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;`8 3542k1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj<=o6=4>:183!4ej38h>6F=ag9l5c5=83.9no4>f298yg30n3:187>50z&1fg<0=2B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5119~yx{P5jm0:wA669U505=:r.=?94>0:&576<682wvqp5`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e=1:1<7;50;2x 7de2>?0D?oi;h36f?6=,;hi6<;n;W0a`?7|D;hm6n6X>5281!04<3;;7)8<3;33?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*935824>"1;:0:<6sr}|9j531=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1==5+621955=zutw0e<9=:18'6gd=96X>5281!04<3;;7)8<3;33?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`6<4<72<0;6=u+2c`930=O:hl0e<;m:18'6gd=943e3_;>?746<,?986<>4}|~?l72k3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:c:T216<5s-<887??;%407?773twvq6g>6683>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>>1]=8=52z&571<682.=?>4>0:~yx=n9>81<7*=bc821d=O:kk0Z?lk:0yO6g`=9r\o;74153_;>?746<,?986<>4}|~?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887l4$710>g=zutw0qo;8e;290?6=8r.9no4>f39K6d`4m;|~y>o6>>0;6)P5jm0:wA669U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8yg3?=3:1>7>50z&1fg5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj<2i6=4=:183!4ej3hn7Ef29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1j6*9328e?x{zu2wi95850;194?6|,;hi6?l7;I0bb>o6=k0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+6269f>"1;:0i7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0i7)8<3;`8yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c7;3?6=93:16<729q/>ol5749K6d`4={%400?713-<8?7?9;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94>6:&576<6>2wvqp5`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e=1k1<7;50;2x 7de2>?0D?oi;h36f?6=,;hi6<;n;I0ae>P5jm0:wA5c9U505=:r.=?94>6:&576<6>2wvqp5f14a94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6<84$710>40{zut1b=:<50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9524<^8?86?u+626953=#>:91=;5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn867:180>5<7s-8in7?i2:J1ec=n9:5b:&5765b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571g=zutw0qo;m5;296?6=8r.9no4me:J1ec=n95<5290;w)oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a1gc=8381<7>t$3`a>gc<@;km7d?:a;29 7de28?j76a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&5714?:1y'6gd=:k20D?oi;h36f?6=,;hi6<;n;I0ae>P5jm0:wA5c9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn8l8:182>5<7s-8in754;294~"5jk0<96F=ag9j50d=83.9no4>5`9K6gg<^;ho6P5jm0:wA5b9U505=:r.=?94>0:&576<682wvqp5f17594?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9?=0Z<;<:3y'262=9?1/:>=5179~yx{5<4290;w){M0ab?7|^m=1>v*>f`821g=Q9<91>v*935823>"1;:0:;6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=:5+621952=zutw0c4`43_;>?7g=#>:91n6sr}|9~f0de29086=4?{%0af?123A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571<6?2.=?>4>7:~yx=n9:5169'265=9>1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj7E:5109'265=981vqps4i07`>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:c:T216<5s-<887?>;%407?763twvq6g>6683>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1=<5+621954=zutw0e<9=:18'6gd=94153_;>?741<,?986<94}|~?l70=3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?85:T216<5s-<887?8;%407?703twvq6a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571n6X>5281!04<3h0(;=<:c9~yx{4m;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d7<729q/>ol5bd9K6d`5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c7`1?6=:3:1N5io1b=8o50;&1fg<6=h10c4`43_;>?7g=#>:91n6sr}|9~f0ed29096=4?{%0af?db3A8jj6g>5`83>!4ej3;>m65`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=n2.=?>4i;|~y>{e=j81<7=50;2x 7de2;h37E:5b:&5765b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571g=zutw0qo;l3;295?6=8r.9no4=c39K6d`o94?:283>5}#:kh1;85G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6o5+6219f>{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+6269f>"1;:0i7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th>o;4?:883>5}#:kh1;85G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6474={%400?763-<8?7?>;|~y>o6>>0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc9531<^8?86?u+626954=#>:91=<5r}|8m415290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;346>P6=:09w)8<4;32?!04;3;:7psr}:k230<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko51678R4342;q/:>:5169'265=9>1vqps4i055>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?86:T216<5s-<887?8;%407?703twvq6g>7683>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:94V070>7}#>:>1=:5+621952=zutw0e<97:18'6gd=941?3_;>?741<,?986<94}|~?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887l4$710>g=zutw0qo;l7;29=?6=8r.9no485:J1ec=n9:5109'265=981vqps4i07`>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 35328;0(;=<:038yx{z3`;=;7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6>>1]=8=52z&571<692.=?>4>1:~yx=n9>81<7*=bc821d=O:kk0Z?lk:0yO6g`=9r\o;74153_;>?741<,?986<94}|~?l70=3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?85:T216<5s-<887?8;%407?703twvq6g>7783>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:84V070>7}#>:>1=:5+621952=zutw0e<98:18'6gd=95281!04<3;<7)8<3;34?x{zu2c:;54?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`823==Q9<91>v*935823>"1;:0:;6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi9n650;;94?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887?>;%407?763twvq6g>5b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571<692.=?>4>1:~yx=n9?=1<7*=bc821d=O:kk0Z?lk:0yO6g`=9r\o;74003_;>?747<,?986{M0ab?7|^m=1>v*>f`8237=Q9<91>v*935825>"1;:0:=6sr}|9j523=83.9no4>5`9K6gg<^;ho6P5jm0:wA779U505=:r.=?94>7:&576<6?2wvqp5f16594?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<98;W367?4|,?9?6<94$710>414={%400?703-<8?7?8;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d<<729q/>ol5749K6d`o6X>5281!04<3;:7)8<3;32?x{zu2c:::4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9?=0Z<;<:3y'262=981/:>=5109~yx{4={%400?703-<8?7?8;|~y>o6?<0;6)P5jm0:wA749U505=:r.=?94>7:&576<6?2wvqp5f16494?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<99;W367?4|,?9?6<94$710>41{zut1b=:650;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc952><^8?86?u+626952=#>:91=:5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn8mn:18:>5<7s-8in79:;I0bb>o6=k0;6)P5jm0:wA5c9U505=:r.=?94>1:&576<692wvqp5f14a94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?647{zut1b=:<50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28=97[?:3;0x 35328=0(;=<:058yx{z3`;<97>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6?<1]=8=52z&571<6?2.=?>4>7:~yx=n9><1<7*=bc821d=O:kk0Z?lk:0yO6g`=9r\o;74113_;>?741<,?986<94}|~?l70?3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?87:T216<5s-<887?8;%407?703twvq6g>7983>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:64V070>7}#>:>1=:5+621952=zutw0c4`43_;>?7g=#>:91n6sr}|9~f0ee290=6=4?{%0af?7a:2B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=94m;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m415290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9=;W367?4|,?9?6o5+6219f>{zut1b=:;50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9523<^8?86?u+6269f>"1;:0i7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th>i84?:383>5}#:kh1nh5G2`d8m43f290/>ol514c8?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887l4$710>g=zutw0qo;j9;296?6=8r.9no4me:J1ec=n95<5290;w)oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269b>"1;:0m7psr}:a1`0=8391<7>t$3`a>7d?3A8jj6g>5c83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1n6*9328a?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*9358a?!04;3h0qpsr;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{51;294~"5jk09o?5G2`d8k4`4290/>ol51g18?xd2m10;6>4?:1y'6gd=?<1C>lh4i07a>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 3532k1/:>=5b:~yx=n9:5b:&576f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571lh4i07a>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 35328;0(;=<:038yx{z3`;>o7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571<692.=?>4>1:~yx=n9?=1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51758R4342;q/:>:5169'265=9>1vqps4i051>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?82:T216<5s-<887?8;%407?703twvq6g>7483>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:;4V070>7}#>:>1=:5+621952=zutw0e<99:18'6gd=95281!04<3;<7)8<3;34?x{zu2c:;:4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9>=0Z<;<:3y'262=9>1/:>=5169~yx{5{M0ab?7|^m=1>v*>f`821g=Q9<91>v*935825>"1;:0:=6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=:5+621952=zutw0e<88:18'6gd=94003_;>?741<,?986<94}|~?l70:3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`8237=Q9<91>v*935823>"1;:0:;6sr}|9j523=83.9no4>5`9K6gg<^;ho6P5jm0:wA779U505=:r.=?94>7:&576<6?2wvqp5f16594?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<98;W367?4|,?9?6<94$710>414={%400?d<,?986o5r}|8yg3bk3:147>50z&1fg<0=2B9mk5f14`94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?647{zut1b=;950;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9531<^8?86?u+626952=#>:91=:5r}|8m415290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9=;W367?4|,?9?6<94$710>41{zut1b=:850;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28==7[?:3;0x 35328=0(;=<:058yx{z3`;<;7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;343>P6=:09w)8<4;34?!04;3;<7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th>ii4?:983>5}#:kh1;85G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?647{zut1b=;950;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9531<^8?86?u+626952=#>:91=:5r}|8m415290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;346>P6=:09w)8<4;34?!04;3;<7psr}:k230<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko51678R4342;q/:>:5169'265=9>1vqps4i055>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?86:T216<5s-<887?8;%407?703twvq6g>7683>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:94V070>7}#>:>1=:5+621952=zutw0c4`43_;>?7g=#>:91n6sr}|9~f0cb29036=4?{%0af?123A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571<692.=?>4>1:~yx=n9:5169'265=9>1vqps4i044>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<<7[?:3;0x 35328=0(;=<:058yx{z3`;<>7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6?;1]=8=52z&571<6?2.=?>4>7:~yx=n9>?1<7*=bc821d=O:kk0Z?lk:0yO6g`=9r\o;74123_;>?741<,?986<94}|~?l70>3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`8233=Q9<91>v*935823>"1;:0:;6sr}|9j521=83.9no4>5`9K6gg<^;ho65281!04<3h0(;=<:c9~yx{56;294~"5jk0:j?5G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6o5+6219f>{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+6269f>"1;:0i7psr}:k222<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:::5Y14196~"1;=0i7)8<3;`8yx{z3`;<>7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;346>P6=:09w)8<4;`8 3542k1vqps4i056>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=>7[?:3;0x 3532k1/:>=5b:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&5767<729q/>ol5bd9K6d`5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}cab>5<5290;w)oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269b>"1;:0m7psr}:ag2<72:0;6=u+2c`96g><@;km7d?:b;29 7de28?j7[4={%400?d<,?986o5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:ag=<7280;6=u+2c`96f4<@;km7b?i3;29 7de28l876smc883>4<729q/>ol51g38L7ga3f;m?7>5$3`a>4`432wi?:k50;094?6|,;hi6ok4H3ce?l72i3:1(?lm:07b?>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d7<729q/>ol5bd9K6d`5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;d8 3542o1vqps4}c147?6=;3:143e3_;>?7g=#>:91n6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1n6*9328a?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`031<7280;6=u+2c`96f4<@;km7b?i3;29 7de28l876sm36494?5=83:p(?lm:678L7ga3`;>n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;`8 3542k1vqps4i07`>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 3532k1/:>=5b:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;`8 3542k1vqps4i07`>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 3532k1/:>=5b:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;35?!04;3;=7psr}:k21f<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko514a8R4342;q/:>:5179'265=9?1vqps4i044>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<<7[?:3;0x 35328<0(;=<:048yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c14=?6=980;6=u+2c`930=O:hl0e<;m:18'6gd=9n6X>5281!04<3;=7)8<3;35?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*935822>"1;:0::6sr}|9j531=83.9no4>5`9K6gg<^;ho6P5jm0:wA739U505=:r.=?94>6:&576<6>2wvqp5f16794?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<9:;W367?4|,?9?6<84$710>40{zut1b=:950;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28=<7[?:3;0x 35328<0(;=<:048yx{z3`;<47>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6?11]=8=52z&571<6>2.=?>4>6:~yx=n9>31<7*=bc821d=O:kk0Z?lk:0yO6g`=9r\o;741>3_;>?740<,?986<84}|~?l70i3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`823d=Q9<91>v*935822>"1;:0::6sr}|9j50b=83.9no4>5`9K6gg<^;ho6P5jm0:wA5d9U505=:r.=?94>6:&576<6>2wvqp5f14d94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;i;W367?4|,?9?6<84$710>40{zut1b=;?50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9537<^8?86?u+6269f>"1;:0i7psr}:k227<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0::?5Y14196~"1;=0i7)8<3;`8yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c14e?6=:80;6=u+2c`930=O:hl0e<;m:18'6gd=9n6X>5281!04<3;;7)8<3;33?x{zu2c:9n4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9=5119~yx{6X>5281!04<3;;7)8<3;33?x{zu2c:;84?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8230=Q9<91>v*935824>"1;:0:<6sr}|9j520=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:84V070>7}#>:>1==5+621955=zutw0e<98:18'6gd=94103_;>?746<,?986<>4}|~?l7003:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?88:T216<5s-<887??;%407?773twvq6g>7883>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?01]=8=52z&571<682.=?>4>0:~yx=n9>k1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko516c8R4342;q/:>:5119'265=991vqps4i07g>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?o7[?:3;0x 35328:0(;=<:028yx{z3`;>i7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36a>P6=:09w)8<4;33?!04;3;;7psr}:k21c<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9k5Y14196~"1;=0:<6*932824>{zut1b=;>50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9536<^8?86?u+626955=#>:91==5r}|8m406290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;355>P6=:09w)8<4;33?!04;3;;7psr}:k227<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko51708R4342;q/:>:5119'265=991vqps4i040>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<87[?:3;0x 35328:0(;=<:028yx{z3`;=87>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;350>P6=:09w)8<4;35?!04;3;=7psr}:k220<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko51778R4342;q/:>:5179'265=9?1vqps4i045>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<=7[?:3;0x 3532k1/:>=5b:~yx=n9?21<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko517:8R4342;q/:>:5b:&5766883>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>01]=8=52z&571g=zutw0e<8m:18'6gd=940e3_;>?7g=#>:91n6sr}|9j53e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;m4V070>7}#>:>1n6*9328a?x{zu2c::i4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`822a=Q9<91>v*9358a?!04;3h0qpsr;h35a?6=,;hi6<;n;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{:0Z<;<:3y'262=j2.=?>4m;|~y>o6?80;6)P5jm0:wA709U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m413290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9;;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a72d=838:6=4?{%0af?123A8jj6g>5c83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1==5+621955=zutw0e<;l:18'6gd=9o6X>5281!04<3;;7)8<3;33?x{zu2c:::4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8222=Q9<91>v*935824>"1;:0:<6sr}|9j524=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:<4V070>7}#>:>1==5+621955=zutw0e<9::18'6gd=94123_;>?746<,?986<>4}|~?l70>3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?86:T216<5s-<887??;%407?773twvq6g>7683>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?>1]=8=52z&571<682.=?>4>0:~yx=n9>21<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko516:8R4342;q/:>:5119'265=991vqps4i05:>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=27[?:3;0x 35328:0(;=<:028yx{z3`;5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;34e>P6=:09w)8<4;33?!04;3;;7psr}:k21a<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9i5Y14196~"1;=0:<6*932824>{zut1b=8k50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950c<^8?86?u+626955=#>:91==5r}|8m43a290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;i;W367?4|,?9?6<>4$710>464={%400?773-<8?7??;|~y>o6>80;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc9537<^8?86?u+626955=#>:91==5r}|8m405290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;356>P6=:09w)8<4;33?!04;3;;7psr}:k226<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0::>5Y14196~"1;=0:<6*932824>{zut1b=;:50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9532<^8?86?u+626953=#>:91=;5r}|8m402290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;351>P6=:09w)8<4;35?!04;3;=7psr}:k223<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0::;5Y14196~"1;=0i7)8<3;`8yx{z3`;=47>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;35<>P6=:09w)8<4;`8 3542k1vqps4i04:>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<27[?:3;0x 3532k1/:>=5b:~yx=n9?k1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko517c8R4342;q/:>:5b:&5766c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>k1]=8=52z&571g=zutw0e<8k:18'6gd=940c3_;>?7g=#>:91n6sr}|9j53c=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;k4V070>7}#>:>1n6*9328a?x{zu2c::k4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`822c=Q9<91>v*9358a?!04;3h0qpsr;h344?6=,;hi6<;n;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{90Z<;<:3y'262=j2.=?>4m;|~y>o6?=0;6)P5jm0:wA759U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8yg50k3:1><4?:1y'6gd=?<1C>lh4i07a>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887??;%407?773twvq6g>5b83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1==5+621955=zutw0e<88:18'6gd=94003_;>?746<,?986<>4}|~?l70:3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?82:T216<5s-<887??;%407?773twvq6g>7483>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?<1]=8=52z&571<682.=?>4>0:~yx=n9><1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51648R4342;q/:>:5119'265=991vqps4i054>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=<7[?:3;0x 35328:0(;=<:028yx{z3`;<47>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;34<>P6=:09w)8<4;33?!04;3;;7psr}:k23<<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;45Y14196~"1;=0:<6*932824>{zut1b=:o50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc952g<^8?86?u+626955=#>:91==5r}|8m43c290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;36`>P6=:09w)8<4;33?!04;3;;7psr}:k21`<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko514g8R4342;q/:>:5119'265=991vqps4i07e>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:f:T216<5s-<887??;%407?773twvq6g>6183>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;>4V070>7}#>:>1==5+621955=zutw0e<8>:18'6gd=95281!04<3;;7)8<3;33?x{zu2c::?4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9?80Z<;<:3y'262=991/:>=5119~yx{5281!04<3;=7)8<3;35?x{zu2c::84?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9??0Z<;<:3y'262=9?1/:>=5179~yx{4m;|~y>o6>00;6)P5jm0:wA689U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m40e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<8m;W367?4|,?9?6o5+6219f>{zut1b=;m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc953e<^8?86?u+6269f>"1;:0i7psr}:k22a<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0::i5Y14196~"1;=0i7)8<3;`8yx{z3`;=i7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;35a>P6=:09w)8<4;`8 3542k1vqps4i04e>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=5b:~yx=n9>:1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51628R4342;q/:>:5b:&5767083>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?81]=8=52z&571g=zutw0e<9;:18'6gd=94133_;>?7g=#>:91n6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi?:;50;:94?6|,;hi6o6=k0;6)P5jm0:wA5c9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m400290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<88;W367?4|,?9?6o5+6219f>{zut1b=:<50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9524<^8?86?u+6269f>"1;:0i7psr}:k230<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;85Y14196~"1;=0i7)8<3;`8yx{z3`;<:7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;342>P6=:09w)8<4;`8 3542k1vqps4i054>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=<7[?:3;0x 3532k1/:>=5b:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&5767<729q/>ol5bd9K6d`5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c:94?4=83:p(?lm:cg8L7ga3`;>m7>5$3`a>43f32e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358e?!04;3l0qpsr;|`3>5<4290;w)N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+6269f>"1;:0i7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0i7)8<3;`8yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c394?7=83:p(?lm:3a1?M4fn2e:j>4?:%0af?7a;21vn?4?:283>5}#:kh1;85G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6<>4$710>46{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a0?6=:80;6=u+2c`930=O:hl0e<;m:18'6gd=9n6X>5281!04<3;:7)8<3;32?x{zu2c:9n4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9=5109~yx{6X>5281!04<3;:7)8<3;32?x{zu2c:;84?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8230=Q9<91>v*935825>"1;:0:=6sr}|9j520=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:84V070>7}#>:>1=<5+621954=zutw0e<98:18'6gd=94103_;>?747<,?986;%407?763twvq6g>7883>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?01]=8=52z&571<692.=?>4>1:~yx=n9>k1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko516c8R4342;q/:>:5109'265=981vqps4i07g>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?o7[?:3;0x 35328;0(;=<:038yx{z3`;>i7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36a>P6=:09w)8<4;32?!04;3;:7psr}:k21c<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9k5Y14196~"1;=0:=6*932825>{zut1b=;>50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9536<^8?86?u+626954=#>:91=<5r}|8m406290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;355>P6=:09w)8<4;32?!04;3;:7psr}:k227<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0::?5Y14196~"1;=0:=6*932825>{zut1b=;=50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9535<^8?86?u+626952=#>:91=:5r}|8m403290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<8;;W367?4|,?9?6<94$710>41{zut1b=;850;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9530<^8?86?u+626952=#>:91=:5r}|8m40?290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<87;W367?4|,?9?6o5+6219f>{zut1b=;750;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc953?<^8?86?u+6269f>"1;:0i7psr}:k22d<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0::l5Y14196~"1;=0i7)8<3;`8yx{z3`;=n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;35f>P6=:09w)8<4;`8 3542k1vqps4i04`>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=5b:~yx=n9?n1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko517f8R4342;q/:>:5b:&5766d83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>l1]=8=52z&571g=zutw0e<9?:18'6gd=94173_;>?7g=#>:91n6sr}|9j527=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:?4V070>7}#>:>1n6*9328a?x{zu2c:;>4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8236=Q9<91>v*9358a?!04;3h0qpsr;h340?6=,;hi6<;n;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{77=83:p(?lm:678L7ga3`;>n7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571<692.=?>4>1:~yx=n943d3_;>?747<,?986;%407?763twvq6g>7383>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?;1]=8=52z&571<692.=?>4>1:~yx=n9>?1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51678R4342;q/:>:5109'265=981vqps4i055>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28==7[?:3;0x 35328;0(;=<:038yx{z3`;<;7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;343>P6=:09w)8<4;32?!04;3;:7psr}:k23=<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;55Y14196~"1;=0:=6*932825>{zut1b=:750;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc952?<^8?86?u+626954=#>:91=<5r}|8m41f290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9n;W367?4|,?9?647{zut1b=8k50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?n7[?:3;0x 35328;0(;=<:038yx{z3`;>j7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6=o1]=8=52z&571<692.=?>4>1:~yx=n9?:1<7*=bc821d=O:kk0Z?lk:0yO6g`=9r\o;74073_;>?747<,?986{M0ab?7|^m=1>v*>f`8224=Q9<91>v*935825>"1;:0:=6sr}|9j534=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;<4V070>7}#>:>1=<5+621954=zutw0e<8<:18'6gd=94043_;>?741<,?986<94}|~?l71<3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?94:T216<5s-<887?8;%407?703twvq6g>6483>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;;4V070>7}#>:>1=:5+621952=zutw0e<89:18'6gd=94013_;>?741<,?986<94}|~?l7103:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?98:T216<5s-<887l4$710>g=zutw0e<86:18'6gd=940>3_;>?7g=#>:91n6sr}|9j53g=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;o4V070>7}#>:>1n6*9328a?x{zu2c::o4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`822g=Q9<91>v*9358a?!04;3h0qpsr;h35g?6=,;hi6<;n;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{4m;|~y>o6>o0;6)P5jm0:wA6g9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m416290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9>;W367?4|,?9?6o5+6219f>{zut1b=:=50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9525<^8?86?u+6269f>"1;:0i7psr}:k231<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;95Y14196~"1;=0i7)8<3;`8yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c494?46290;w)=5109~yx{4={%400?763-<8?7?>;|~y>o6>>0;6)P5jm0:wA669U505=:r.=?94>1:&576<692wvqp5f16094?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9>80Z<;<:3y'262=981/:>=5109~yx{6=4+2c`950g<^;ho65281!04<3;:7)8<3;32?x{zu2c:;:4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8232=Q9<91>v*935825>"1;:0:=6sr}|9j52>=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:64V070>7}#>:>1=<5+621954=zutw0e<96:18'6gd=941>3_;>?747<,?986;%407?763twvq6g>5e83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8j4V070>7}#>:>1=<5+621954=zutw0e<;j:18'6gd=9i6X>5281!04<3;:7)8<3;32?x{zu2c:9k4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9=5109~yx{4={%400?763-<8?7?>;|~y>o6>80;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc9537<^8?86?u+626954=#>:91=<5r}|8m405290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<8=;W367?4|,?9?6474={%400?703-<8?7?8;|~y>o6>=0;6)P5jm0:wA659U505=:r.=?94>7:&576<6?2wvqp5f17794?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<8:;W367?4|,?9?6<94$710>412\:9>4={%400?703-<8?7?8;|~y>o6>10;6)P5jm0:wA699U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m40f290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<8n;W367?4|,?9?6o5+6219f>{zut1b=;l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc953d<^8?86?u+6269f>"1;:0i7psr}:k22f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0::n5Y14196~"1;=0i7)8<3;`8yx{z3`;=h7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;35`>P6=:09w)8<4;`8 3542k1vqps4i04f>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=5b:~yx=n9?l1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko517d8R4342;q/:>:5b:&5767183>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?91]=8=52z&571g=zutw0e<9<:18'6gd=94143_;>?7g=#>:91n6sr}|9j522=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=::4V070>7}#>:>1n6*9328a?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`4>5<3290;w)N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+6269f>"1;:0i7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0i7)8<3;`8yx{z3`;=;7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;353>P6=:09w)8<4;`8 3542k1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zjmk1<7<50;2x 7de2ko0D?oi;h36e?6=,;hi6<;n;:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3thon7>53;294~"5jk0<96F=ag9j50d=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1=85+621950=zutw0e<;l:18'6gd=943d3_;>?743<,?986<;4}|~?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887l4$710>g=zutw0qojl:180>5<7s-8in79:;I0bb>o6=k0;6)P5jm0:wA5c9U505=:r.=?94>7:&576<6?2wvqp5f14a94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6<94$710>414={%400?d<,?986o5r}|8ygbc290?6=4?{%0af?123A8jj6g>5c83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1=<5+621954=zutw0e<;l:18'6gd=9o6X>5281!04<3;<7)8<3;34?x{zu2c:::4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8222=Q9<91>v*935823>"1;:0:;6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wihh4?:583>5}#:kh1;85G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6<94$710>41{zut1b=;950;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9531<^8?86?u+626952=#>:91=:5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vnih50;694?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`821g=Q9<91>v*935823>"1;:0:;6sr}|9j50e=83.9no4>5`9K6gg<^;ho6P5jm0:wA669U505=:r.=?94>7:&576<6?2wvqp5`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{em90;684?:1y'6gd=?<1C>lh4i07a>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887?>;%407?763twvq6g>5b83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=<5+621954=zutw0e<88:18'6gd=95281!04<3;<7)8<3;34?x{zu2c:;?4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8237=Q9<91>v*935823>"1;:0:;6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wii<4?:683>5}#:kh1=k<4H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887l4$710>g=zutw0e<;l:18'6gd=943d3_;>?7g=#>:91n6sr}|9j531=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1n6*9328a?x{zu2c:;?4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8237=Q9<91>v*9358a?!04;3h0qpsr;h341?6=,;hi6<;n;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{em;0;6?4?:1y'6gd=jl1C>lh4i07b>5<#:kh1=8o4;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{7E{zut1b=8m50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 35328=0(;=<:058yx{z3`;=;7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;353>P6=:09w)8<4;34?!04;3;<7psr}:k237<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko51608R4342;q/:>:5169'265=9>1vqps4i056>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?85:T216<5s-<887?8;%407?703twvq6g>7783>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6??1]=8=52z&571<6?2.=?>4>7:~yx=n9>=1<7*=bc821d=O:kk0Z?lk:0yO6g`=9r\o;74103_;>?741<,?986<94}|~?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887l4$710>g=zutw0qok;:1825?6=8r.9no485:J1ec=n943e3_;>?747<,?986{M0ab?7|^m=1>v*>f`821f=Q9<91>v*935825>"1;:0:=6sr}|9j531=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1=<5+621954=zutw0e<9=:18'6gd=94153_;>?747<,?986;%407?763twvq6g>7783>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6??1]=8=52z&571<692.=?>4>1:~yx=n9>=1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51658R4342;q/:>:5109'265=981vqps4i05;>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=37[?:3;0x 35328;0(;=<:038yx{z3`;<57>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;34=>P6=:09w)8<4;32?!04;3;:7psr}:k23d<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko516c8R4342;q/:>:5109'265=981vqps4i07g>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:d:T216<5s-<887?>;%407?763twvq6g>5d83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8k4V070>7}#>:>1=:5+621952=zutw0e<;i:18'6gd=943a3_;>?741<,?986<94}|~?l7183:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?90:T216<5s-<887l4$710>g=zutw0e<8>:18'6gd=94063_;>?7g=#>:91n6sr}|9j534=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;<4V070>7}#>:>1n6*9328a?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`f1?6=980;6=u+2c`930=O:hl0e<;m:18'6gd=9n6X>5281!04<3;:7)8<3;32?x{zu2c:9n4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9=5109~yx{6X>5281!04<3;:7)8<3;32?x{zu2c:;84?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8230=Q9<91>v*935825>"1;:0:=6sr}|9j520=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:84V070>7}#>:>1=<5+621954=zutw0e<98:18'6gd=94103_;>?747<,?986;%407?763twvq6g>7883>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?01]=8=52z&571<692.=?>4>1:~yx=n9>k1<7*=bc821d=O:kk0Z?lk:0yO6g`=9r\o;741f3_;>?747<,?986{M0ab?7|^m=1>v*>f`821a=Q9<91>v*935823>"1;:0:;6sr}|9j50c=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8k4V070>7}#>:>1=:5+621952=zutw0e<;i:18'6gd=943a3_;>?741<,?986<94}|~?l7183:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?90:T216<5s-<887l4$710>g=zutw0e<8>:18'6gd=94063_;>?7g=#>:91n6sr}|9j534=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;<4V070>7}#>:>1n6*9328a?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`f2?6=980;6=u+2c`930=O:hl0e<;m:18'6gd=9n6X>5281!04<3;:7)8<3;32?x{zu2c:9n4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9=5109~yx{6X>5281!04<3;:7)8<3;32?x{zu2c:;84?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8230=Q9<91>v*935825>"1;:0:=6sr}|9j520=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:84V070>7}#>:>1=<5+621954=zutw0e<98:18'6gd=94103_;>?747<,?986;%407?763twvq6g>7883>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?01]=8=52z&571<692.=?>4>1:~yx=n9>k1<7*=bc821d=O:kk0Z?lk:0yO6g`=9r\o;741f3_;>?747<,?986{M0ab?7|^m=1>v*>f`821a=Q9<91>v*935825>"1;:0:=6sr}|9j50c=83.9no4>5`9K6gg<^;ho6j6X>5281!04<3;<7)8<3;34?x{zu2c::=4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8225=Q9<91>v*9358a?!04;3h0qpsr;h355?6=,;hi6<;n;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{em>0;6?0D?oi;h36f?6=,;hi6<;n;I0ae>P5jm0:wA5c9U505=:r.=?94>1:&576<692wvqp5f14a94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6474={%400?763-<8?7?>;|~y>o6?;0;6)P5jm0:wA739U505=:r.=?94>1:&576<692wvqp5f16794?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9>?0Z<;<:3y'262=981/:>=5109~yx{5281!04<3;:7)8<3;32?x{zu2c:;54?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`823==Q9<91>v*935825>"1;:0:=6sr}|9j52?=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:74V070>7}#>:>1=<5+621954=zutw0e<9n:18'6gd=95281!04<3;:7)8<3;32?x{zu2c:9i4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=91/:>=5169~yx{j6X>5281!04<3;<7)8<3;34?x{zu2c::=4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8225=Q9<91>v*9358a?!04;3h0qpsr;h355?6=,;hi6<;n;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{em10;6;4?:1y'6gd=9o80D?oi;h36f?6=,;hi6<;n;W0a`?7|D;hm6n6X>5281!04<3h0(;=<:c9~yx{4m;|~y>o6?;0;6)P5jm0:wA739U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vnh750;094?6|,;hi6ok4H3ce?l72i3:1(?lm:07b?>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d:5149'265=9<1vqps4i07`>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 35328?0(;=<:078yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}cga>5<3290;w){M0ab?7|^m=1>v*>f`821g=Q9<91>v*935825>"1;:0:=6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=<5+621954=zutw0e<88:18'6gd=95281!04<3;<7)8<3;34?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`fg?6=<3:1N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+626954=#>:91=<5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?647{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:aaa<72=0;6=u+2c`930=O:hl0e<;m:18'6gd=943e3_;>?747<,?986;%407?763twvq6g>6683>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1=:5+621952=zutw0c4`43_;>?7g=#>:91n6sr}|9~f`c=83>1<7>t$3`a>23<@;km7d?:b;29 7de28?j7[4={%400?763-<8?7?>;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94>1:&576<692wvqp5f17594?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<88;W367?4|,?9?6<94$710>414={%400?d<,?986o5r}|8ygca290?6=4?{%0af?123A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571<692.=?>4>1:~yx=n9:5109'265=981vqps4i044>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?97:T216<5s-<887?8;%407?703twvq6a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&57150z&1fg<6n;1C>lh4i07a>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 3532k1/:>=5b:~yx=n9:5b:&5766683>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>>1]=8=52z&571g=zutw0e<9::18'6gd=94123_;>?7g=#>:91n6sr}|9j520=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:84V070>7}#>:>1n6*9328a?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`e5?6=:3:1N5io1b=8o50;&1fg<6=h10c4`43_;>?7g=#>:91n6sr}|9~fc4=8391<7>t$3`a>23<@;km7d?:b;29 7de28?j7[4={%400?723-<8?7?:;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94>5:&576<6=2wvqp5`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{en:0;694?:1y'6gd=?<1C>lh4i07a>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887?8;%407?703twvq6g>5b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571<6?2.=?>4>7:~yx=n9?=1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51758R4342;q/:>:5169'265=9>1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zjo>1<7:50;2x 7de2>?0D?oi;h36f?6=,;hi6<;n;I0ae>P5jm0:wA5c9U505=:r.=?94>7:&576<6?2wvqp5f14a94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=91/:>=5169~yx{5281!04<3h0(;=<:c9~yx{6=4;:183!4ej3=>7E:5169'265=9>1vqps4i07`>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 35328=0(;=<:058yx{z3`;=;7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;353>P6=:09w)8<4;34?!04;3;<7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3thm:7>54;294~"5jk0<96F=ag9j50d=83.9no4>5`9K6gg<^;ho6o6X>5281!04<3;<7)8<3;34?x{zu2c:::4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8222=Q9<91>v*935823>"1;:0:;6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wij:4?:3394?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`821g=Q9<91>v*935825>"1;:0:=6sr}|9j50e=83.9no4>5`9K6gg<^;ho65281!04<3;:7)8<3;32?x{zu2c:;?4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8237=Q9<91>v*935825>"1;:0:=6sr}|9j523=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:;4V070>7}#>:>1=<5+621954=zutw0e<99:18'6gd=94113_;>?747<,?986;%407?763twvq6g>7983>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?11]=8=52z&571<692.=?>4>1:~yx=n9>31<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko516;8R4342;q/:>:5109'265=981vqps4i05b>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=j7[?:3;0x 35328;0(;=<:038yx{z3`;>h7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36`>P6=:09w)8<4;32?!04;3;:7psr}:k21`<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9h5Y14196~"1;=0:=6*932825>{zut1b=8h50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950`<^8?86?u+626954=#>:91=<5r}|8m407290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<8?;W367?4|,?9?647{zut1b=;<50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28<97[?:3;0x 35328;0(;=<:038yx{z3`;=?7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;357>P6=:09w)8<4;32?!04;3;:7psr}:k221<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0::95Y14196~"1;=0:;6*932823>{zut1b=;;50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9533<^8?86?u+626952=#>:91=:5r}|8m401290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;352>P6=:09w)8<4;34?!04;3;<7psr}:k22=<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0::55Y14196~"1;=0i7)8<3;`8yx{z3`;=57>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;35=>P6=:09w)8<4;`8 3542k1vqps4i04b>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=5b:~yx=n9?h1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko517`8R4342;q/:>:5b:&5766b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>j1]=8=52z&571g=zutw0e<8j:18'6gd=940b3_;>?7g=#>:91n6sr}|9j53`=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;h4V070>7}#>:>1n6*9328a?x{zu2c:;=4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8235=Q9<91>v*9358a?!04;3h0qpsr;h345?6=,;hi6<;n;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{>0Z<;<:3y'262=j2.=?>4m;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?df39K6d`4m;|~y>o6>>0;6)P5jm0:wA669U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m412290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9:;W367?4|,?9?6o5+6219f>{zut1b=:850;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9520<^8?86?u+6269f>"1;:0i7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3thm57>52;294~"5jk0ii6F=ag9j50g=83.9no4>5`98k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vnko50;694?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`821g=Q9<91>v*935823>"1;:0:;6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=:5+621952=zutw0e<88:18'6gd=95281!04<3;<7)8<3;34?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`ef?6=<3:1N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+626952=#>:91=:5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6<94$710>41{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:abf<72:0;6=u+2c`95c4<@;km7d?:b;29 7de28?j7[4={%400?d<,?986o5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:aba<72;0;6=u+2c`9f`=O:hl0e<;n:18'6gd=94={%400?d<,?986o5r}|8yg`b29086=4?{%0af?123A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571<6=2.=?>4>5:~yx=n9:5149'265=9<1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zjol1<7<>:183!4ej3=>7E:5109'265=981vqps4i07`>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:c:T216<5s-<887?>;%407?763twvq6g>6683>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>>1]=8=52z&571<692.=?>4>1:~yx=n9>81<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51608R4342;q/:>:5109'265=981vqps4i056>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=>7[?:3;0x 35328;0(;=<:038yx{z3`;<:7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;342>P6=:09w)8<4;32?!04;3;:7psr}:k232<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;:5Y14196~"1;=0:=6*932825>{zut1b=:650;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc952><^8?86?u+626954=#>:91=<5r}|8m41>290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<96;W367?4|,?9?6474={%400?763-<8?7?>;|~y>o6=m0;6)P5jm0:wA5e9U505=:r.=?94>1:&576<692wvqp5f14g94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5109~yx{5281!04<3;:7)8<3;32?x{zu2c::<4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9?;0Z<;<:3y'262=981/:>=5109~yx{4={%400?763-<8?7?>;|~y>o6>:0;6)P5jm0:wA629U505=:r.=?94>1:&576<692wvqp5f17694?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9?>0Z<;<:3y'262=9>1/:>=5169~yx{6=4+2c`950g<^;ho6P5jm0:wA679U505=:r.=?94>7:&576<6?2wvqp5f17:94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9?20Z<;<:3y'262=j2.=?>4m;|~y>o6>00;6)P5jm0:wA689U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m40e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<8m;W367?4|,?9?6o5+6219f>{zut1b=;m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc953e<^8?86?u+6269f>"1;:0i7psr}:k22a<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0::i5Y14196~"1;=0i7)8<3;`8yx{z3`;=i7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;35a>P6=:09w)8<4;`8 3542k1vqps4i04e>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=5b:~yx=n9>:1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51628R4342;q/:>:5b:&5767083>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?81]=8=52z&571g=zutw0e<9;:18'6gd=94133_;>?7g=#>:91n6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi==>50;02>5<7s-8in79:;I0bb>o6=k0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+626954=#>:91=<5r}|8m43d290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;32?!04;3;:7psr}:k222<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:::5Y14196~"1;=0:=6*932825>{zut1b=:<50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9524<^8?86?u+626954=#>:91=<5r}|8m412290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9:;W367?4|,?9?6472\:9>4={%400?763-<8?7?>;|~y>o6?>0;6)P5jm0:wA769U505=:r.=?94>1:&576<692wvqp5f16:94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9>20Z<;<:3y'262=981/:>=5109~yx{5281!04<3;:7)8<3;32?x{zu2c:9i4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821a=Q9<91>v*935825>"1;:0:=6sr}|9j50c=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8k4V070>7}#>:>1=<5+621954=zutw0e<;i:18'6gd=943a3_;>?747<,?986;%407?763twvq6g>6083>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;?4V070>7}#>:>1=<5+621954=zutw0e<8=:18'6gd=96X>5281!04<3;:7)8<3;32?x{zu2c::>4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8226=Q9<91>v*935825>"1;:0:=6sr}|9j532=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;:4V070>7}#>:>1=:5+621952=zutw0e<8::18'6gd=94023_;>?741<,?986<94}|~?l71>3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`8223=Q9<91>v*935823>"1;:0:;6sr}|9j53>=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;64V070>7}#>:>1n6*9328a?x{zu2c::44?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`822<=Q9<91>v*9358a?!04;3h0qpsr;h35e?6=,;hi6<;n;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{4m;|~y>o6>m0;6)P5jm0:wA6e9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m40a290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<8i;W367?4|,?9?6o5+6219f>{zut1b=:>50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9526<^8?86?u+6269f>"1;:0i7psr}:k234<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;<5Y14196~"1;=0i7)8<3;`8yx{z3`;5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;347>P6=:09w)8<4;`8 3542k1vqps4i057>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=?7[?:3;0x 3532k1/:>=5b:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576=5109~yx{4={%400?763-<8?7?>;|~y>o6>>0;6)P5jm0:wA669U505=:r.=?94>1:&576<692wvqp5f16094?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9>80Z<;<:3y'262=981/:>=5109~yx{6=4+2c`950g<^;ho65281!04<3;:7)8<3;32?x{zu2c:;:4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8232=Q9<91>v*935825>"1;:0:=6sr}|9j52>=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:64V070>7}#>:>1=<5+621954=zutw0e<96:18'6gd=941>3_;>?747<,?986;%407?763twvq6g>5e83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=m1]=8=52z&571<692.=?>4>1:~yx=n9:5109'265=981vqps4i07e>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?m7[?:3;0x 35328;0(;=<:038yx{z3`;=<7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;354>P6=:09w)8<4;32?!04;3;:7psr}:k224<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko51738R4342;q/:>:5109'265=981vqps4i041>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<97[?:3;0x 35328;0(;=<:038yx{z3`;=?7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;357>P6=:09w)8<4;34?!04;3;<7psr}:k221<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0::95Y14196~"1;=0:;6*932823>{zut1b=;;50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28<>7[?:3;0x 35328=0(;=<:058yx{z3`;=:7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;352>P6=:09w)8<4;34?!04;3;<7psr}:k22=<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0::55Y14196~"1;=0i7)8<3;`8yx{z3`;=57>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;35=>P6=:09w)8<4;`8 3542k1vqps4i04b>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=5b:~yx=n9?h1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko517`8R4342;q/:>:5b:&5766b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>j1]=8=52z&571g=zutw0e<8j:18'6gd=940b3_;>?7g=#>:91n6sr}|9j53`=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;h4V070>7}#>:>1n6*9328a?x{zu2c:;=4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8235=Q9<91>v*9358a?!04;3h0qpsr;h345?6=,;hi6<;n;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{>0Z<;<:3y'262=j2.=?>4m;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d0383>77=83:p(?lm:678L7ga3`;>n7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571<692.=?>4>1:~yx=n943d3_;>?747<,?986;%407?763twvq6g>7383>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?;1]=8=52z&571<692.=?>4>1:~yx=n9>?1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51678R4342;q/:>:5109'265=981vqps4i055>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28==7[?:3;0x 35328;0(;=<:038yx{z3`;<;7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;343>P6=:09w)8<4;32?!04;3;:7psr}:k23=<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;55Y14196~"1;=0:=6*932825>{zut1b=:750;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc952?<^8?86?u+626954=#>:91=<5r}|8m41f290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9n;W367?4|,?9?647{zut1b=8k50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?n7[?:3;0x 35328;0(;=<:038yx{z3`;>j7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6=o1]=8=52z&571<692.=?>4>1:~yx=n9?:1<7*=bc821d=O:kk0Z?lk:0yO6g`=9r\o;74073_;>?747<,?986{M0ab?7|^m=1>v*>f`8224=Q9<91>v*935825>"1;:0:=6sr}|9j534=83.9no4>5`9K6gg<^;ho65281!04<3;:7)8<3;32?x{zu2c::94?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8221=Q9<91>v*935823>"1;:0:;6sr}|9j533=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;;4V070>7}#>:>1=:5+621952=zutw0e<89:18'6gd=95281!04<3;<7)8<3;34?x{zu2c::54?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`822==Q9<91>v*9358a?!04;3h0qpsr;h35=?6=,;hi6<;n;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{4m;|~y>o6>j0;6)P5jm0:wA6b9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m40b290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<8j;W367?4|,?9?6o5+6219f>{zut1b=;h50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc953`<^8?86?u+6269f>"1;:0i7psr}:k235<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;=5Y14196~"1;=0i7)8<3;`8yx{z3`;<=7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;345>P6=:09w)8<4;`8 3542k1vqps4i050>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=87[?:3;0x 3532k1/:>=5b:~yx=n9>>1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51668R4342;q/:>:5b:&576f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571?0D?oi;h36f?6=,;hi6<;n;I0ae>P5jm0:wA5c9U505=:r.=?94>1:&576<692wvqp5f14a94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6474={%400?763-<8?7?>;|~y>o6?;0;6)P5jm0:wA739U505=:r.=?94>1:&576<692wvqp5f16794?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9>?0Z<;<:3y'262=981/:>=5109~yx{5281!04<3;:7)8<3;32?x{zu2c:;54?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`823==Q9<91>v*935825>"1;:0:=6sr}|9j52?=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:74V070>7}#>:>1=<5+621954=zutw0e<9n:18'6gd=941f3_;>?747<,?986{M0ab?7|^m=1>v*>f`821a=Q9<91>v*935825>"1;:0:=6sr}|9j50c=83.9no4>5`9K6gg<^;ho6P5jm0:wA5g9U505=:r.=?94>1:&576<692wvqp5f17294?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<8?;W367?4|,?9?647{zut1b=;<50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28<97[?:3;0x 35328;0(;=<:038yx{z3`;=?7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;357>P6=:09w)8<4;32?!04;3;:7psr}:k221<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0::95Y14196~"1;=0:;6*932823>{zut1b=;;50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9533<^8?86?u+626952=#>:91=:5r}|8m401290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;352>P6=:09w)8<4;34?!04;3;<7psr}:k22=<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0::55Y14196~"1;=0i7)8<3;`8yx{z3`;=57>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;35=>P6=:09w)8<4;`8 3542k1vqps4i04b>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=5b:~yx=n9?h1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko517`8R4342;q/:>:5b:&5766b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>j1]=8=52z&571g=zutw0e<8j:18'6gd=940b3_;>?7g=#>:91n6sr}|9j53`=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;h4V070>7}#>:>1n6*9328a?x{zu2c:;=4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8235=Q9<91>v*9358a?!04;3h0qpsr;h345?6=,;hi6<;n;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{>0Z<;<:3y'262=j2.=?>4m;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d0583>2<729q/>ol51g08L7ga3`;>n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;`8 3542k1vqps4i07`>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 3532k1/:>=5b:~yx=n9?=1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51758R4342;q/:>:5b:&5767383>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?;1]=8=52z&571g=zutw0e<99:18'6gd=94113_;>?7g=#>:91n6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi==;50;094?6|,;hi6ok4H3ce?l72i3:1(?lm:07b?>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d0783>6<729q/>ol5749K6d`o6X>5281!04<3;>7)8<3;36?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`242<72=0;6=u+2c`930=O:hl0e<;m:18'6gd=9n6X>5281!04<3;<7)8<3;34?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*935823>"1;:0:;6sr}|9j531=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1=:5+621952=zutw0c4`43_;>?7g=#>:91n6sr}|9~f46?290?6=4?{%0af?123A8jj6g>5c83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1=:5+621952=zutw0e<;l:18'6gd=943d3_;>?741<,?986<94}|~?l71?3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?97:T216<5s-<887?8;%407?703twvq6a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571lh4i07a>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887?8;%407?703twvq6g>5b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571<6?2.=?>4>7:~yx=n9?=1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51758R4342;q/:>:5169'265=9>1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj8:j6=4;:183!4ej3=>7E:5169'265=9>1vqps4i07`>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 35328=0(;=<:058yx{z3`;=;7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;353>P6=:09w)8<4;34?!04;3;<7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th:5}#:kh1;85G2`d8m43e290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;34?!04;3;<7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0:;6*932823>{zut1b=;950;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9531<^8?86?u+626952=#>:91=:5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn<>l:184>5<7s-8in7?i2:J1ec=n9:5b:&5765b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571g=zutw0e<9=:18'6gd=94153_;>?7g=#>:91n6sr}|9j523=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:;4V070>7}#>:>1n6*9328a?x{zu2c:;;4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8233=Q9<91>v*9358a?!04;3h0qpsr;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{52;294~"5jk0ii6F=ag9j50g=83.9no4>5`98k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn<>j:186>5<7s-8in79:;I0bb>o6=k0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+626954=#>:91=<5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?647{zut1b=:<50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28=97[?:3;0x 35328;0(;=<:038yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c33b?6==3:1N5io1b=8l50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 35328;0(;=<:038yx{z3`;>o7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571<692.=?>4>1:~yx=n9?=1<7*=bc821d=O:kk0Z?lk:0yO6g`=9r\o;74003_;>?747<,?986{M0ab?7|^m=1>v*>f`8237=Q9<91>v*935823>"1;:0:;6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi=<>50;194?6|,;hi6o6=k0;6)P5jm0:wA5c9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn:181>5<7s-8in7lj;I0bb>o6=h0;6)=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;34?!04;3;<7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0:;6*932823>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a545=8391<7>t$3`a>23<@;km7d?:b;29 7de28?j7[4={%400?703-<8?7?8;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94>7:&576<6?2wvqp5`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e98>1<7=50;2x 7de2>?0D?oi;h36f?6=,;hi6<;n;W0a`?7|D;hm6n6X>5281!04<3;<7)8<3;34?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*935823>"1;:0:;6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi=<;50;694?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`821g=Q9<91>v*935823>"1;:0:;6sr}|9j50e=83.9no4>5`9K6gg<^;ho65281!04<3;<7)8<3;34?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`253<72=0;6=u+2c`930=O:hl0e<;m:18'6gd=9n6X>5281!04<3;<7)8<3;34?x{zu2c:9n4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821f=Q9<91>v*935823>"1;:0:;6sr}|9j531=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1=:5+621952=zutw0c4`43_;>?7g=#>:91n6sr}|9~f470290=6=4?{%0af?7a:2B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=94m;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m415290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9=;W367?4|,?9?6o5+6219f>{zut1b=:;50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9523<^8?86?u+6269f>"1;:0i7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th:=54?:383>5}#:kh1nh5G2`d8m43f290/>ol514c8?j7a;3:1(?lm:0d0?S4el3;p@?li:0yU`2<5s-;mm7?i3:T216<5s-<887l4$710>g=zutw0qo?>9;297?6=8r.9no485:J1ec=n9:5149'265=9<1vqps4i07`>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 35328?0(;=<:078yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c32e?6=;3:1N5io1b=8l50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950d<^8?86?u+626952=#>:91=:5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6<94$710>414={%400?d<,?986o5r}|8yg76j3:1?7>50z&1fg<0=2B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=91/:>=5169~yx{5281!04<3h0(;=<:c9~yx{54;294~"5jk0<96F=ag9j50d=83.9no4>5`9K6gg<^;ho6P5jm0:wA5b9U505=:r.=?94>7:&576<6?2wvqp5f17594?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9?=0Z<;<:3y'262=9>1/:>=5169~yx{5<3290;w)1/:>=5169~yx{5281!04<3;<7)8<3;34?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`25`<72<0;6=u+2c`930=O:hl0e<;m:18'6gd=943e3_;>?747<,?986{M0ab?7|^m=1>v*>f`821f=Q9<91>v*935823>"1;:0:;6sr}|9j531=83.9no4>5`9K6gg<^;ho66X>5281!04<3;<7)8<3;34?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`25c<72>0;6=u+2c`95c4<@;km7d?:b;29 7de28?j7[4={%400?d<,?986o5r}|8m43d290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6o5+6219f>{zut1b=;950;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9531<^8?86?u+6269f>"1;:0i7psr}:k237<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;?5Y14196~"1;=0i7)8<3;`8yx{z3`;<97>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;341>P6=:09w)8<4;`8 3542k1vqps4i055>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28==7[?:3;0x 3532k1/:>=5b:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576m7>5$3`a>43f32e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`264<7200;6=u+2c`930=O:hl0e<;m:18'6gd=943e3_;>?747<,?986{M0ab?7|^m=1>v*>f`821f=Q9<91>v*935823>"1;:0:;6sr}|9j531=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1=:5+621952=zutw0e<9=:18'6gd=96X>5281!04<3;<7)8<3;34?x{zu2c:;84?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9>?0Z<;<:3y'262=9>1/:>=5169~yx{P5jm0:wA769U505=:r.=?94>7:&576<6?2wvqp5f16:94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<97;W367?4|,?9?6<94$710>414={%400?d<,?986o5r}|8yg75:3:1=<4?:1y'6gd=?<1C>lh4i07a>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 35328;0(;=<:038yx{z3`;>o7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571<692.=?>4>1:~yx=n9?=1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51758R4342;q/:>:5169'265=9>1vqps4i051>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?82:T216<5s-<887?8;%407?703twvq6g>7483>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:;4V070>7}#>:>1=:5+621952=zutw0e<99:18'6gd=94113_;>?741<,?986<94}|~?l70?3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`8232=Q9<91>v*935823>"1;:0:;6sr}|9j52>=83.9no4>5`9K6gg<^;ho6P5jm0:wA789U505=:r.=?94>7:&576<6?2wvqp5f16c94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<9n;W367?4|,?9?6<94$710>414={%400?d<,?986o5r}|8m43b290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;j;W367?4|,?9?6o5+6219f>{zut1b=8h50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950`<^8?86?u+6269f>"1;:0i7psr}:k225<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0::=5Y14196~"1;=0i7)8<3;`8yx{z3`;==7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;355>P6=:09w)8<4;`8 3542k1vqps4i041>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<97[?:3;0x 3532k1/:>=5b:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576{M0ab?7|^m=1>v*>f`821g=Q9<91>v*935825>"1;:0:=6sr}|9j50e=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=:5+621952=zutw0e<88:18'6gd=94003_;>?741<,?986<94}|~?l70:3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`8237=Q9<91>v*935823>"1;:0:;6sr}|9j523=83.9no4>5`9K6gg<^;ho65281!04<3;<7)8<3;34?x{zu2c:;:4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9>=0Z<;<:3y'262=9>1/:>=5169~yx{4={%400?703-<8?7?8;|~y>o6?00;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc952?<^8?86?u+626952=#>:91=:5r}|8m41f290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;34e>P6=:09w)8<4;34?!04;3;<7psr}:k21a<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9i5Y14196~"1;=0i7)8<3;`8yx{z3`;>i7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36a>P6=:09w)8<4;`8 3542k1vqps4i07e>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?m7[?:3;0x 3532k1/:>=5b:~yx=n9?:1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51728R4342;q/:>:5b:&5766083>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6>81]=8=52z&571g=zutw0c4`43_;>?7g=#>:91n6sr}|9~f443290:=7>50z&1fg<0=2B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5109~yx{4={%400?763-<8?7?>;|~y>o6>>0;6)P5jm0:wA669U505=:r.=?94>7:&576<6?2wvqp5f16094?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<9=;W367?4|,?9?6<94$710>41{zut1b=:850;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9520<^8?86?u+626952=#>:91=:5r}|8m410290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;343>P6=:09w)8<4;34?!04;3;<7psr}:k23=<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko516:8R4342;q/:>:5169'265=9>1vqps4i05:>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?89:T216<5s-<887?8;%407?703twvq6g>7`83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:o4V070>7}#>:>1=:5+621952=zutw0e<;k:18'6gd=943c3_;>?7g=#>:91n6sr}|9j50c=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8k4V070>7}#>:>1n6*9328a?x{zu2c:9k4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`821c=Q9<91>v*9358a?!04;3h0qpsr;h354?6=,;hi6<;n;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{4m;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d2483>47=83:p(?lm:678L7ga3`;>n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;32?!04;3;:7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0:;6*932823>{zut1b=;950;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9531<^8?86?u+626952=#>:91=:5r}|8m415290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;346>P6=:09w)8<4;34?!04;3;<7psr}:k230<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko51678R4342;q/:>:5169'265=9>1vqps4i055>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28==7[?:3;0x 35328=0(;=<:058yx{z3`;<;7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6?>1]=8=52z&571<6?2.=?>4>7:~yx=n9>21<7*=bc821d=O:kk0Z?lk:0yO6g`=9r\o;741?3_;>?741<,?986<94}|~?l7013:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`823<=Q9<91>v*935823>"1;:0:;6sr}|9j52g=83.9no4>5`9K6gg<^;ho6h6X>5281!04<3h0(;=<:c9~yx{4m;|~y>o6>90;6)P5jm0:wA619U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m405290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<8=;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a570=83<1<7>t$3`a>4`53A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571g=zutw0e<88:18'6gd=94003_;>?7g=#>:91n6sr}|9j524=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:<4V070>7}#>:>1n6*9328a?x{zu2c:;84?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8230=Q9<91>v*9358a?!04;3h0qpsr;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{52;294~"5jk0ii6F=ag9j50g=83.9no4>5`98k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn<<7:180>5<7s-8in79:;I0bb>o6=k0;6)P5jm0:wA5c9U505=:r.=?94>5:&576<6=2wvqp5f14a94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9=5149~yx{5<0290;w)1/:>=5169~yx{4={%400?703-<8?7?8;|~y>o6>>0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc9531<^8?86?u+626952=#>:91=:5r}|8m415290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;346>P6=:09w)8<4;34?!04;3;<7psr}:k230<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko51678R4342;q/:>:5169'265=9>1vqps4i055>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?86:T216<5s-<887?8;%407?703twvq6a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571lh4i07a>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 35328;0(;=<:038yx{z3`;>o7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;34?!04;3;<7psr}:k222<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko51758R4342;q/:>:5169'265=9>1vqps4i051>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?82:T216<5s-<887?8;%407?703twvq6g>7483>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?<1]=8=52z&571<6?2.=?>4>7:~yx=n9><1<7*=bc821d=O:kk0Z?lk:0yO6g`=9r\o;74113_;>?741<,?986<94}|~?l70?3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`8232=Q9<91>v*935823>"1;:0:;6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi=?l50;:94?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887?>;%407?763twvq6g>5b83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571<6?2.=?>4>7:~yx=n9?=1<7*=bc821d=O:kk0Z?lk:0yO6g`=9r\o;74003_;>?741<,?986<94}|~?l70:3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`8237=Q9<91>v*935823>"1;:0:;6sr}|9j523=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:;4V070>7}#>:>1=:5+621952=zutw0e<99:18'6gd=95281!04<3;<7)8<3;34?x{zu2c:;:4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9>=0Z<;<:3y'262=9>1/:>=5169~yx{5{M0ab?7|^m=1>v*>f`821g=Q9<91>v*935823>"1;:0:;6sr}|9j50e=83.9no4>5`9K6gg<^;ho6P5jm0:wA669U505=:r.=?94>7:&576<6?2wvqp5f16094?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9>80Z<;<:3y'262=9>1/:>=5169~yx{6=4+2c`950g<@;hj7[4={%400?703-<8?7?8;|~y>o6??0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc9520<^8?86?u+626952=#>:91=:5r}|8m410290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;343>P6=:09w)8<4;34?!04;3;<7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th:>i4?:983>5}#:kh1;85G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6<94$710>41{zut1b=;950;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28<<7[?:3;0x 35328=0(;=<:058yx{z3`;<>7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6?;1]=8=52z&571<6?2.=?>4>7:~yx=n9>?1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51678R4342;q/:>:5169'265=9>1vqps4i055>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?86:T216<5s-<887?8;%407?703twvq6g>7683>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:94V070>7}#>:>1=:5+621952=zutw0c4`43_;>?7g=#>:91n6sr}|9~f44b290<6=4?{%0af?7a:2B9mk5f14`94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=94m;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m415290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9=;W367?4|,?9?6o5+6219f>{zut1b=:;50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9523<^8?86?u+6269f>"1;:0i7psr}:k233<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;;5Y14196~"1;=0i7)8<3;`8yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c31b?6=:3:1N5io1b=8o50;&1fg<6=h10c4`43_;>?7g=#>:91n6sr}|9~f45729086=4?{%0af?123A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571<6=2.=?>4>5:~yx=n9:5149'265=9<1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj89:6=4::183!4ej3=>7E{zut1b=8m50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 35328=0(;=<:058yx{z3`;=;7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6>>1]=8=52z&571<6?2.=?>4>7:~yx=n9>81<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51608R4342;q/:>:5169'265=9>1vqps4o0d0>5<#:kh1=k=4V3`g>4}K:kl1=vXk7;0x 4`f28l87[?:3;0x 3532k1/:>=5b:~yx=zj8996=49:183!4ej3=>7E:5109'265=981vqps4i07`>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:c:T216<5s-<887?>;%407?763twvq6g>6683>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1=<5+621954=zutw0e<9=:18'6gd=96X>5281!04<3;<7)8<3;34?x{zu2c:;84?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8230=Q9<91>v*935823>"1;:0:;6sr}|9l5c5=83.9no4>f29U6gb=9rF9nk4>{Wf4>7}#9ok1=k=4V070>7}#>:>1n6*9328a?x{zu2wi=>=50;494?6|,;hi6:;4H3ce?l72j3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`821g=Q9<91>v*935825>"1;:0:=6sr}|9j50e=83.9no4>5`9K6gg<^;ho6P5jm0:wA669U505=:r.=?94>1:&576<692wvqp5f16094?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<9=;W367?4|,?9?6<94$710>414={%400?703-<8?7?8;|~y>i6n:0;6)P5jm0:wAf29U505=:r.=?94m;%407?d3583>3<729q/>ol5749K6d`4={%400?763-<8?7?>;|~y>o6=j0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626954=#>:91=<5r}|8m400290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;353>P6=:09w)8<4;32?!04;3;:7psr}:k237<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko51608R4342;q/:>:5169'265=9>1vqps4i056>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28=>7[?:3;0x 35328=0(;=<:058yx{z3f;m?7>5$3`a>4`43_8ih7?tL3`e>4}Ql>09w)?ia;3e7>P6=:09w)8<4;`8 3542k1vqps4}c301?6=>3:1N5io1b=8l50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?i7[?:3;0x 35328;0(;=<:038yx{z3`;>o7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6=j1]=8=52z&571<692.=?>4>1:~yx=n9?=1<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51758R4342;q/:>:5109'265=981vqps4i051>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?82:T216<5s-<887?8;%407?703twvq6g>7483>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?<1]=8=52z&571<6?2.=?>4>7:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576{M0ab?7|^m=1>v*>f`821g=Q9<91>v*9358a?!04;3h0qpsr;h36g?6=,;hi6<;n;W0a`?7|D;hm6o6X>5281!04<3h0(;=<:c9~yx{80Z<;<:3y'262=j2.=?>4m;|~y>o6?<0;6)P5jm0:wA749U505=:r.=?94m;%407?d2\:9>4={%400?d<,?986o5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn<=8:181>5<7s-8in7lj;I0bb>o6=h0;6)=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576n7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571<692.=?>4>1:~yx=n943d3_;>?747<,?986f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571lh4i07b>5<#:kh1=8o4;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{59;294~"5jk0<96F=ag9j50d=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8l4V070>7}#>:>1=<5+621954=zutw0e<;l:18'6gd=9o6X>5281!04<3;:7)8<3;32?x{zu2c:::4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9?=0Z<;<:3y'262=9>1/:>=5169~yx{P5jm0:wA749U505=:r.=?94>7:&576<6?2wvqp5f16494?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<99;W367?4|,?9?6<94$710>414={%400?703-<8?7?8;|~y>o6?10;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc952><^8?86?u+626952=#>:91=:5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn<=m:1825?6=8r.9no485:J1ec=n9:5109'265=981vqps4i07`>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 35328;0(;=<:038yx{z3`;=;7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;353>P6=:09w)8<4;32?!04;3;:7psr}:k237<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;?5Y14196~"1;=0:=6*932825>{zut1b=:;50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9523<^8?86?u+626954=#>:91=<5r}|8m411290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<99;W367?4|,?9?6474={%400?763-<8?7?>;|~y>o6?10;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc952><^8?86?u+626954=#>:91=<5r}|8m41>290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;34=>P6=:09w)8<4;32?!04;3;:7psr}:k23d<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko516c8R4342;q/:>:5169'265=9>1vqps4i07g>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:d:T216<5s-<887?8;%407?703twvq6g>5d83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8k4V070>7}#>:>1=:5+621952=zutw0e<;i:18'6gd=943a3_;>?741<,?986<94}|~?l7183:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?90:T216<5s-<887l4$710>g=zutw0e<8>:18'6gd=94063_;>?7g=#>:91n6sr}|9j534=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;<4V070>7}#>:>1n6*9328a?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`27f<728;1<7>t$3`a>23<@;km7d?:b;29 7de28?j7[4={%400?763-<8?7?>;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94>1:&576<692wvqp5f17594?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9?=0Z<;<:3y'262=981/:>=5109~yx{5281!04<3;:7)8<3;32?x{zu2c:;;4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8233=Q9<91>v*935825>"1;:0:=6sr}|9j521=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:94V070>7}#>:>1=<5+621954=zutw0e<97:18'6gd=95281!04<3;:7)8<3;32?x{zu2c:;44?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9>30Z<;<:3y'262=9>1/:>=5169~yx{P5jm0:wA5e9U505=:r.=?94>7:&576<6?2wvqp5f14g94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;j;W367?4|,?9?6<94$710>414={%400?703-<8?7?8;|~y>o6>90;6)P5jm0:wA619U505=:r.=?94m;%407?d4={%400?d<,?986o5r}|8m405290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<8=;W367?4|,?9?6o5+6219f>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a56b=83;:6=4?{%0af?123A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571<692.=?>4>1:~yx=n9:5109'265=981vqps4i044>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<<7[?:3;0x 35328;0(;=<:038yx{z3`;<>7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;346>P6=:09w)8<4;32?!04;3;:7psr}:k230<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;85Y14196~"1;=0:=6*932825>{zut1b=:850;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9520<^8?86?u+626954=#>:91=<5r}|8m410290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<98;W367?4|,?9?647{zut1b=:750;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28=27[?:3;0x 35328;0(;=<:038yx{z3`;5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6?h1]=8=52z&571<6?2.=?>4>7:~yx=n943c3_;>?741<,?986<94}|~?l72m3:1(?lm:07b?M4ei2\9ni4>{M0ab?7|^m=1>v*>f`821`=Q9<91>v*935823>"1;:0:;6sr}|9j50`=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8h4V070>7}#>:>1=:5+621952=zutw0e<8?:18'6gd=94073_;>?7g=#>:91n6sr}|9j537=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;?4V070>7}#>:>1n6*9328a?x{zu2c::?4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8227=Q9<91>v*9358a?!04;3h0qpsr;n3e7?6=,;hi65281!04<3h0(;=<:c9~yx{51083>5}#:kh1;85G2`d8m43e290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?6474={%400?763-<8?7?>;|~y>o6>>0;6)P5jm0:wA669U505=:r.=?94>1:&576<692wvqp5f16094?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9>80Z<;<:3y'262=981/:>=5109~yx{6=4+2c`950g<^;ho65281!04<3;:7)8<3;32?x{zu2c:;:4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8232=Q9<91>v*935825>"1;:0:=6sr}|9j52>=83.9no4>5`9K6gg<^;ho6P5jm0:wA789U505=:r.=?94>7:&576<6?2wvqp5f16c94?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9>k0Z<;<:3y'262=9>1/:>=5169~yx{4={%400?703-<8?7?8;|~y>o6=l0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950c<^8?86?u+626952=#>:91=:5r}|8m43a290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<;i;W367?4|,?9?6<94$710>414={%400?d<,?986o5r}|8m406290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<8>;W367?4|,?9?6o5+6219f>{zut1b=;<50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9534<^8?86?u+6269f>"1;:0i7psr}:m2b6<72-8in7?i3:T1fa<6sE8ij7?tVe596~"6nh0:j>5Y14196~"1;=0i7)8<3;`8yx{z3th:?k4?:783>5}#:kh1=k<4H3ce?l72j3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887l4$710>g=zutw0e<;l:18'6gd=943d3_;>?7g=#>:91n6sr}|9j531=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=;94V070>7}#>:>1n6*9328a?x{zu2c:;?4?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8237=Q9<91>v*9358a?!04;3h0qpsr;h341?6=,;hi6<;n;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{5<5290;w)oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a517=8391<7>t$3`a>23<@;km7d?:b;29 7de28?j7E{zut1b=8m50;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626952=#>:91=:5r}|8k4`4290/>ol51g18R7dc28qG>oh51zTg3?4|,8lj6{zut1vn<:=:181>5<7s-8in7lj;I0bb>o6=h0;6)=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;36?!04;3;>7psr}:k21f<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:9n5Y14196~"1;=0:96*932821>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a512=83>1<7>t$3`a>23<@;km7d?:b;29 7de28?j7E{zut1b=8m50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 35328=0(;=<:058yx{z3`;=;7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6>>1]=8=52z&571<6?2.=?>4>7:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576n7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36f>P6=:09w)8<4;32?!04;3;:7psr}:k21f<72-8in7?:a:J1fd=Q:kn1=vB=bg82Sb02;q/=ko514a8R4342;q/:>:5169'265=9>1vqps4i044>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?97:T216<5s-<887?8;%407?703twvq6g>7383>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?;1]=8=52z&571<6?2.=?>4>7:~yx=h9o91<7*=bc82b6=Q:kn1=vB=bg82Sb02;q/=ko51g18R4342;q/:>:5b:&576n7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571<692.=?>4>1:~yx=n943d3_;>?747<,?986{M0ab?7|^m=1>v*>f`8222=Q9<91>v*935825>"1;:0:=6sr}|9j524=83.9no4>5`9K6gg<^;ho65281!04<3;<7)8<3;34?x{zu2e:j>4?:%0af?7a;2\9ni4>{M0ab?7|^m=1>v*>f`82b6=Q9<91>v*9358a?!04;3h0qpsr;|`202<72?0;6=u+2c`930=O:hl0e<;m:18'6gd=9n6X>5281!04<3;:7)8<3;32?x{zu2c:9n4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9=5109~yx{4={%400?763-<8?7?>;|~y>o6?;0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc9524<^8?86?u+626952=#>:91=:5r}|8m412290/>ol514c8R7dc28qG>oh51zTg3?4|,8lj6<9:;W367?4|,?9?6<94$710>414={%400?d<,?986o5r}|8yg7303:1:7>50z&1fg<0=2B9mk5f14`94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;m;W367?4|,?9?647{zut1b=;950;&1fg<6=h1]>oj51zN1fc<6s_n<6?u+1gc9531<^8?86?u+626954=#>:91=<5r}|8m415290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;346>P6=:09w)8<4;34?!04;3;<7psr}:k230<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;85Y14196~"1;=0:;6*932823>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a51?=83=1<7>t$3`a>4`53A8jj6g>5c83>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6=k1]=8=52z&571g=zutw0e<88:18'6gd=94003_;>?7g=#>:91n6sr}|9j524=83.9no4>5`9U6gb=9rF9nk4>{Wf4>7}#9ok1=:<4V070>7}#>:>1n6*9328a?x{zu2c:;84?:%0af?72i2\9ni4>{M0ab?7|^m=1>v*>f`8230=Q9<91>v*9358a?!04;3h0qpsr;h342?6=,;hi6<;n;W0a`?7|D;hm65281!04<3h0(;=<:c9~yx{5<5290;w)oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a51d=8391<7>t$3`a>23<@;km7d?:b;29 7de28?j7[4={%400?723-<8?7?:;|~y>o6=j0;6)P5jm0:wA5b9U505=:r.=?94>5:&576<6=2wvqp5`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e9=i1<7:50;2x 7de2>?0D?oi;h36f?6=,;hi6<;n;I0ae>P5jm0:wA5c9U505=:r.=?94>7:&576<6?2wvqp5f14a94?"5jk0:9l5G2cc8R7dc28qG>oh51zTg3?4|,8lj6<;l;W367?4|,?9?6<94$710>41{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a51b=83?1<7>t$3`a>23<@;km7d?:b;29 7de28?j7[4={%400?763-<8?7?>;|~y>o6=j0;6)N5jh1]>oj51zN1fc<6s_n<6?u+1gc950e<^8?86?u+626952=#>:91=:5r}|8m400290/>ol514c8L7df3_8ih7?tL3`e>4}Ql>09w)?ia;353>P6=:09w)8<4;34?!04;3;<7psr}:k237<72-8in7?:a:T1fa<6sE8ij7?tVe596~"6nh0:;?5Y14196~"1;=0:;6*932823>{zut1d=k=50;&1fg<6n:1]>oj51zN1fc<6s_n<6?u+1gc95c5<^8?86?u+6269f>"1;:0i7psr}:a51c=83<1<7>t$3`a>23<@;km7d?:b;29 7de28?j7E{zut1b=8m50;&1fg<6=h1C>oo4V3`g>4}K:kl1=vXk7;0x 4`f28?h7[?:3;0x 35328;0(;=<:038yx{z3`;=;7>5$3`a>43f3A8im6X=be82I4en3;pZi952z&2bd<6>>1]=8=52z&571<692.=?>4>1:~yx=n9>81<7*=bc821d=O:kk0Z?lk:0yO6g`=9r\o;74153_;>?741<,?986<94}|~?l70=3:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?85:T216<5s-<887?8;%407?703twvq6a>f283>!4ej3;m?6X=be82I4en3;pZi952z&2bd<6n:1]=8=52z&571lh4i07a>5<#:kh1=8o4H3`b?S4el3;p@?li:0yU`2<5s-;mm7?:b:T216<5s-<887?>;%407?763twvq6g>5b83>!4ej3;>m6F=b`9U6gb=9rF9nk4>{Wf4>7}#9ok1=8m4V070>7}#>:>1=<5+621954=zutw0e<88:18'6gd=95281!04<3;:7)8<3;32?x{zu2c:;?4?:%0af?72i2B9nl5Y2cf95~J5jo0:w[j8:3y'5cg=9>80Z<;<:3y'262=9>1/:>=5169~yx{6=4+2c`950g<^;ho65281!04<3h0(;=<:c9~yx{<7>56;294~"5jk0<96F=ag9j50d=83.9no4>5`9K6gg<^;ho6P5jm0:wA5b9U505=:r.=?94>1:&576<692wvqp5f17594?"5jk0:9l5Y2cf95~J5jo0:w[j8:3y'5cg=9?=0Z<;<:3y'262=981/:>=5109~yx{4={%400?703-<8?7?8;|~y>o6?<0;6)P5jm0:wA749U505=:r.=?94>7:&576<6?2wvqp5`1g194?"5jk0:j>5Y2cf95~J5jo0:w[j8:3y'5cg=9o90Z<;<:3y'262=j2.=?>4m;|~y>{e9<;1<7950;2x 7de28l97Eo7>5$3`a>43f3_8ih7?tL3`e>4}Ql>09w)?ia;36g>P6=:09w)8<4;`8 3542k1vqps4i044>5<#:kh1=8o4V3`g>4}K:kl1=vXk7;0x 4`f28<<7[?:3;0x 3532k1/:>=5b:~yx=n9>81<7*=bc821d=Q:kn1=vB=bg82Sb02;q/=ko51608R4342;q/:>:5b:&5767483>!4ej3;>m6X=be82I4en3;pZi952z&2bd<6?<1]=8=52z&5713:1(?lm:07b?S4el3;p@?li:0yU`2<5s-;mm7?86:T216<5s-<887l4$710>g=zutw0c4`43_;>?7g=#>:91n6sr}|9~w<2=839p14;51g68Z<2<5k>1=8o4}r`7>5<0=r7i87?i3:?056<6=k16?<:514`891b?28?i70:k9;36f>;5nh0:9o522g`950d<5:<=6<;m;<153?72j278:54>5c9>7`e=9kk:07a?82e;3;>n63;b5821g=:43e34>i47?:b:?2f5<6=k169>?514`8905528?i70;<3;36f>;1:>0:9o5263:950d<5h;4>5c9>1a1=9n63:47821g=:===1=8l4=765>43e34i:514`897b228?i70;5l>0:9o5266f950d<5?=n6<;m;<4:5?72j27=5?4>5c9>2<5=9=3;>n6399g821g=:43e34>hm7?:b:?7ga<6=k16?ok514`896da28?i70=l9;36f>;6ll0:9o521ed950d<5;::6<;m;<01f?72j278hl4>5c9>230=9n63=60821g=::?n1=8l4=34f>43e348=j7?:b:?13<<6=k16>:o514`890gd28?i70;ne;36f>;2io0:9o52250950d<5;>86<;m;<070?72j279884>5c9>610=9n63:20821g=:=;81=8l4=400>43e34?;4?h0:9o5236`950d<5:=h6<;m;<6950d<5<0:9o526;36f>;b83;>n63j4;36f>;b=3;>n63j6;36f>;b?3;>n63i7;36f>;an3;>n63>01821g=:99;1=8l4=021>43e34;;?7?:b:?24`<6=k16==h514`8944528?i70?=3;36f>;6:h0:9o52120950d<58986<;m;<300?72j27:?84>5c9>510=9n63>4d821g=:9=l1=8l4=073>43e3ty2=7>53z?:6?7a<2T2=63m3;36e>{tj:0;659t=c195c5<5:;86<;l;<120?72k27?hl4>5c9>0ad=9o63<67821f=:;?=1=8m4=24;>43d349no7?:c:?0aa<6=j168o=514a891d328?h70:m5;36g>;3j?0:9n524c:950e<58h;6<;l;<3a6?72j27:n>4>5c9>5g2=9o63:33821f=:>;=1=8m4=70;>43d34?o87?:c:?6`0<6=j169i8514a890b028?h70;;5;36g>;2=6<;l;<473?72k27=mi4>5c9>6a2=93;>o63=d6821f=:>>l1=8l4=7:3>43e34<2=7?:c:?5=7<6=j16:4=514a893?328?h70865;36g>;1i90:9o524b:950e<5=i26<;l;<6`e?72k278nh4>5b9>7g`=9ml:07a?85dl3;>n63>c0821g=:9j81=8l4=0a0>43e348;97?:b:?16g<6=j16?i7514`896bf28?h70897;36f>;31>0:9n52270950d<5;5b9>62?=9n63:f9821g=:=o31=8l4=4db>43e34?jo7?:c:?6e`<6=j169lh514a8972528?h70<;3;36g>;5<=0:9n52257950e<5<;m6<;l;<714?72k27>><4>5b9>174=9n63:80821g=:=ki1=8m4=4a5>43e34?h;7?:b:?6g=<6=k169n7514`890ef28?i70;jc;36g>;2mm0:9o525dg950d<5:=j6<;l;<14f?72k278;n4>5b9>0?72k27>6<;l;<4950e<5l:1=8m4=d1950d<5l>1=8m4=d7950e<5l<1=8m4=d5950e<5lh1=8l4=da950d<5ln1=8l4=dg950d<5ll1=8l4=g5950e<5ol1=8m4=023>43d34;;=7?:c:?247<6=j16===514a8946b28?h70?=4;36f>;6:<0:9o5213`950d<58996<;l;<307?72k27:?94>5b9>563=93;>o63>46821f=:9=21=8m4=06f>43d34;?j7?:c:?215<6=j1v5h50;1x9<6=9o>0R5h4=c0950g4>669>742=9?=019jn:07`?84ai3;=;63=fc8222=:;?<1=;94=244>400349=47?97:?0af<6>>16?hj5175891d428<<70:m4;353>;3j<0:::524c49531<58h;6<88;<707?72k27=>:4>669>27>=9?=018j7:07`?84c<3;=;63=d48222=::m<1=;94=3f4>40034<2=7?97:?5=7<6>>16:4=5175893?328<<70865;353>;3k10:::524b;9531<5=ij6<88;<6``?72k278on4>5b9>5f7=9jn:044?85cj3;>n6343e348?:7?:c:?65c<6>>169?>51758904628<<70;=2;353>;2::0:::52592950e<5669>72d=9?=01>9l:044?82=9?=0184>669>2?71?27n87?97:?f1?71?27n:7?97:?f3?71?27nn7?:c:?e3?71?27mj7?97:?245<6>>16==?51758946528<<70??3;353>;68l0:::5211d950e<58996<88;<30f?72j27:?n4>5c9>56b=93;=;63>4d8222=z{1n1<7=t=9g95c2;49:0:;?523069524<5=ni6<;l;<0ee?70:279jo4>739>730=9>801>88:051?85103;<>6341534>i87?82:?7f0<6?;168o85160894d728=970;<3;353>;1:>0:;?5263:9524<55c9>6a2=9>801?j::051?84c>3;<>63=d68237=:>0;1=:<4=7;1>41534<2?7?82:?5=1<6?;16:4;5160891e?28=970:l9;346>;3kh0:;?524bf9531<5:io6<;l;<3`6?72k279<84>5b9>7ag=9>801>jm:07`?85ck3;>o63;968237=::=<1=;94=43e>41534?9<7?82:?664<6?;169?<51608904428=970;71;36g>;2k10:9n525b;950e<5:=j6<9=;<14f?70:278;n4>739>0?70:27>6<9=;<49524<5l>1=:<4=d79524<5l<1=:<4=d59524<5o=1=:<4=gd9524<58:;6<9=;<335?70:27:739>555=9>801<>j:051?877n3;=;63>328222=:9:h1=8m4=01`>43d34;8h7?:c:?27`<6=j16=9951758942a28<<7p}79;297~;?i3;m86P79:?bb?72i2wxmk4?:2gx9d`=9o901>?<:056?856<3;<963=f`8230=::oh1=:;4=245>412349=;7?85:?02=<6?<168o=5167891d328=>70:m5;341>;3j?0:;8521c29523<5<9:6<88;<413?70=27=>54>749>1a2=9?=01?:j:07a?82a93;>n63=d58230=::m?1=:;4=3f5>412348o;7?85:?5=4<6?<16:4<5167893?428=>70864;341>;11<0:;8524b:9523<5=i26<9:;<6`e?70=278hl4>749>7ad=9?=01>jl:044?82>?3;<963=438222=:=8l1=:;4=403>41234?9=7?85:?667<6?<169?=51678961f28=>70=8b;341>;4?j0:;8524;341>;228=>708516789`2=9>?01h;516789`0=9>?01h9516789`e=9?01<>?:056?87793;<963>038230=:9991=:;4=01a>40034;8o7?97:?27a<6>>16=>k51758yv>02908w067:0d7?[>034kn6<;n;|qba?6=;mq6mh4>f29>745=9><01>?;:055?84ai3;<:63=fc8233=:;?<1=:84=244>411349=47?86:?7f6<6??168o:5164891d228==70:m6;342>;6j90:;;526359520<5?836<99;<7g1?71?27>884>669>6a2=9><01?j::055?84c>3;<:63=d68233=:>0;1=:84=7;1>41134<2?7?86:?5=1<6??16:4;5164891e?28==70:l9;342>;3kh0:;;523ec9520<5:ni6<9=;<1gg?70:27?5:4>779>6<5=941134?9?7?86:?03d<6??16?:l51648961d28==70:5164890<6??16:7?86:?f0?70>27n97?86:?f2?70>27n;7?86:?f`?72k27m;7?86:?eb?70>27:<=4>779>557=9><01<>=:055?877;3;<:63>3c8237=:9:i1=:<4=01g>41534;8i7?82:p<0<72:q64;4>f59]<0=:im0:9l5rs`f94?5cs4ko6769>6cg=9>=01?hm:054?851>3;<;63<668232=:;?21=:94=5`0>41034>i87?87:?7f0<6?>168o85165894d728=<70?m2;36g>;1:>0:;:5263:9521<5769>6a3=9>=01?j9:054?84c?3;<;639908232=:>081=:94=7;0>41034<287?87:?5=0<6?>168n65165891e>28=<70:la;343>;4lh0:;:523e`9523<5:nh6<9:;<6:3?70?279?l4>5c9>612=9?=018?i:054?83583;<;63:208232=:=;81=:94=400>41034916?:m5165891<6?>1697?87:?5>41034o?6<98;41034o=6<98;41034l<6<98;41034;;<7?87:?244<6?>16==<51658946428=<70?;6;j0:;85212f9523<589n6<9:;|q;7?6=;r7387?i4:\;7>;fk3;>m6s|ab83>6b|5hi1=k=4=230>41?349:87?88:?1bd<6?116>kl516:8960128=370=97;34<>;4>10:;5524c1952><5=h?6<97;<6a1?70027?n;4>799>5g6=9>20141?348o97?88:?1`3<6?116>i9516:893?628=370862;34<>;11:0:;552686952><5?3>6<97;<6`799>0fg=9>201>jn:05;?85cj3;<:6343e348?97?97:?65c<6?1169?>516:8904628=370;=2;34<>;2::0:;55236c952><5:=i6<97;<14g?70027?6<97;<7952><5?0:;552e5823==:m<0:;552e7823==:m>0:;552f6823==:no0:;552112952><58::6<97;<336?70027:<>4>799>562=9?=01<=m:055?874k3;<:63>3e8233=:9:o1=:84}r:2>5<4s429652301952?<5:;?6<96;<0ee?701279jo4>789>730=9>301>88:05:?85103;<563=98821g=:=8l1=:74=403>41>34?9=7?89:?667<6?0169?=516;8961f28=270=8b;34=>;4?j0:;4524;34=>;228=2708516;89`c=9301<>?:05:?87793;<563>03823<=:9991=:74}r5g>5<4s4=n628?j7p}n9;296f}:i00:j>52301952g<5:;?6<9n;<0ee?70i279jo4>7`9>730=9>k01>88:05b?85103;1=:74=5`;>40034;i87?:c:?677<6>>169i95175897b328=270;1180:;452680952?<5?386<96;<6`769>0<1=9>301?6=:07a?836n3;41f34?9?7?8a:?03d<6?h16?:l516c8961d28=j70:516c890<6?h16:7?8a:?f0?70127n97?89:?e3?70i27mj7?8a:?245<6?h16==?516c8946528=j70??3;34e>;6;k0:;:5212a95214`33W=i70o7:07b?xuf03:1=ou2a982b6=:;891=8j4=237>43c348mm7?:d:?1bg<6=m16?;8514f8960028?o70=98;36`>;5??0:9o5250d950b<5<8;6<;k;<715?72l27>>?4>5e9>175=99n:07g?850j3;>h63<7b821a=:<3;>h63::07g?80=9;68;0:9i52111950b4`33Wii70mk:07b?8eb28?j70mi:07b?xudl3:1?v3ld;3e7>;4no0:9o523gd950e4`434<:i7j>;|q`b?6=1r7hj7?i3:?2o4k1:?767f59]g5=:k;0:9l52c2821d=z{j81<7ht=b095c5<5=296i?4=546>a7<5=::6i?4=54a>a7<5==:6i?4=55:>a7<582<6i?4=577>a7<5=?h6i?4=560>a7<5?n1h<5241f9`4=:<=o1h<5249:9`4=z{j91<7;t=b195c5<5<;=6i?4=4c2>a7<5?;;6i?4=45;>a74`33W=m70on:07b?xufi3:1>nu2a`82b6=:;891=8k4=237>43b348mm7?:e:?1bg<6=l16?;8514g8960028?n70=98;36a>;3j<0:;4524c4952?<5=h36<9=;<3a4?70127:n84>5b9>164=9>8018j8:051?84c>3;<563=d6823<=:>0>1=:74=7;6>41>34>h57?89:?7gd<6?016?im5165897>e28?i70;>f;36a>;2:90:9h52533950c<5<896<;j;<717?72m278;l4>5d9>72d=99l:07f?82=95d9>2?72m27n:7?89:?f3?70127m;7?:e:?eb?72m27:<=4>5d9>557=9=:07f?877;3;>i63>3e8232=:9:o1=:94}r5:>5<4s4=j60:j>52301950`<5:;?6<;i;<0ee?72n279jo4>5g9>730=988:07e?85103;>j63=6`821g=:=o21=8m4=43e>43a34?9<7?:f:?664<6=o169?<514d8904428?m70=8a;36b>;4?k0:9k5236a950`<5=0:9k525;36b>;128?m70h8:07e?8`a28?m70??0;36b>;6880:9k52110950`<58:86<;i;|q43?6=;r7<47?i4:\43>;f>3;>m6s|a783>4d|5h<1=k=4=230>407349:87?90:?1bd<6>916>kl51728960128<;70=97;354>;4>10::=52246950d<5>=4>619>177=9?:018<=:043?835;3;=<63<7`8225=:;>h1=;>4=25`>40734>1=;>4=48225=:>3;=<63i7;354>;an3;=<63>018225=:99;1=;>4=021>40734;;?7?90:p502=83>p1<;::0d7?[72<27:9;4>5`9>501=9a7<5:;<6i?4=2:6>a7<5:3;6i?4=2;a>a7<5=no6i?4=3cb>a7<5;ln6i?4=203>a7<5:8j6i?4=217>a7<5:9n6i?4=26;>a7<5:?96i?4=27`>a7<5:a7<5;k86i?4=22;>a7<5:kn6i?4=2d0>a7<5=hj6i?4=7`:>a7<58h36i?4=5cg>a7<5=i96i?4=415>a7<5?8j6i?4=46b>a7<5<<36i?4=76b>a7<5=o=6i?4=5d7>a7<5?h:6i?4=3fb>a7<5;o86i?4=7:0>a7<5??36i?4=752>a7<5?2i6i?4=7;;>a7<5?k?6i?4=2`7>a7<5=k;6i?4=5f3>a7<5?>;6i?4=754>a7<58k26i?4=0f0>a7<5:i96i?4=2f3>a7<58i=6i?4=0g2>a7<5;:<6i?4=30f>a7<5;i36i?4=2fe>a7<5:o=6i?4=71b>a7<5?9l4k1:p27`=839p1;=?:0d7?[05n27=?<4>5`9~w356290?mv393082b6=:;891=;?4=237>406348mm7?91:?1bg<6>816?;851738960028<:70=98;355>;3j:0:;l524c6952g<5=h>6<9n;<6a2?70i27:n=4>7`9>6a2=9>k01?j::05b?84c>3;0;1=:o4=7;1>41f34<2?7?8a:?5=1<6?h16:4;516c891e?28=j70:l9;34e>;3kh0:;l521eg950e<58nm6<;l;<036?72j278ho4>799>7ae=9>201978:05b?83a>3;>n63:a8821g=:=h31=8m4=43e>40634?9<7?91:?664<6>8169?<51738904428<:70;8f;36g>;2090:::525939531<5o:4>669>1f>=9?=018m6:044?83di3;>o63<7`8224=:;>h1=;?4=25`>40634>1=;?4=48224=:>3;==63kd;36f>;b<3;;b>3;;a?3;==63if;355>;6890::<521139537<58:96<8>;<337?71927:?84>669>56>=93b823==:9:n1=:64=01f>41?34;?47?97:?215<6>>1v8>9:180837?3;m86P:079>15>=9405349:87?92:?7`2<6=k168i6514a891bf28<<70:kb;353>;5nk0::?523d`950d<5:oh6<9:;<1fb?72j27?n>4>5e9>0g2=93;>h63:2d821g=:>;=1=:74=70a>43e34<9n7?:c:?626<6=k169;:514`8932128<<7088d;36g>;1?o0:9n52646950d<5?3:6<;k;<4b6?72j278n?4>5c9>0f>=9h63;cb821g=:;kk1=8l4=2`f>400349hm7?:b:?2g6<6=j16=ik51758974f28?i70<=b;353>;4l>0:9o5262:950d<5?<26<;m;<1e=?72j278j44>5b9>0<2=9h1=8m4=4d5>43d34?jh7?:b:?6e`<6>>169??51708901a28<<70;70;346>;2080:;?525ca9531<5ol4>669>1`g=963<7c8227=:;>i1=;<4=3821g=:m=0:9i52e7821a=:n>0::?52fg8227=:99:1=;<4=021>40534;;?7?92:?25`<6=k16=??514`8944528?h70?=4;36g>;6;80:9o5212`952?<589o6<96;<371?72j27:8i4>5c9~wd4=839p1l=51g68Zd4<5kh1=8o4}r`a>5639>731=9?801?7n:07a?83ai3;>o63:1g8227=:=;81=;<4=25b>40434940534l<6<8<;40434;;=7?92:?247<6>:1vl:50;1x9d3=9o>0Rl:4=ca950g4`4349:?7?93:?1bg<6>:16?;851708960?28<970<<3;36f>;2nh0:::525329534<5<8:6<8<;<717?71:278;o4>629>0?71:27=6<8=;<334?71;27:<>4>629~w05?2908w0;<9;3e0>X2;1169>o514c8yv34i3:1?v3:3`82b6=:91h1=8l4=0:a>43d3ty>ho4?:5y>5``=9ho4>f29~w4ca290?w0?jf;3e7>;61=0:9o52186950e<5:oi6<88;|q62<<72:q69;o51g68Z00>34?=n7?:a:p13d=839p188m:0d0?87>=3;>n63>94821f=z{<2m6=4<{<7:4?7a<2T>4k52583950g56z?6=4<6n:168ll514`891ge28?h70?n4;353>;6i?0:::525c:950e53z?6bc<6n=1U9kk4=723>43f3ty=<=4?:7y>256=9o9019m?:07a?82d83;>o63>cd8222=:9m:1=;94=01;>43d3tyo47>53z?g=?7a<2To463>53821d=z{8?96=4l{<366?7a;27?=>4>5b9>042=9>?019<8:044?82513;>o63;1g821g=:<:;1=8m4=511>415348h:7?:b:?1g3<6=j168>l514`8915e28?h7p}:8b83>6}:=1n1=k:4^4:`?83?m3;>m6s|59g94?5|5<2n65b9~w34d2908w08=d;3e0>X1:j16:?k514c8yv05m3:1?v392d82b6=:<1i1=8l4=5:`>43d3ty3n7>53z?;g?7a<2T3n63m0;36e>{tj90;6?u2b182b6=:::>1=8m4}rc3>5<4s4k:65<4s43<6o6s|9`83>6}:1k0:j95Q9`9>f2<6=h1vo950;0x9g1=9o901?98:07`?xu>k3:1?v36d;3e0>X>k27i47?:a:pf=<72;q6n54>f29>6=5=943f3tyi57>52z?a=?7a;2794n4>5b9~w3752908w08>3;3e0>X19;16:<<51g18yv06>3:1>v3913821d=:>8<1=k=4}r421?6=:r7==?4k4:?550<6n:1v8>6:180837i3;m86P:089>15?=9o90q~;?d;296~;2800:9l5251f95c552z?64<f29~w0?52908w0;63;3e0>X21;1694<51g18yv3>>3:1>v3:93821d=:=0<1=k=4}r7:1?6=:r7>5?4k4:?6=0<6n:1v;>>:180807:3;m86P9009>257=9o90q~8?5;296~;1880:9l5261795c552z?544f29~w0402908w0;=8;3e0>X2:>169?951g18yv3513:1>v3:26821d=:=;31=k=4}r415?6=;r7=>?4>f59]277<5?8:66}:=jo1=k:4^4ag?83dl3;m?6s|5bd94?4|5l50;1x905d28l?7S;m63:3d82b6=z{;;86=4<{<020?7a<2T9=>5220195c552z?156<6=h16>9751g18yv32j3:1?v3:5b82b1=Y==751g68Z76?348;47?i3:p67`=838p1?>7:07b?845n3;m?6s|21c94?5|5;:i6;58h0:j>5rs315>5<5s48;m7?:a:?173<6n:1v?>i:18084683;m86P=0g9>65`=9o90q~<:0;296~;58o0:9l5224295c553z?157<6n=1U>4`43ty9944?:3y>647=9u220495c270<>5;3e7>{t:?<1<743f348=:7?i3:p641=839p1??7:0d7?[46?279=:4>f29~w7152909w0<>7;36e>;5?;0:j>5rs33:>5<4s48:m7?i4:\15<=::831=k=4}r04a?6=:r79=44>5`9>62c=9o90q~<>b;297~;59j0:j95Q20`8977e28l87p}=8683>7}::8h1=8o4=3:4>4`43ty9=i4?:2y>64c=9o>0R??k;<02`?7a;2wx>5k50;0x977c28?j70<7e;3e7>{t:8l1<7=t=303>4`33W8:j63=1g82b6=z{;3>6=4={<02b?72i279584>f29~w0`62908w0;i2;3e0>X2n8169k?51g18yv3a;3:1>v3:f0821d=:=o91=k=4}r6e1?6=;r7?j;4>f59]0c3<5=l>66}:==n1=k:4^46`?833k3;m?6s|55g94?4|5<>h6<;n;<77a?7a;2wx9;m50;1x900c28l?7S;9c:?62f<6n:1v88j:181831k3;>m63:6d82b6=z{m>525`195c552z?6e6<6=h169l;51g18yv7b?3:1>v3:dc821d=:9l=1=k=4}r3fho4k4:?2a=<6n:1v?>k:180847m3;m86P=0e9>65b=9o90q~<mo7>53z?7ba<6n=1U8km4=5d`>4`43ty?jh4?:3y>0ce=9u250;95c28;3e7>{t=8k1<743f34?:m7?i3:p12?=839p189n:0d7?[30127>;44>f29~w01e2909w0;89;36e>;2?k0:j>5rs4:0>5<4s4?387?i4:\6<6=:=191=k=4}r7;1?6=:r7>4>4>5`9>1=3=9o90q~;m3;297~;2j=0:j95Q5c1890d428l87p}:b483>7}:=k91=8o4=4`6>4`43ty>nk4?:2y>1f6=9o>0R8li;<7ab?7a;2wx9n?50;0x90da28?j70;l1;3e7>{t=l91<7=t=4g7>4`33W?n?63:e282b6=z{6=4={<7f7?72i27>i84>f29~wf2=839p1n;51g68Zf2<5j>1=k=4}ra5>5<5s4i?6<;n;4`43ty==i4?:5y>240=9o6391d82b6=z{?;?6=4;{<420?7a;27==o4>5c9>24e=90;6?u2607950g<5?;<67}:>;:1=8l4=73;>4`43ty=>=4?:3y>276=9o901;?j:07b?xu6=00;6n8t=07:>4`434<:i7;2i8095n5260296dd<5?;;6?7l;<0e5?4fj279j<4=9b9>741=:hh01>?8:3;`?85?=38jn63<8481=f=:;0:1>ll4=2;3>7?d3492n7;60m09mo5218a950e<583j6?om;<0be?4fj279ml4=9b9>6cc=:hh01?hj:3;`?855838jn63<2181=f=:;;k1>ll4=20b>7?d349887k52``8965b2;3h70=;8;0bf>;4<1095n5234096dd<5:?96?7l;<16g?4fj2789n4=9b9>73d=:hh01>8m:3;`?85f>38jn63ll4=3c0>7?d349;47;4n:095n524cc96dd<5=hj6?7l;<4a=?4fj27=n44=9b9>5g>=:hh01ll4=5a1>7?d34?8:7;2>109mo5257:96j6?om;<47e?4>k27?i;4=ac9>0`0=:0i019h;:3ca?82a<382o63;8381eg=:<181>4m4=546>7ge34>=97<6c:?744<5ik168=?528a891702;ki70:>7;0:g>;3>k09mo5247`96k27?>o4=ac9>07d=:0i0199>:3ca?8209382o63;7881eg=:<>31>4m4=3fb>7ge348om7<6c:?1a6<5ik16>h=528a891452;ki70:=2;0:g>;3;?09mo5242496k27=954=ac9>20>=:0i01;9>:3ca?8009382o6398c81eg=:>1h1>4m4=7;;>7ge34<247<6c:?5e1<5ik16:l:528a894>02;ki70?77;0:g>;4j=09mo523c696k27?9n4=ac9>00e=:0i019o?:3ca?82f8382o63;d181eg=:4m4=763>7ge342;ki70?n9;0:g>;6l:09mo521e196k278h=4=ac9>7a6=:0i019:<:3ca?823;382o639d;0bf>;1l382o63>c781eg=:9j<1>4m4=0g2>7ge34;n=7<6c:?142<5ik16>=9528a8974b2;ki70<=e;0:g>;5k109mo522b:96k278i;4=ac9>7`0=:0i019>k:3ca?827l382o63;3e81eg=:<:n1>4m4=56f>7ge34>?i7<6c:?7<=<5ik16856528a891>b2;ki70:7e;0:g>;1;h09mo5262c96k27k279oh4=ac9>6fc=:0i01>9=:3ca?850:382o634m4=5;b>7ge34>2m7<6c:?7e3<5ik168l8528a890c52;ki70;j2;0:g>;1=l09mo5264g96k27>;54=ac9>12>=:0i018l=:3ca?83e:382o63:0481eg=:=9?1>4m4=405>7ge34?9:7<6c:p6g7=8389nv3=b082b6=:>8o1h=525049`5=:=h;1h=526029`5=::o;1h=523059`5=:;891=;64=230>40>349:?7?9a:?056<6>k16?<=517a89674283;35a>;49:0::k523019526<5:;86<9>;<127?70;278=>4>759>742=9?201>?;:04:?856<3;=m63<15822g=:;8>1=;m4=237>40c349:87?9e:?051<6>o16?<:51628967328=:70=>4;347>;49=0:;9524ef9`5=:91n1h=5218c9`5=::oo1h=522g59537<5;l<6<8=;<0e639>6cg=9?<01?hn:04;?84ai3;=563=f`822d=::ok1=;l4=3db>40d348mm7?9d:?1bd<6>l16>ko517d897`f28=;70;5nh0:;>522gc9522<5;li6<89;<0ef?710279jo4>689>6cd=9?k01?hm:04a?84aj3;=o63=fc822a=::oh1=;k4=3da>40a348mn7?80:?1bg<6?816>kl5161897`e28=?70==0;f3?855i3n;70=<4;f3?854m3n;70=;4;k0:9i5232`950c<5:9i6<;i;<10f?718278?o4>609>76d=9?801>=l:05b?854k3;>h63<3b821`=:;:i1=8h4=21`>4073498o7?91:?07f<6>;16?965d19>713=9:::07f?853=3;>j63<448225=:;=?1=;?4=266>405349?:7?:d:?003<6=l16?98514d8962128<;70=;6;355>;443a349?j7?90:?00c<6>816?9h51708963728?n70=:0;36b>;4=90::=523429537<5:?;6<8=;<16g?b7349>57?:f:?01<<6>916?8751738963>28<970=:a;36b>;4=h0::=5234c9537<5:?j6<8=;<15f?b7349=87?90:?021<6>816?;:51708960128<=70=96;35<>;4>?0::452374953g<5:<=6<8m;<152?71k278:;4>6e9>730=9?o01>89:04e?851>3;<<63<678234=:;?<1=:=4=245>413349=;7?96:?022<6>116?;9517;8960028;4>>0::n52375953b<5:<<6<8j;<153?71n278::4>719>731=9>;01>88:050?851?3;<863<698223=:;?21=;64=24;>40>349=47?9a:?02=<6>k16?;6517a8960?28;4>10::k5237:9526<5:<36<9>;<15759>75>=l916?=;51708966128<970=i3;f3?82ei3n;70:m3;356>;3j=0::?524c79534<5=h=6<8=;<4a=?b734;i47j?;<3a4?72m27:n=4>5g9>5g6=9?:0163:378g4>;1:h0o<63926821a=:>;=1=8k4=704>43a34<9;7?90:?562<6>816:?951708934?28?o708=8;36a>;1:10:9k5263:9536<5?836<8>;<418l4k0:?62=;16>i;5170897b128<970;5m:0o<63;238g4>;3;?0o<639828g4>;1=10o<639708g4>;10k0o<639998g4>;1180::<526839534<5?396<8>;<4:6?71:27=5>4>609>2<5=9?801;7;:042?80><3;=>639948224=:>0?1=;<4=7c7>a6<582<6i>4=2`7>a6<5=??6i>4=57`>a6<5=k;6i>4=5f3>a6<5=i36<8>;<6`609>0f?=9?8019mn:042?82di3;=>639418g4>;1?>0o<63>a88g4>;6l:0o<63;4l90o<63;428g4>;1l3n;70?l6;f3?87b93n;70;4lk0:9h523e`950`<5:ni6<8?;<1gf?719278ho4>639>7ae=9jl:07f?85ck3;>j63405349n:7j?;<63`?b734>8h7j?;<67a?b734>347j?;<6;a?b734<8m7j?;<45f?b734=86i>4=3af>a6<5:=96i>4=253>43a349<<7?90:?035<6>816?:>5170896`e2m:0197n:e2891?028?n70:67;36b>;31>0::=524859537<5=3<6<8=;<6b2?b734?n>7j?;<46a?b7348>;7?90:?112<6>816>8951708903f2m:01897:e2890d52m:018>::e2890412m:018?i:04;?836n3;=563:1g822d=:=8l1=;l4=43e>40d34?:j7?9d:?65c<6>l169f;345>;29o0:;>5250d9522<5<8;6<87;<714?71127>>=4>6`9>176=9?h01841734?9<7?81:?665<6?:169?>51668904628<370;=1;35=>;2:80::l52533953d<5<8:6<8l;<715?71l27>><4>6d9>177=9?l018<>:053?83593;<=63:208236=:=;;1=::4=401>40?34?9>7?99:?667<6>h169?<517`8904528;2:;0::h52530953`<5<896<9?;<716?70927>>?4>729>174=9>>018<<:04;?835;3;=563:22822d=:=;91=;l4=400>40d34?9?7?9d:?666<6>l169?=517d8904428=;70;=3;345>;2::0:;>525319522<5:=26<8>;<14=?71:278;l4>679>72g=9?201>9n:04:?850i3;=m63<7`822g=:;>k1=;m4=25b>40c349o16?:o51628961f28=:70=8a;347>;4?h0:;95236`9530<5:=i6<87;<14f?711278;o4>6`9>72d=9?h01>9m:04`?850j3;=h63<7c822`=:;>h1=;h4=25a>417349;4?j0::45236a953g<5:=h6<8m;<14g?71k278;n4>6e9>72e=9?o01>9l:04e?850k3;<<63<7b8234=:;>i1=:=4=25`>41334>1=;64=5822<=:<3;=m63;:04a?82=9?i0194>6e9>0?71m27?6<8i;<69526<5=0:;<524;347>;328=?70;517:890<6>01697?9a:?6>40e34?1=;m4=4822a=:=3;=i63::04e?83=9>:0184>709>1?70;27>6<9;;<4953><5?0::4526;35e>;128m16:7?9e:?5>40a34<1=:>4=78234=:>3;01822<=:99:1=;o4=023>40e34;;<7?9c:?245<6>m16==>517g8946728;6890:;<521129525<58:;6<9;;<335?71027:<<4>689>557=9?k01<>>:04a?87793;=o63>00822a=:99;1=;k4=022>40a34;;=7?80:?244<6?816==?51618946628=?70??2;35<>;68;0::452110953g<58:96<8m;<336?71k27:6e9>554=9?o01<>=:04e?877:3;<<63>038234=:9981=:=4=021>41334;;?7?98:?246<6>016===517c8946428;68:0::i52111953c<58:86<8i;<337?70827:<>4>709>555=9>901<><:057?875:3;>h63>23821`=:9;81=8h4=001>40734;9>7?91:?267<6>;16=?=514f8944428?n70?=3;36b>;6::0::=521319537<58886<8=;<310?72l27:>94>5d9>572=9258227=:9;?1=8j4=006>43b34;997?:f:?260<6>916=?;51738944228<970?;6;k0::<5212`9534<589h6<8?;<30g?71927:?n4>639>56b=9?:01<=k:042?874l3;=>63>3d8225=:9:o1=;?4=01f>4053ty==44?:3y>24>=9;38o0:j>52401950d<5=;?6<;m;<621?72j27?>:4>5c9>07>=9n63;21821g=:<:81=8l4=510>43e34>887?:b:p075=83hp1;?m:044?806k3;=;63>978222=:<8>1=8m4=536>43d34>9?7?i3:?76<<6=k168?>514a8915528?h70:<3;36g>;3;=0:9n5rs53:>55;353>;3:>0:9n5243:950e<5=;265b9>064=9?=019=<:044?824<3;=;6s|43f94??|5?;i6<9:;<42g?70=27:5;4>749>042=9>8019?::051?82583;=;63;2e82b6=:<:;1=8l4=517>4153ty==o4?:3y>24d=9o901;?n:07a?xu6?j0;68u260a9520<58=h65b9>123=952z?55f<6n:16:8l1=8m4=434>43e34?:;7?:c:?6e7<6=k169l<514a8937628?i708>1;36g>;3?o0:9o52492950d<5===6<;m;<643?72j27:484>5c9>22<6=k16897514`8901428?i70lk:0d0?83?13;>n63:8`821g=:nh0:9o5rs436>5<4s4?;h7?:a:?64g<6=h169<851g18yv37j3:19v3:0c82b6=:91o1=8l4=0:f>43d34;2n7?:b:?2=g<6=j1v8>j:181837k3;>m63:0d82b6=z{<;<6=4={<73a?72i27>=:4>f29~w06a2909w0;>6;36e>;28o0:j>5rs433>5<5s4?;j7?:b:?655<6n:1v8?>:181837n3;>o63:1082b6=z{=?o6=4>7z?657<6=k169<=514`8907328?i70;6c;36f>;18k0:9o5244f95c5<5=<96<;m;<657?72j27:494>5c9>00?=928?i708n:07a?80e28?i70:?7;36f>;3810:9o5241;950d<5=>h6<;m;<745?72j27>;94>5c9>123=95b9>7ce=9o901999:07`?820?3;>o63>85821f=:<<31=8m4=57b>43d34>;:7?:b:?742<6=j168=l514`8912f28?i7p};6783>42|5<;96<88;<727?72k27>=94>5b9>1l:07a?82193;>n63;60821f=:43e34;3<7?:c:?53?71?27=47?:c:?5=?72k27=m7?:c:?5f?72k27?<:4>669>05>=96:07`?823k3;>o63:70821f=z{=3z?657<6?;169<=51758907328<<70;6e;36f>;18m0:9o5247;950d<5=<26<;l;<65g?7a;27:4<4>5c9>5=7=9=9?=01;75175893g=9?=01;l51758916028=970:?8;353>;3800:::5245a9531<>7>512y>144=9>?018?<:051?836<3;<>63:9g821g=:>9o1=8l4=54e>43e34>=j7?:c:?737<6n:16=5<514`894>528?h7088:056?80?28=97086:051?80f28=9708m:051?827?3;<963;098237=:<931=:<4=56`>4153ty>=?4?:3y>144=9o9018?>:07a?xu3?h0;6<;t=430>41234;247?:c:?73d<6n:168:k514`8911128<<70:87;353>;60<0:9n52668233=:>10:;852688230=:>h0:;8526c8230=:<9<1=8m4=52;>41234>;n7?:c:?70<<6=j1689o514a8912e28?i70:;c;341>;2?:0:9n52567953152z?656<6n:16940034><:7?82:?2<0<6>>168>k51g1893d=9><019>m:044?823j3;>o63:8`821f=z{=286=4n{<720?70>27?4=4>669>021=9>801;l51658916128<<70:?9;341>;31;353>{t=h:1<7=t=4;5>43f34?287?:a:?6e4<6n:1v87;:18183><3;m?63:9g8222=z{<3<6=4={<7:1?72i27>5:4>f29~w0g52909w0;67;36e>;2i;0:j>5rs4;;>5<5s4?j=7?:a:?6==<6n:1v876:18183>03;>n63:9882b6=z{<3j6=4={<7:5l4>f29~w16f2908w0;6b;36f>;21k0:9n5241c95c552z?6=g<6n:1694o514`8yv7>l3:1mv3:9b821f=:=0n1=8m4=4;f>43d34?2j7?:c:?2=a<6n:16=l:514`894g228?i70?n6;36f>;38k0:;?5215a950d52z?6=f<6n:1694o514a8yv3>l3:1>v3:9e82b6=:=0k1=;94}r7:a?6=:r7>5h4>f29>180q~;6f;296~;21o0:j>5258c952353z?540<6=h16:==514c8937728l87p}90283>7}:>991=k=4=72f>4003ty=<;4?:3y>252=99:0d0?xu1980;6?u2614950g<5?;:6514c8936028l87p}90983>7}:>9=1=8l4=72;>4`43ty=<44?:3y>251=96:0d0?xu61>0;6>u261c950d<5?:j6<;l;<3:3?7a;2wx:=o50;0x936f28l8708?9;36f>{t9j=1<7ot=72a>43d34<;o7?:c:?54a<6=j16:=k514a894??28=970?l7;3e7>;6kl0:9o521bd950d<58n;6<;m;<370?72j2wx:=l50;0x936e28l8708?9;36g>{t>9i1<74`434<;57?97:p25b=838p1;>k:0d0?80713;<>6s|61g94?4|5?:n6k>50;0x97c228?j70{t:l?1<7<7{<0f1?7a;279io4>5c9>6`e=9n63=eg821g=:;9l1=8l4=232>43e348m;7?:b:?1b=<6=k16?;4:10:9o52323950d<5:996<;m;<10f?72j278?n4>5c9>713=9:9:07a?853n3;>n63<51821g=:;<31=8l4=27b>43e349=87?:b:?040<6=k16?=8514`8976428?i70=80;36f>;5=>0:9o5236;950d<5o91=8l4=g6950d<5o?1=8l4=g4950d<58:<6<;m;<335c9>55g=9m:07a?xu5m?0;6?u22g3950g<5;o=6h8514`897c028l87p}=e983>7}::l<1=8m4=3g;>4`43tym=7>53z?1a<<6=k16>h7514a89c7=9o90q~522d:950d53z?1ad<6=k16>ho514a8946228l87p}=e`83>7}::lk1=k=4=3g;>43d3ty8;h4?:33x97ce28?h70;5mm0:9n522dg950e<5;om6<;l;<124?72j278=<4>5b9>745=9?>01>?;:047?836n3;=?63:218226=:=;;1=;:4=401>40434?9?7?93:?03`<6n:1687?93:?6>40434<1=;=4=g1950e<5o>1=8m4=g7950e<5o<1=8m4=g59532<5ol1=;:4=023>40334;;=7?93:?247<6>=16===51768946028?h70??8;36g>;6800:9n5211c950e<58:i6<;l;|q1ag<72;q6>hl51g1897c?28<<7p}=cg83>61|5;oh6<88;<127?71=278=94>649>6c0=943d3499:7?:b:?062<6=j16?>>514`8965628?h70=;4;k0:9n52356950d<5:>>6<;l;<17a?72j2788k4>5b9>70>=9;6:07`?851;3;>n63<65821f=:;?<1=;=4=244>404349=47?93:?041<6=k16?=;514a8902528?i70;;3;36f>;5ko0:j>522e0950d<5;o;6<;m;<0f5?72j27>i=4>5c9>14`=9?>01843d349=16?:m5176891<6>=1697?94:?5>40334l<6<8:;43d34li6<;m;40234;;<7?95:?244<6>=16==<51778946428<>7p}=eb83>7}::li1=k=4=3g;>4153ty9hn4?:25x97cc28<<70=>3;352>;49=0::;522g4950e<5;l36<;l;<0ee?71<279jo4>649>74e=9?j:07`?855>3;>o63<29821f=:;::1=8m4=211>43d3498m7?:c:?07f<6=j16?9:514a8962128?h70=;e;36g>;4=90:9n5234:950e<5:?j6<;l;<157?72k278:;4>659>731=9?>01>87:047?857<3;>o63<07821f=:==81=8m4=460>43d348o>7?:c:?1`f<6n:16>h>514a897c628?h70;j0;36g>;5=>0:9n5250d9533<5<8;6<8:;<715?71>27>>?4>649>175=9??01>96:044?850i3;=963<7c8220=:;>i1=;;4=58220=:=3;=9639:046?8`028<=70hn:044?8`e28?h70hi:045?87783;=:63>008220=:9981=;84=020>4013ty9ii4?:3y>6`b=9o901?k7:056?xu48h0;6400349;m7?i3:?04c<6=j16?<>514a8967628<<70;5n10:::5230f9531<5:;n6<88;<113?71?278>54>669>767=9?=01>==:044?854j3;=;63<3b8222=:;=?1=;94=265>400349?j7?97:?015<6>>16?8751758963f28<<70=94;353>;48<0:::523149531<5;:86<;l;<144?72k2799:4>669>72?=9>80q~522d:9520516y>6``=9?=01?h8:051?84a03;<>63<1982b6=:;8i1=;94=204>415349947?82:?074<6?;16?><51608965e28=970=;4<<0:;?523549524<5:>m6<9=;<164?70:278944>739>70g=9>801>8;:051?857=3;<>63<078237=:;>:1=;94=374>415349<57?85:p6``=838p1?ki:0d0?84b03;<;6s|30494?4|5::j6<;n;<123?7a;2wx?<;50;0x967528?j70=>5;3e7>{t;881<7=t=231>4`434;;:7?:b:?243<6=j1v>>m:181856?3;>m63<0c82b6=z{::h6=4={<13f?72j278f29~w66c2909w0=?b;36g>;48m0:j>5rsgf94?5|5::n6<;m;<13a?72k27mh7?i3:p75c=838p1>>j:0d0?857l3;>n6s|31d94?4|5::m650;0x967728l870=?d;353>{t;8;1<74`4349;h7?82:p745=838p1>?<:0d0?856=3;>n6s|30694?4|5:;?6;40<0:j>5rs25e>5c28?i70=66;36f>;4110:9o523`3950d<5:k96<;m;<1b7?72j279m<4>5c9>7de=952z?0<3<6n:16?5;514c8yv5?83:1>v3<848g4>;4090:j>5rs2:1>5<5s493=7?:b:?0<7<6n:1v>6<:18185?93;>o63<8282b6=z{:k36=4>ez?0<6<6=j16?5k514`896?>28?i70;5n10:;85230f9524<5:;n6<9=;<113?70=278>54>749>767=9>?01>==:056?854j3;<963<3b8230=:;=?1=:;4=265>412349?j7?85:?015<6?<16?8751678963f28=>70=94;341>;4i80:9n523`6950d<5::>6<9:;<132?70=278m54>f29>6a4=9?=01?k?:044?84b93;=;63=568230=:;>21=8l4}r0`7?6=:>q6?5=5175896>728?i70=7e;36g>;4010:9o5238;950e<5:396<;m;<0b1?72j279j:4>779>6c>=9><01>?k:056?856m3;<963<268233=:;;21=:84=212>4113498>7?86:?07g<6??16?>m51648962228==70=;6;342>;4278:94>779>7d4=9o;:07`?85>l3;>n63=9d821g=:;9?1=:84=225>411349j57?:b:?1`7<6?;16>h>5160897c628=970;5kj0:9o522ba950e<5;?<6<99;<14n750;0685?;3;<>63<81821f=:;1o1=;94=2:;>43d349257?97:?0=7<6=j16>l;514a897`028=<70;49m0:;;5230g9520<5:8<6<98;<11769>764=9>=01>=m:054?854k3;<;63<448232=:;=<1=:94=26e>410349><7?87:?01<<6?>16?8o51658960328=<70=n3;36g>;4i=0:::5238f950e<5;3n6<;l;<131?70?278<;4>769>7d?=9410349<47?97:p7=`=838p1>68:07b?85>83;m?6s|39594?d|5:2<65b9>7<1=977:07`?84f03;>n63400348j=7?:c:?0ef<6=j1v>66:18185>83;>m63<8882b6=z{:236=4={<1:4?b7349347?i3:p7=g=838p1>66:07a?85?i3;m?6s|39`94?4|5:226<;l;<1;f?7a;2wx?5m50;0x96>d28l870=7b;36f>{t;1n1<74`43493n7?:c:p7=c=838p1>6j:0d0?85?j3;=;6s|38c94?4|5:3:6<;n;<1:f?7a;2wx?4?50;cx96?628l870=66;36g>;41>0:9n5238:9531<5;k36<;l;<1b5?70:278m?4>739>7d5=9>801?o>:044?85fk3;=;6s|38194?4|5:3i6<;n;<1:7?7a;2wx?4<50;0x96?e2m:01>7=:0d0?xu41=0;6?u2381950d<5:3?67}:;0<1=k=4=2;6>43e3ty85:4?:3y>7<1=9o901>7::07`?xu4110;6?u238:95c5<5:3>6<88;|q0=<<72;q6?4751g1896?228=97p};db83>6}:43d34>oh7?i3:p0a7=839;w0:k1;3e7>;3l>0:9n524e:9531<5=n26<;l;<6ge?70:27?ho4>739>0g5=9i63;b7821`=:=;l1=8l4=5gf>43e348o87?:d:?1`0<6=m16>i8514f897b028?o70861;36a>;11;0:9i52681950b<5?3?6<;k;<4:1?72l27?o54>5d9>0f?=9n63>d0821g=:9j;1=;94=0a1>40034;h?7?97:?2``<6?;16=ih5175891?228?i70;l6;341>;2k>0:;?525b:9523<5669>56d=9>k01<=l:05:?874l3;3d823<=z{=n96=4={<6ga?72j27?h?4>f29~w1bb2909w0:ke;3e7>;3lm0:9l5rs5f0>5<5s4>o>7?:b:?7`6<6n:1v9j;:18182c:3;>o63;d582b6=z{8i;6=4<{<6g1?72j27?h84>5b9>5f6=9o90q~:k5;296~;3l<0:j>524e6950d5b9>a7<6n:1v9j9:18182c>3;m?63;d5821f=z{:l=6=4;9z?7`2<6>>168i75175897`f28<>70=96;351>;4>>0::85237:9533<5:oi6<;l;<1f`?70=278j=4>5c9>17c=9o63:64821g=:>==1=;94=3f7>43b348o97?:e:?1`3<6=l16>i9514g8931b28?h70870;36g>;1==0:9n52680950c<5?386<;j;<4:0?72m27=584>5d9>2d4=9l=:07`?82dk3;>o6343d34;h=7?82:?2g7<6?;16=ih51608974f28?h70=k7;36g>;1;10:9n5267;950e<5:l=65b9>634=940134?9<7?96:?667<6>?169?=5174890e028=>70;l9;341>;2mk0:9n525da9531<5;128<=70k<:044?8c228?n70k8:07f?87793;=:63>1d821f=:9;;1=8m4=000>43d34;997?:c:?274<6=j16=>o51608945d28=j70?;6<<0:9n5215f950eo;7>52z?7`2<6n:168i:51758yv2cn3:1>4u24e:9524<5=n26<9=;<6ge?70=27?ho4>749>0g5=943e348o87?:f:?1`3<6=o16:4?514d893?528?m70864;36b>;3k00:9k521`3950d<58k:6<;l;<3`f?72j27:oo4>5b9>5gc=9c38230=:9j91=:<4=0fa>43e34;oh7?:b:?2``<6?<16=ih5167891?528?i70;l6;342>;2k>0:;;525b:9520<5l514f8945d28?o70?;6;l0:9i5rs5g4>5<3nr7?h54>749>0a?=9>?019jn:055?82cj3;<:63;b5821c=:41234?8=7?82:?677<6?<169>=5160890b328=970;k5;346>;2l?0:;?525e59523<58;4>739>111=9>8019k<:07a?82b;3;>o63;e682b6=:43d348o97?:f:?1`2<6=o16:4=514d893?228?m70:l8;36b>;3kh0:9k524ba9531<5=io6<9=;<3b3?72k27:h<4>5b9>5gc=9:055?87d:3;<:63>c28230=:9mh1=8m4=0f`>43e34;oh7?:c:?2``<6??16=ih51648927=9;5<:0:;?522569524<5;>>6<9=;<072?70:27>o;4>769>1f1=9>=018m7:054?83d13;<;63:c`8233=:lj0:9o52de821f=:ll0:9n52dg821g=:m90:::52e28230=:9:;1=;94=011>41534;8?7?82:?271<6?;16=>;51608945f28==70?;6;j0:9h5212f950c<589n6<;j;<371?71?27:8;4>739>511=9>801<:7:051?873l3;=;63>4d8237=:9=l1=:<4=073>4153ty<87>55by>0a>=9><019j6:055?82ci3;<;63;dc8232=:4=5`7>40734>i97?90:?7f3<6>9168o651648905628=>70;<2;342>;2;:0:;8525e69523<56<9:;<7g2?70=27>h:4>779>1a>=9>?018:::056?833>3;<963:468230=:407348o97?90:?1`3<6>916>i95172893?628<;70862;354>;11:0::=526869536<5?3>6<8?;<6`619>0fg=9?:019ml:051?82dl3;<963>a5821f=:9h?1=8m4=0c5>43d34;j;7?97:?2g`<6=j16=nh514a894b728?h70?k1;353>;6k80:;:521b09521<58i86<99;<3g`?71?27:hh4>769>5a`=9>=01>jm:05:?85ck3;<563=438230=::=91=:;4=367>412348?97?85:?103<6?<16;94>f29>155=941034no6<88;40034nm6<;l;41534o86<99;43a34o>6<;i;43a34o<6<;i;<305?70:27:??4>749>565=9>?01<=;:056?874=3;<963>3`8232=:9:h1=8h4=01`>43a34;8h7?:f:?27`<6=o16=9:514a8942228=970?;6;341>;6<>0:;85215:9523<58>h6<;l;<37`?70:27:8h4>749>51`=9>?01<;?:056?xu3l10;6?u24e:95c5<5=n?6<9=;|q7`<<72;q68i751g1891b328=>7p};d`83>7}:4113ty?ho4?:3y>0ad=9o9019j;:054?xu60j0;6?u219:950g<582o6;2?=0:9n52153950d52z?2v3>8g82b6=:91n1>4m4}r3;=?6=:r7:4i4>5`9>5=?=9o90q~?7a;296~;6000:9o5219c95c552z?2<<<6=j16=5l51g18yv7>13:1>v3>91821d=:90k1=k=4}r3:4?6=>r7:5=4>f29>035=9?=019;n:044?82f<3;>n63;a5821f=:=>>1=;94}r3:5`9>5<>=9o90q~?6b;296~;61j0:9o5218`95c552z?2=f<6n:16=4o528a8yv7>93:1>v3>9`821d=:90;1=k=4}r3:6?6=:r7:5<4>5c9>5<4=9o90q~?63;296~;6180:9n5218195c552z?2=1<6n:16=4=514`8yv7>=3:1>v3>9482b6=:9091=8m4}r3:2?6=:r7:5;4>f29>5<5=9?=0q~523y>6d2=9o901;l9:07a?80fk3;>o639ae821f=:>ho1=8l4=7ce>43e34<>=7?:b:?5=`<6=k16:4h514a893g728?h708n1;36f>;1i;0:::5223;950d<5;8j6<88;<451?72j27=:;4>5b9>231=943e34?jo7?97:?6ea<6>>16=<;514`8947128?i70?>c;36f>;69m0:9o5210g9531<588:6<88;<31e?72k27:>o4>5b9>57e=9lo5d19>6d3=9o90q~52z?1e3<6=j16>l651g18yv5>k3:19v3=a98222=:;0i1=k=4=2c7>415348j=7?82:?0ef<6?;1v?hk:18084a:3;>m63=fg821f=::oo1=k=4}r0e6?6=?r79j?4>f29>6c0=9?=01>>::05;?857>3;<463<718237=:;>31=:84=g1953152z?1b<<6=h16>km51g18yv4a13:1?v3=f882b6=:;><1=8l4=255>43d3ty9j>4?:3y>6c`=9k=514`897`328l87p}=f483>7}::o91=8m4=3d6>4`43ty9j;4?:3y>6c0=9o901?h::07a?xu4:;0;6<;t=3d4>41?348m47?88:?067<6n:16??851758965628=370=<2;34<>;4;k0:;55232a952><5:>>6<97;<172?7002788k4>799>706=9>201>;6:05;?852i3;<463<65823==:;9?1=:74=225>41>349<<7?85:?112<6?116?:751658946028<<7p}<2b83>45|5;l<6<96;<0en4>f29>766=9?=01>=m:05:?854k3;<563<44823<=:;=<1=:74=26e>41>349><7?89:?01<<6?016?8o516;8960328=270=?5;34e>;48?0:;l523629520<5;?<6<96;<14=?70027:<54>669~w651290:=v3=f6823d=::o21=:o4=215>4`43498m7?97:?000<6?h16?98516c8962a28=j70=:0;34e>;4=00:;l5234c952g<5:5e9>726=9>=01?;8:05b?85013;<563>088222=z{:>;6=4i{<0e3?72l279j54>5e9>716=9o901>:;:044?853n3;>h63<51821a=:;<31=8j4=27b>43c349=87?:d:?040<6=l16?=8514g8961728=370<:7;36`>;4?00:;l5211c95315dz?1b2<6=l16>k6514g8962f28l870=;e;353>;4=00:9h5234c950c<5:5g9>726=9>301?;8:07f?85013;>h63>0c8222=z{:??6=4m{<0e3?72n279j54>5g9>702=9o901>;7:044?851<3;>j63<048225=:;9<1=;>4=253>41f348>;7?:f:?03<<6=l16j94>669~w63b2902w0;5n10::=5234g95c5<5:<86<88;<131?719278<;4>609>726=996:07e?8`228<<7p}=f683>7}::o=1=k=4=3d6>43d3ty9j54?:3y>6c>=9o901?h::044?xu5nh0;6?u22gc95c5<5;lh6<;m;|q1bg<72;q6>kl51g1897`d28?h7p}<1g83>6}:;821=8o4=202>43d3499<7?i3:p74?=838p1><>:07a?85613;m?6s|33394?4|5:8:628?i70=>a;3e7>{t;8h1<743d349:n7?i3:p74e=838p1>?l:0d0?856j3;>n6s|30f94?4|5:;o6b;353>{t;;31<7=t=201>43f3499n7?:c:?06d<6n:1v><<:181855j3;>n63<2282b6=z{:8i6=4={<11f?7a;278>l4>5`9~w6432909w0==3;36f>;4:=0:j>5rs206>5<5s499?7?:c:?060<6n:1v><9:181855>3;m?63<24821g=z{:8<6=4={<113?7a;278>84>5b9~w64?2909w0==8;3e7>;4:<0:::5rs210>5<4s499o7?:a:?070<6=j16?>:51g18yv55l3:1>v3<34821g=:;;n1=k=4}r101?6=:r78?84>f29>762=952z?06a<6=j16??h51g18yv5483:1>v3<3182b6=:;;l1=8l4}r105?6=:r78?<4>f29>77`=95233d953153z?073<6=h16?>h514a8965b28l87p}<3683>7}:;:l1=8l4=214>4`43ty8?k4?:3y>76`=9o901>=j:07b?xu4;10;6?u2325950d<5:9369514a8965>28l87p}<3`83>7}:;:k1=k=4=21:>43e3ty8?o4?:3y>76d=9o901>=6:07`?xu4;j0;6?u232a95c5<5:926<88;|q002<72:q6?9>514c8962>28?h70=;8;3e7>{t;=;1<743e349?=7?i3:p71?=838p1>:6:0d0?85303;>m6s|35094?4|5:>:6<;m;<176?7a;2wx?9=50;0x962628?h70=;3;3e7>{t;=>1<74`4349??7?:b:p713=838p1>:::0d0?853;3;>o6s|35494?4|5:>=6;4=;0:j>5rs26a>5<5s49>?7?:b:?00g<6n:1v>;<:181852;3;m?63<53821d=z{:>h6=4={<17f?72j2788n4>f29~w62c2909w0=;b;36g>;45rs26f>5<5s49?i7?i3:?00a<6=k1v>:i:181853n3;m?63<4e821f=z{:?;6=4={<164?7a;2788i4>669~w63e2908w0=:4;36e>;4=m0:9n5234a95c597>52z?01a<6=k16?8;51g18yv52l3:1>v3<5e82b6=:;5c9>700=9o90q~=:7;296~;4=<0:9n5234595c547>52z?01=<6n:16?89514`8yv5213:1>v3<5882b6=:;<=1=8m4}r16e?6=:r789l4>f29>701=9?=0q~=9a;297~;4=l0:9l5237a950e<5:28l87p}<6483>6}:;??1=k=4=254>43e349<;7?:c:p70`=838p1>8l:07a?852n3;m?6s|37a94?4|5:50;0x963a28?i70=90;3e7>{t;?;1<743d349==7?i3:p600=839p1>8=:07a?851:3;>o63=5782b6=z{:<96=4={<156?7a;278:<4>5c9~w6042909w0=93;3e7>;4>80:9n5rs247>5<5s49=87?i3:?024<6>>1v>89:181851>3;m?63<68821g=z{:<<6=4={<153?7a;278:44>5b9~w60?2909w0=98;3e7>;4>00:::5rs2c6>5<4s492o7?:a:?0e2<6=j16?l851g18yv5>m3:1>v3f29>7d0=9f29~w6g72909w0=6e;36g>;4i90:j>5rs2c2>5<5s49j=7?i3:?0e5<6=k1v>o=:18185f:3;m?63669~w6g32909w0=n4;3e7>;4i90:;?5rs3c1>5<5s482h7?:a:?1e6<6n:1v?7k:1820~;51m0:j>526c4950e<5?=o6<88;<44a?71?27=;k4>669>2=6=9?=01?8?:044?84193;=;63=638222=::>h1=;94=4gb>40034?nn7?97:?6aa<6>>169hk51758947228?h70?>c;36g>;6:;0:::521319531<588?6<88;<311?71?2wx>4h50;0x97g428?j70<6f;3e7>{t:0o1<7a6<5;3n64h514`897g728l87p}=a083>7}::0l1=8m4=3c2>4`43ty8<:4?:2y>756=9>6:07`?85703;m?6s|31294?3|5::;65d9>72?=9?:01k851758yv5793:1>v3<08821g=:;9;1=k=4}r13=?6=:r78<44>f29>75>=952z?044<6=j16?==51g18yv57<3:1>v3<0582b6=:;991=8l4}r131?6=:r78<84>f29>755=952311953152z?0e=<6=h16?lk51g18yv5fi3:1>v3om:18185fi3;>n63f29~w6`52908w0=j7;36e>;4n=0:9n523g195c5516y>7`1=9o901>km:051?85bk3;<:6341134;4jo0:;?521b19521<5;8i6<9=;<04=?70:279;l4>739>610=9><018ll:051?83di3;<463:eb8237=:m:0:;:5211d9524<589j6<97;|q0b4<72;q6?hk514c896`628l87p}6}:;lo1=k=4=2f5>43e349o:7?:c:p7`>=838p1>h;:07a?85b03;m?6s|3g694?4|5:l?6{t;lk1<743d349nm7?i3:p7`d=838p1>km:0d0?85bi3;>n6s|3da94?4|5:oh6{t;hl1<77t=2ge>43d349m<7?:c:?621<6=j169;;514a896ga28l870=m2;353>;4jk0:9o523ca950d<5;2><0:::523c495c5<5:hi6<;l;<1ag?72k27>jo4>5b9~w6e4290>w0=jf;346>;4n90:;?525719531<5:i86{t;o:1<74`4349m=7?:c:p0g?=839p19oj:07b?82ej3;>o63;b`82b6=z{=kn6=4m{<6ba?7a;27?n>4>609>0g2=9?;019l::042?82e>3;==63=d58224=::m?1=;?4=3f5>406348o;7?91:?2`a<6?;16hk4>669~w1d?2909w0:m7;36e>;3j10:j>5rs5`4>5<4s4>i;7?i3:?20g<6=k16=9l514a8yv2fn3:1>v3;bc821g=:f29>0gg=9i=7>52z?7ec<6=j168o?51g18yv4c;3:1?v3;b3821g=:4`43ty?n?4?:3y>0g4=9o9019l>:07a?xu3j:0;6?u24c195c5<5=h:6<;l;|q7f1<72;q68o:51g1891d628<<7p};b483>7}:4153ty?n;4?:3y>0g0=9o9019l>:056?xu1j10;6?u26c0950g<5?h26n639ab8222=:>hn1=;94=7cf>40034;11l0:9n5268d9531<5?k;6<88;<4b5?72k27=m?4>739>67?=9o639678222=:>?=1=;94=74;>43d34<=57?82:?125<6?;16>;?51608970528=970<8b;346>;2=10:9o525dc9524<5ih4>739>544=9n63>1c821g=:9;;1=:<4=001>41534;9?7?82:?261<6?;16=?;51608944f28<<70?=b;353>;6:j0:9n5213f950e52z?5f<<6=h16:o=51g18yv0e<3:1>v39b2821g=:>k>1=k=4}r4a1?6=:r7=n>4>5b9>2g3=9o90q~8m6;296~;1j?0:j>526c7950d520y>2g1=9n639ab8237=:>hn1=:<4=7cf>41534;5:>0:9o5223;9531<5;8j6<9:;<01f?70=279:>4>5c9>104=941234?ni7?85:?264<6?<16=?<51678944428=>70?=4;341>;6:<0:;85213;950d<588j6<9=;<31f?70:27:>n4>669>57b=9?=0q~869;29f~;1j>0:::5265:950e<5??96<;m;<4:=?7a;27=;84>5c9>223=9n63=62821f=:=<<1=8m4=47;>43d3ty=;?4?:`y>2g1=9>801;:7:044?802:3;>o6396g821g=:>?l1=8m4=751>4`43489;7?:c:?126<6>>1698=514`8903428?h7p}9b683>7}:>k=1=k=4=7`6>43d3ty:n:4?:3y>5dd=954>7`9>7f?=9mm:07a?85dk3;=;6341f349oo7?8a:?7=0<6=j16848514`891?028?o7p}>b783>7}:9k;1=8o4=0`5>4`43ty:n<4?:2y>5g7=9o901o6s|1`a94?4|58h36<;n;<3bg?7a;2wx=lj50;0x94gd28?i70?nd;3e7>{t9ho1<743d34;ji7?i3:p0<5=839p1o63;9282b6=z{8km6=4={<3bb?7a;27:mh4>5c9~w4d72909w0?m0;3e7>;6il0:9n5rs883>44|58h96<88;<3a7?71?27:n94>669>5g3=9?=01?>>:07`?847:3;>o63=05821g=::9?1=;94=4d;>40034?m57?97:?6bd<6?;169kl516089<<6n:16io4>669>af<6>>16ii4>669>a`<6>>16ik4>669~w4d52909w0?m2;3e7>;6j?0:9o5rs0`0>5<5s4;i?7?i3:?2f3<6=j1vb78222=z{8h>6=4={<3a1?7a;27:n;4>739~w1gd2909w0:n7;36e>;3im0:j>5rs5c4>5<4s4>j;7?i3:?2e0<6>>16=9m51758yv2f13:1>v3;ae821d=:n63;a`82b6=z{=ki6=4={<6b=?72k27?mo4>f29~w1e4290;3i10:9n524cf950d<5=ho6<;l;<6`7?7a;27>5c9>154=9io7>53z?7ff<6n:16=nh51758942328<<7p};bd83>7}:4`43ty?ni4?:3y>0f4=l9168oj51g18yv2en3:1>v3;bd821g=:5b9>0f6=9o90q~;<5;297~;2:00:9l52525950g<5<9=6951g18904a28?h70?;1;36g>{t=:>1<743f34?887?i3:p166=839p18=?:0d0?873;3;>n63>42821f=z{<8j6=4={<702?72i27>>l4>f29~w04e2909w0;=a;36f>;2:k0:j>5rs40`>5<5s4?9m7?:c:?66f<6n:1v<:?:180835l3;>n63:2e821f=:9=:1=k=4}r71`?6=:r7>>i4>f29>17e=95253a950e52z?66c<6n:169?m51758yv3493:1>v3:3082b6=:=:>1=8l4}r706?6=:r7>??4>f29>162=952526953152z?566<6=h16:?o51g18yv05<3:1>v392`821d=:>;>1=k=4}r41f?6=:r7=>l4=ac9>27d=9o90q~8=5;296~;1:=0:9o5263795c552z?561<6=j16:?851g18yv05?3:1>v392682b6=:>;<1=8l4}r4154>f29>270=952z?6`6<6=h169i751g18yv3c;3:1?v3:d282b6=:9::1=8l4=013>43d3ty>h=4?:3y>1ag=9514a890b528l87p}:b883>6}:=m81=8l4=4f1>43d34?i57?i3:p1a2=838p18j;:0d0?83c13;>n6s|5e794?4|56{t=m=1<74`434?o57?82:p1a>=838p18j7:0d0?83c13;<96s|55;94?2|5<9n6<;n;<70`?72i27>8o4>5b9>11g=9o90q~;525509531<5<>86<88;4003ty>854?:3y>112=9u255695c5<58ih6<;m;<3`g?72k2wx9>h50;0x902e28?i70;{t==h1<74`434??m7?:a:p116=838p18=i:07a?83383;m?6s|55394?4|5<9m6<;l;<775?7a;2wx99<50;0x902528l870;;1;36f>{t;l;1<7=t=460>415348o>7?86:?0a4<6n:1v8:<:181833;3;m?63:40821f=z{<>>6=4={<771?7a;27>854>5c9~w0212909w0;;6;3e7>;2<10:9n5rs464>5<5s4??;7?i3:?60=<6>>1v?:i:18184313;>m63=4g82b6=z{;>j6=4={<07b?72i2798l4>f29~w72e2909w0<;a;36f>;55rs36`>5<5s48?m7?:c:?10f<6n:1v;:>:182g~;5:65b9>0c7=9n63=3`821f=:::h1=8l4=377>43d348>97?:b:?11`<6=j16>;o514a8970e28?i70<86;36g>;5?>0:9o52290950e<5;286<;m;<0;1?72j2794o4>5b9>6=e=9;3;>o63=98821f=::0k1=8m4=4`;>40034;847?97:p61b=838p1?:k:0d0?843k3;>n6s|25g94?4|5;>n6;2>10:j>5rs47g>5<4s4?>h7?i3:?57`<6=k16:>k514a8yv31>3:1>v3:63821d=:=?<1=k=4}r756?6=;r7>:?4>f29>7f0=9m9:07`?xu2=o0;6?u257:950g<57}:=4`43ty8o:4?:2y>137=9:07`?85d?3;m?6s|57194?4|5<<86{t=??1<74`434?=:7?97:p21?=838p1;:>:07b?803i3;m?6s|65094?4|5?>j6<;n;<476?7a;2wx:9=50;0x932528?i708;3;3e7>{t>=>1<743d34;1<<0:9n526409520<5?236<;m;<4;f29>670=9><01?<8:055?802k3;>o63=628232=:9;;1=:64=001>41>34;9?7?89:?261<6?016=?;516;8944>28=>70?=a;343>;6:k0:;:5213a9520<588o6<98;|q500<72;q6:9;51g18932328?i7p}9a483>60|5?>=6<9:;<473?70=27=854>749>2d3=9o901;om:07`?800l3;<96397d8230=:>>l1=:;4=7:3>41234<>>7?97:?5=c<6?;16:l>5160893g628<<708n2;341>;4jl0:;8523cd9523<5;8=6<88;<013?71?279>44>739>230=9>801;88:051?80103;=;639688230=::?:1=:;4=342>412348=>7?85:?126<6?;16>;j51608970b28=970<9f;346>;5?00:;85226c9523<5;=i6<9:;<7bg?70:27>mi4>739>1dc=9>8018oi:051?876:3;>o63>148222=:98<1=8m4=03b>43d34;:o7?97:?25a<6=j16=;6::0:;;521369520<588>6<99;<31=?72k27:>l4>749>57d=9>?01<6s|65`94?74s4;1i80:;?523cg9520<5:hm6<99;<01f?70?27=:54>739>63c=9>?01?8i:056?84013;<:63=7`8233=:=ho1=:;4=4ce>41234?io7?85:?6af<6?>16=?m51658yv03>3:1>v394782b6=:>=>1=8m4}r473?6=:r7=8:4>f29>212=9?=0q~888;295<}:>=21=:84=7ca>40034<<47?i3:?514<6=j16:8<5160893?b28<<70<=6;346>;5:>0:;?5223`9520<5?<>6<88;<057?70=27>9:4>5b9>1`g=9><018km:055?83bk3;<:63:ee8233=:=lo1=:84=030>43d34;:n7?:c:?267<6?>16=?=51658944328=<70?=5;343>;6:00:::5213f9523512y>21>=9>=01;9m:07a?802:3;<96398582b6=:>131=8l4=305>4123489;7?85:?126<6??16=<:514`8944628=<70?=2;34<>;6::0:;552136952><588>6<97;<31=?70:27:>l4>779>57d=9><01<36{t43f34>n<7?i3:p0`7=838p19k?:07a?82b93;m?6s|4d094?4|5=o;6<;l;<6f6?7a;2wx8h=50;0x91c428l870:j2;36f>{t<0h1<7;t=5g7>43d34>nh7?:c:?7=g<6n:16=oh514a894bd28?h7p};e583>7}:1=k=4=5g1>43d3ty?j>4?:3y>0`1=9{t43f34>n47?i3:p0`?=838p19k7:07a?82b13;m?6s|4dc94?4|5=o36<;l;<6fe?7a;2wx=?h50;1x91ce28?i70:jb;36g>;6:o0:j>5rs5ga>5<5s4>nn7?i3:?7ad<6=k1v9kl:18182bk3;m?63;e`821f=z{=oo6=4={<6f`?7a;27?il4>669~w1cb2909w0:je;3e7>;3mh0:;?5rs5d3>5<5s4>m<7?i3:?7b7<6=k1v9h>:18182a93;m?63;f3821f=z{=2:6=4={<64e?72i27?4?4>f29~w11e2909w0:72;36e>;3?k0:j>5rs55`>5<5s4>o63;7e82b6=z{=>m6=47{<64a?72k27?;k4>739>0=6=9>8019:i:0d0?80?28==70:;8;36f>;3<10:9n52567952452z?73`<6n:168:j514`8yv20n3:1>v3;7g82b6=:<>n1=8m4}r6;4?6=:r7?4=4>f29>02b=9?=0q~:94;296~;3=m0:9l5247795c5>i7>52z?720<6=h1688k51g18yv22n3:1>v3;5d821g=:<5b9>036=9o90q~:91;296~;3>80:j>52472950d=>7>52z?727<6n:168;>514a8yv21;3:1>v3;6282b6=:5`9>057=9o90q~=id;296~;3880:9l523gf95c552z?0ba<6=k16?kk51g18yv5an3:1>v35`9>04>=97}:<8:1=8l4=532>4`43ty?=?4?:3y>046=96<9:;<6144>669>04`=9:044?824;3;<>63;3982b6=z{=;86=4={<627?7a;27?=?4>5c9~w1732909w0:>4;3e7>;39;0:9n5rs536>5<5s4>:97?i3:?757<6>>1v98n:181821>3;>m63;6c82b6=z{=<<6=4={<65f?72i27?::4>f29~w10?2909w0:97;36f>;3>10:j>5rs54:>5<5s4>=;7?:c:?72<<6n:1v;l?:18180f=3;>m639b082b6=z{?k=6=4={<4a5?72i27=m;4>f29~w3g02909w08n6;36f>;1i>0:j>5rs7c;>5<5s4n639a8821f=:=l31=k=4}r4b=?6=:r7=m44>f29>2d>=97}:>hh1=k=4=7c;>4003ty=mn4?:3y>2de=9o901;o7:051?xu1im0;6?u26`f95c5<5?k36<9:;|q5e`<72;q6:lk51g1893g?28==7p}9ag83>7}:>hl1=k=4=7c;>4103ty?>l4?:2y>075=9{t<;?1<743e34>997?i3:p070=838p19<;:07`?825>3;m?6s|43594?4|5=8<6{t<;31<74`434>9:7?97:p026=838p198l:07b?82093;m?6s|47f94?4|5==:6<;n;<65`?7a;2wx8;k50;0x910c28?i70:9e;3e7>{t43d34>=j7?i3:p02>=838p199=:07b?82013;m?6s|46194?4|5==26<;n;<647?7a;2wx8::50;0x911428?i70:84;3e7>{t<>?1<743d34><97?i3:p020=838p1999:0d0?820=3;>n6s|46594?4|5==<6i750;1x97ea28?j70;5lh0:j>5rs3f;>5<5s48o?7?:a:?1`=<6n:1v?j?:18184cj3;>n63=d182b6=z{;ni6=4={<0gf?7a;279hl4>5`9~w7b62909w0;5l80:j>5rs3f1>5<5s48o<7?:c:?1`7<6n:1v>8k:18784c:3;<;63=e18233=::l;1=:84=24g>4`43ty9h94?:3y>6a2=9o901?j7:07a?xu5l<0;6?u22e795c5<5;n36<;l;|q1`3<72;q6>i851g1897b?28<<7p}=d683>7}::m=1=k=4=3f;>4153ty9i?4?:2y>6ae=9h:50;0x97c328l870{t:mo1<743e348oi7?i3:p6a`=838p1?jk:07`?84cn3;m?6s|2d294?4|5;o;6h?50;0x97c628l870{t<;;1<743f34>9>7?i3:p04g=838p19<=:07b?826i3;m?6s|40`94?4|5=;j6<;m;<62f?7a;2wx8c;3e7>{t<8n1<74`434>:o7?:b:p04c=838p19?j:0d0?826k3;>o6s|40d94?4|5=;m650;0x914728l870:>c;346>{t<:?1<7=t=50g>43f34>8;7?:c:?773<6n:1v9n63;2d82b6=z{=9<6=4={<603?7a;27??;4>5`9~w14a2909w0:=e;36f>;3:o0:j>5rs513>5<5s4>9i7?:c:?775<6n:1v9=>:18182493;m?63;31821g=z{=996=4={<606?7a;27??=4>5b9~w1542909w0:<3;3e7>;3;90:::5rs517>5<5s4>887?i3:?775<6?;1v;6=:18180003;>m6398282b6=z{?2:6=4={<44g?72i27=4<4>f29~w31d2908w088c;3e7>;11m0:9o5268f950e52z?5<6<6=h16::751g18yv00i3:1>v3978821g=:>>k1=k=4}r44f?6=:r7=;44>5b9>22d=9o90q~85b9~w352290:8v397e8233=:>>o1=:84=75e>41134<3<7?86:?510<6=j16:>;51g18970728==70<91;342>;5>;0:;;5226`9520<5ii4>769>1`c=9>=0123823d=:9;91=:o4=007>41f34;997?8a:p22b=838p1;9k:0d0?80?93;>n6s|66g94?4|5?=n6{t>1:1<74`434<3=7?82:p201=838p1;:m:07b?80203;m?6s|64494?4|5??86<;n;<462?7a;2wx:8=50;1x933428l870;na;36f>;2ih0:9n5rs76`>5<5s4<>47?:a:?50f<6n:1v;:k:181803k3;>n6394e82b6=z{?>n6=4={<47g?72k27=8h4>f29~w32a2909w08;f;3e7>;15<4s4<><7?:b:?515<6=j16=<651g18yv0283:1>v395182b6=:>=o1=8m4}r465?6=:r7=9<4>f29>21c=9?=0q~8:2;296~;1=;0:j>5265g952487>52z?511<6n:16:88514`8yv02=3:1>v395482b6=:><<1=8m4}r444?6=:r7=:n4>5`9>227=9o90q~89d;296~;1?80:9l5267f95c552z?52a<6=k16:;k51g18yv01n3:1>v396e821f=:>?l1=k=4}r4;e?6=:r7=494>5`9>2=d=9o90q~875;296~;10k0:9l5269795c552z?5<0<6=k16:5851g18yv0??3:1>v3984821f=:>1=1=k=4}r4;f29>2=1=952695950e52z?5>3:1>v3991821d=:>0<1=k=4}r4:4?6=;r7=5=4>f29>0f1=9b28l87p}98g83>7}:>1n1=8m4=7:e>4`43ty8ni4?:2y>2=`=9{t>091<74`434<2:7?97:p2<2=838p1;7;:0d0?80>>3;<>6s|68794?4|5?3>628?j708n4;3e7>{t>0k1<743f34<2m7?i3:p2j3;m?6s|68a94?4|5?3j6<;l;<4:g?7a;2wx:4j50;0x93?c28l87086c;36f>{t>0o1<74`434<2o7?:c:p2<`=838p1;7i:0d0?80>k3;=;6s|6`294?4|5?k;6{t>h81<74`434<2o7?86:p5=0=838p1<9l:07b?87??3;m?6s|16f94?4|582<6<;n;<34`?7a;2wx=:k50;0x941c28?i70?8e;3e7>{t9>l1<743d34;n6s|19394?4|582:6528l870?8f;353>{t=>81<7=t=0:0>43e34;3?7?:c:?637<6n:1v<6<:18187?;3;m?63>7g8237=z{82?6=4={<3;0?7a;27:;k4>749~w4>22909w0?75;3e7>;6?o0:;;5rs2`0>5<4s49jj7?:a:?0f0<6=j16?o:51g18yv5e83:1>v3f29>7g2=97>52z?0f5<6=j16?o<51g18yv22;3:1>v3;4g821d=:<<>1=k=4}r664?6=:r7?994>5`9>006=9o90q~::1;296~;3=90:9o5244395c5>>7>52z?715<6=j1688<51g18yv22=3:1:v3;53821g=:<<81=8m4=576>4`434<26<99;<67g?70>27>;84>749~w13e2909w0::5;36e>;3=j0:j>5rs575>5<5s4>>o7?:a:?713<6n:1v9;8:181822>3;>n63;5682b6=z{=?36=4={<662?72k27?954>f29~w13>2909w0::9;3e7>;3=10:9o5rs57b>5<5s4>>m7?i3:?71=<6=j1v97i:18182>j3;>m63;a182b6=z{=3h6=4={<6b4?72i27?5n4>f29~w1?c2909w0:6c;36f>;31m0:j>5rs5;f>5<5s4>2o7?:c:?7=`<6n:1v9mi:18182d;3;>m63;d182b6=z{=in6=4={<6`f?72i27?oh4>f29~w1ee2908w0:lb;3e7>;cj3;>n63kb;36g>{t1<743f34>h87?i3:p0f3=838p19m;:07a?82d=3;m?6s|4b494?4|5=i?6<;l;<6`2?7a;2wx8n950;0x91e028l870:l6;36f>{t4`434>h:7?:c:p0f?=838p19m6:0d0?82d>3;=;6s|4bc94?4|5=ij6{t4`434>hi7?:c:p26`=838p1;=m:07b?80383;m?6s|62a94?4|5?>;6<;n;<40g?7a;2wx:>j50;0x935d28?i708{t>:o1<743d34<8i7?i3:p220=838p1;9=:07b?800?3;m?6s|66194?4|5?=<6<;n;<447?7a;2wx:::50;0x931428?i70884;3e7>{t>>?1<743d34<<97?i3:p5d>=839p1<7k:07b?87fi3;>o63>a882b6=z{83n6=4={<3be?72j27:5h4>f29~w4gf2909w0?na;3e7>;6i00:9l5rs0;e>5<5s4;2i7?:b:?2=c<6n:1vm3;>o63>a182b6=z{8k:6=4={<3b5?7a;27:m=4>5c9~w7262908w0?n2;36f>;6i;0:9n5225395c57>52z?2e7<6n:16=l>514a8yv73i3:1?v3>a2821g=:9h91=8m4=06b>4`43ty:m>4?:3y>5d5=9o9017p}>a783>7}:9h<1=k=4=0c3>4113ty:m:4?:3y>5d1=9o901u21b5950g<58n?6<;l;<3g7?7a;2wx=n650;0x94b328?i70?l8;3e7>{t9m>1<74`434;o?7?:a:p5f?=838p1{t9ji1<74`434;hm7?:c:p514=839p1o63>4382b6=z{8io6=4={<3``?7a;27:ol4>669~w4eb2909w0?le;3e7>;6kh0:;?5rs0ae>5<5s4;hj7?i3:?2gd<6?<1vc`8233=z{8n:6=4={<3g5?7a;27:ol4>769~w6e62909w0=m6;36e>;4k;0:j>5rs2a3>5<5s49ih7?:a:?0g5<6n:1v>l8:18185d:3;>m63f29~w6d>2909w0=m7;36g>;4j00:j>5rs2`b>5<5s49im7?i3:?0f<<6=k1v>lm:18185ej3;m?63669~w6db2909w0=me;3e7>;4k90:9o5rs2`e>5<5s49ij7?i3:?0g5<6=j1v>mi:18085d;3;>m635`9>7fc=9o90q~=l4;296~;4l80:9o523b695c552z?0`4<6n:16?i>514c8yv5d=3:1>v35b9>7f0=9o90q~=k8;297~;4k10:9o523b:950e<5:n367}:;j31=k=4=2af>43d3ty8ol4?:3y>7fg=9o901>mj:044?xu6nk0;6>u23b`950e<58li6{t;ji1<74`4349hi7?85:p7fb=838p1>mk:0d0?85dm3;<:6s|45094?4|5=9n6<;n;<677?7a;2wx8>h50;0x912428?j70:{t<=:1<743e34>?<7?i3:p017=838p19=i:07`?82393;m?6s|1c;94?2|5=>:6<;m;<675?72k27:n44>f29>`f<6=j1v;m50;0x935=9{t>=0;6?u26e821d=:>=0:j>5rs7794?4|5?>1=8l4=7795c543d34<=62=<6n:16:;4>5b9~w3?=838p1;751g18930=9?=0q~8n:18180f28l87089:051?xu1j3:1>v39b;3e7>;1>3;<96s|1b794?4|58h26<;n;<3`2?7a;2wx=n:50;0x94e728?j70?l4;3e7>{t9kk1<743f34;im7?i3:p5gd=838p15gb=9be83>7}:9kn1=k=4=0``>43e3ty:nh4?:3y>5gc=9o901c383>7}:9j81=k=4=0a7>43d3ty:o>4?:3y>5f5=9o901;30?0:9o52494950e52z?2a4<6=h16=i851g18yv7c?3:1>v3>d7821g=:9m=1=k=4}r3g5b9>5a>=9o90q~;l5;297~;6l00:9o521e;950e<563883>6}:9mk1=8l4=0fb>43d34;857?i3:p5ag=838p1o6s|1e`94?4|58ni6{t9mn1<74`434;o47?85:p5ac=838p1=850;0x94`e28?j70{t9oi1<743f34;mo7?i3:p5cb=838p1{tm00;6>u2212950d<5;:;6<;l;4`43ty9<=4?:3y>656=9o901=<51g1894`b28=97p}=0283>7}::991=k=4=0df>4123ty9<94?:3y>652=9o901??514c8974b28l87p}=2083>6}::;;1=k=4=2f:>40034;957?86:p67e=838p1?<7:07b?845k3;m?6s|23094?4|5;8n6<;n;<016?7a;2wx>?=50;0x974528?i70<=3;3e7>{t:;>1<743d348987?i3:p571=839p1?<::07a?845=3;>o63>2682b6=z{;8>6=4={<011?7a;279>94>5c9~w7412909w0<=6;3e7>;5:=0:9n5rs304>5<5s489;7?i3:?161<6>>1v?<6:18184513;m?63=2b821g=z{;8j6=4={<01e?7a;279>n4>5b9~w74e2909w0<=b;3e7>;5:j0:::5rs3a4>5<5s48h?7?:a:?1g=<6n:1v?m;:18184d03;>m63=c582b6=z{;i>6=4={<0`0?72j279o84>f29~w7e12909w0;5k?0:j>5rs2ff>5<4s49o>7?:a:?0a5<6=j16?ih51g18yv5c:3:1?v34123ty8hi4?:3y>7a>=9jk:0d0?xu4l:0;6?u23d2950d<5:n8651g1896ba28?j7p}7}:;m91=8l4=2f7>4`43ty8h84?:3y>7a5=9j::0d0?xu4l?0;6?u23e495c5<5:n>6<;m;|q0`2<72;q6?i951g1896b228?h7p}7}:;m31=k=4=2fg>43e3ty8hl4?:3y>7ag=9o901>jk:07`?xu4lk0;6?u23e`95c5<5:no6<88;|q0`f<72;q6?im51g1896bc28=97p}7}:;l;1=8o4=2g5>4`43ty8i?4?:3y>7`0=9k=:0d0?xu4m:0;6?u23d0950d<5:o866}:<981=8o4=52f>43d34>;h7?i3:p054=83=:0d0?827>3;<>63;068233=:<921=:84=52:>41134?357?:c:p05d=838p19>n:07b?827j3;m?6s|41194?4|5=:n6<;m;<637?7a;2wx8=k50;0x916b28l870:?d;36e>{t<9>1<743e34>;87?i3:p053=838p19><:07`?827=3;m?6s|41494?4|5=:=6{t<921<74`434>;97?97:p05?=838p19>6:0d0?827=3;<>6s|42a94?4|5=936<;n;<60`?7a;2wx8>750;0x915c28?j70:<9;3e7>{t<:k1<743e34>8m7?i3:p06d=838p19=6:07`?824j3;m?6s|45f94?4|5=>?6<;n;<67a?7a;2wx89:50;1x912328l870:;c;343>;20h0:;?5rs566>5<5s4>?i7?:a:?700<6n:1v9:9:181823=3;>n63;4782b6=z{=><6=4={<671?72k27?8:4>f29~w12?2909w0:;8;3e7>;3<>0:9o5rs56:>5<5s4>?57?i3:?702<6=j1v9:n:181823i3;m?63;468222=z{=>i6=4={<67f?7a;27?8:4>739~w12d2909w0:;c;3e7>;3<>0:;85rs5:4>5<5s4>3?7?:a:?7<=<6n:1v96;:18182?03;>m63;8582b6=z{=2>6=4={<6;0?72j27?484>f29~w1>12909w0:74;36g>;30?0:j>5rs5:g>5<5s4>357?:a:?7<`<6n:1v96n:18182?m3;>m63;8`82b6=z{=2i6=4={<6;e?72j27?4o4>f29~w1>d2909w0:7a;36g>;30j0:j>5rs71:>5<5s4<897?:a:?57d<6n:1v;=9:181804i3;>m6393782b6=z{?9<6=4={<402?72j27=?:4>f29~w35?2909w08<6;36g>;1;10:j>5rs74b>5<5s4<>j7?:a:?52g<6n:1v;;i:187802n3;m?63=62823==:=kk1=8l4=4`b>43d3ty=:=4?:3y>23d=980;6?u2672950d<5?<:6514a8930528l87p}=7983>6}:>?91=8l4=740>43d348<47?i3:p235=838p1;8<:0d0?801:3;>n6s|24d94?5|5?f29~w3032909w0894;3e7>;1>;0:9n5rs746>5<5s4<=97?i3:?527<6>>1v;89:181801>3;m?639638237=z{?<<6=4={<453?7a;27=:?4>749~w30?2909w0898;3e7>;1>;0:;;5rs74:>5<5s4<=57?i3:?527<6?>1v:<50;0x93c=9o6s|6g83>7}:?:0:9l526g82b6=z{>:1<7:1=k=4}r52>5<5s44`43ty9oi4?:3y>6f?=9no514`897ee28l87p}=cb83>7}::jk1=8m4=3a`>4`43ty8;<4?:3y>73b=99=:0d0?xu4>l0;6?u2360950g<5:7}:;?o1=8m4=253>4`43ty8jl4?:3y>7c0=9hm:0d0?xu4n>0;6?u23g`950g<5:l<67}:;o=1=8m4=2d:>4`43ty?544?:3y>0=`=97}:<0k1=8o4=5;3>4`43ty?5<4?:3y>0<6=9:0d0?xu31;0;6?u2482950e<5=3967}:<0?1=k=4=5;;>43d3ty?m<4?:3y>0<0=9:0d0?xu31?0;6?u248495c5<5=336<88;|q7=2<72;q684951g1891??28=97p};a483>7}:4`43ty?m?4?:3y>0d0=97}:=mn1=8o4=4g1>4`43ty>hi4?:2y>1ab=9o901o6s|5eg94?4|5{t=l:1<743d34?n<7?i3:p20b=838p1;;6:07b?802m3;m?6s|64;94?5|5??26no4>5b9~w33f2909w08:e;36e>;1=h0:j>5rs77a>5<5s4<>m7?:b:?51g<6n:1v;;l:181802i3;>o6395b82b6=z{;9>6=4={<01b?72i279?84>f29~w7572909w0<<5;36e>;5;90:j>5rs312>5<5s488<7?:b:?174<6n:1v?==:18184483;>o63=3382b6=z{;986=4={<007?7a;279??4>5c9~w7532909w0<<4;3e7>;5;;0:9n5rs31`>5<5s488:7?:a:?17f<6n:1v?=8:181844k3;>m63=3682b6=z{;936=4={<003?72j279?54>f29~w75>2909w0<<7;36g>;5;00:j>5rs31b>5<5s488m7?i3:?17<<6=k1v?=m:181844j3;m?63=38821f=z{;?36=4={<064?72i279954>f29~w7302909w0<:6;36e>;5=>0:j>5rs372>5<5s48>47?:a:?114<6n:1v?;=:18184293;>n63=5382b6=z{;?86=4={<065?72k2799>4>f29~w7332909w0<:4;3e7>;5=:0:9o5rs376>5<5s48>97?i3:?116<6=j1v?8::18184213;>m63=6482b6=z{;f29~w73f2909w0<95;36e>;5=h0:j>5rs37a>5<5s48>m7?:b:?11g<6n:1v?;l:181842i3;>o63=5b82b6=z{;2?6=4<{<06`?72j2799i4>5b9>6=2=9o90q~<:d;296~;5=m0:j>5224a950di7>52z?11`<6n:16>8m514a8yv4183:1>v3=6182b6=::?>1=8l4}r055?6=:r79:<4>f29>632=9;0:j>52276953152z?126<6n:16>;:51608yv4093:1>v3=67821d=::>;1=k=4}r044?6=:r79:n4>5`9>626=9o90q~<9c;297~;5>j0:j>5210;950d<58;26<;l;|q122<72;q6>:?514c8970028l87p}=6983>7}::?=1=8l4=34;>4`43ty9:44?:3y>631=9h0;6?u227c95c5<5;<26<;m;|q12g<72;q6>;l51g18970>28?h7p}=6e83>7}::?n1=k=4=353>43e3ty9:h4?:3y>63c=9o901?9?:07`?xu5>o0;6?u227d95c5<5;=;6<88;|q13a<72;q6>:<514c8971c28l87p}=7b83>7}::>21=8o4=35`>4`43ty9;>4?:3y>62b=9:=514a8971228l87p}=7783>7}::><1=k=4=356>43e3ty9;:4?:3y>621=9o901?9::07`?xu5?00;6?u226;95c5<5;=h6<;m;|q13d<72;q6>:o51g18971d28?h7p}=7c83>7}::>h1=k=4=35`>4003ty94;4?:3y>62c=9658514c8971a28l87p}=8183>7}::>l1=8l4=3:3>4`43ty94<4?:3y>62`=9:0d0?xu50;0;6?u229095c5<5;2:6<;m;|q1<6<72;q6>5=51g1897>628?h7p}=8e83>7}::1=1=8o4=3:g>4`43ty9454?:3y>6=b=956514a897>f28l87p}=8c83>7}::1h1=k=4=3:b>43e3ty94n4?:3y>6=e=9o901?6n:07`?xu51=0;6?u229g950g<5;3?64:514c897>a28l87p}=9183>7}::1l1=8l4=3;3>4`43ty95<4?:3y>6=`=9:0d0?xu51;0;6?u228095c5<5;3:6<;m;|q1=6<72;q6>4=51g1897?628?h7p}=9c83>7}::0?1=8o4=3;a>4`43ty95;4?:3y>60;6?u2284950d<5;3<648514a897??28l87p}=9883>7}::031=k=4=3;;>43e3ty95l4?:3y>66}:=o=1=k=4=dc950d<5lk1=8m4}r7e0?6=:r7>ji4>5`9>1c2=9o90q~;i5;296~;2n=0:9o525g795c552z?6b1<6=j169k851g18yv3a03:1>v3:f982b6=:=oi1=8l4}r7e=?6=:r7>j44>f29>1ce=9525ga953152z?6bg<6n:169km51608yv2aj3:1>v3;f6821d=:5`9>0c>=9o90q~:i9;296~;3n10:9o524g;95c5mm7>52z?7b=<6=j168ko51g18yv3213:1>v3:4d821d=:=9l4>5`9>11`=9o90q~;:0;296~;2=7>52z?60c<6=j1698?51g18yv32:3:1>v3:5382b6=:=<;1=8l4}r767?6=:r7>9>4>f29>107=91;297~;2==0:9o52546950e<58;:66}:=43d34?jn7?i3:p103=838p18;::0d0?83293;<>6s|54494?4|5{t=<21<74`434?>=7?87:p121=838p188j:07b?83003;m?6s|56494?4|5<=96<;n;<742?7a;2wx9;h50;0x901?28?j70;9f;3e7>{t=>:1<743e34?<<7?i3:p127=838p188i:07`?83093;m?6s|56194?4|5<=86{t=>?1<74`434?<:7?97:p1g7=838p18o::07b?83e:3;m?6s|5c294?4|5{t=h=1<743e34?j;7?i3:p1d>=838p18o9:07`?83f03;m?6s|5`;94?4|5{t=hi1<74`434?i<7?:b:p1db=838p18ok:0d0?83e83;>o6s|5`g94?4|5{t9ln1<743f34;nh7?i3:p5`?=838p1{t9lh1<743e34;nn7?i3:p5`e=838p19950;0x972628?j70<;7;3e7>{t::o1<743f3488i7?i3:p66`=838p1?=j:07a?844n3;m?6s|25294?4|5;9n6<;l;<074?7a;2wx>9<50;0x972528l870<;7;36f>{t:=91<74`4348?;7?:c:p612=838p1?:;:0d0?843?3;=;6s|25794?4|5;>>69850;0x972128l870<;7;341>{t=9>1<7=t=5df>43f34=?6<;n;<731?7a;2wx8kh50;0x906228?j70:if;3e7>{t=9:1<743e34?;<7?i3:p157=838p19hi:07`?83793;m?6s|51094?4|5<:96{t=;?1<7=t=43b>43f34ho6<;n;<712?7a;2wx9?:50;0x907b28?j70;=4;3e7>{t=8o1<7=t=43f>4`434l96<;m;43d3ty>=o4?:3y>170=9=k4>f29>172=952536950e52z?664<6n:169?:51758yv35:3:1>v3:2382b6=:=;>1=:<4}r717?6=:r7>>>4>f29>172=9>?0q~;72;296~;2?k0:9l5259095c552z?6<7<6=h169:m51g18yv30l3:1>v3:7b821g=:=>n1=k=4}r74a?6=:r7>;n4>5b9>12c=9o90q~;8f;296~;2?o0:j>5256g950d52z?6<5<6n:169:k514a8yv3?93:1>v3:8082b6=:=>o1=;94}r7;f?6=:r7>484>5`9>1=d=9o90q~;76;296~;20k0:9l5259495c552z?6<3<6=k1695951g18yv3?03:1>v3:87821f=:=121=k=4}r7;=?6=:r7>444>f29>1=>=95259:950e52z?6f0<6=h169ok51g18yv3el3:1>v3:b8821d=:=kn1=k=4}r7a2?6=:r7>nh4>5`9>1g0=9o90q~;m7;296~;2j?0:9o525c595c552z?6f3<6=j169o651g18yv3ei3:1>v3:b`82b6=:=kn1=8l4}r7af?6=:r7>no4>f29>1gb=9525cf953152z?6g4<6=h169nm51g18yv3dj3:1>v3:c4821d=:=jh1=k=4}r7`6?6=:r7>on4>5`9>1f4=9o90q~;l3;296~;2k;0:9o525b195c552z?6g7<6=j169n:51g18yv74?3:1?v3:c5821g=:=j>1=8m4=014>4`43ty>o;4?:3y>1f0=9o9018mm:07a?xu2k>0;6?u25b595c5<57}:=j31=k=4=4aa>4153ty>ol4?:3y>1fg=9o9018mm:056?xu2n90;6?u25d7950g<57}:=o:1=8o4=4g5>4`43ty>i:4?:3y>1`0=9{t=lk1<74`434?nj7?:b:p1`d=838p18km:0d0?83bn3;>o6s|5da94?4|5{t=lo1<74`434?nj7?85:pgd<72;q6o;4>5`9>gd<6n:1vn950;0x9fg=9o63l9;3e7>{t;>n1<743f3499k:07b?850;3;m?6s|36694?4|5:=86<;m;<140?7a;2wx?:;50;0x961428?h70=85;3e7>{t;><1<74`4349<97?:b:p721=838p1>98:0d0?850=3;>o6s|36:94?4|5:=3628l870=85;346>{t;>k1<74`4349<97?85:p72d=838p1>9m:0d0?850=3;<:6s|36a94?4|5:=h652z?:>43f3421=k=4}r594?4|5:0:9l527;3e7>{t;3:1?v3<:0d0?8`b28?i70hj:07`?xu72909w06514c895<6n:1v<4?:3y>4?72j27:65<5s4:1=8m4=382b6=z{=0;6?u24;3e7>;028?i7p}::18183=9o901:4>5b9~w3<72;q6:7?i3:?4>4003tyn=7>52z?ge?72i27n=7?i3:p`g<72;q6ho4>f29>a4<6=k1vim50;0x9ae=9o901h?514a8yvbc2909w0jk:0d0?8c628<<7p}ke;296~;cm3;m?63j1;346>{tlo0;6?u2dg82b6=:m80:;85rsd294?4|5l:1=k=4=d3952043f34o36a1<6n:16i54>5b9~w`3=838p1h;51g189`>=9?=0q~k9:1818c128l870k7:051?xub?3:1>v3j7;3e7>;b03;<96s|f183>7}:m00:9l52f182b6=z{lk1<75<5s4oi643d3tyno7>52z?fg?7a;27m<7?97:paa<72;q6ii4>f29>b5<6?;1vhk50;0x9`c=9o901k>51678yvca2909w0ki:0d0?8`728==7p}i8;296~;a93;>m63i8;3e7>{tn;0;6?u2f382b6=:n10:9o5rsg194?4|5o91=k=4=g:950e4`434l36<88;|qe1?6=:r7m97?i3:?eb3<6n:16j54>749~wc1=838p1k951g189c>=9><0q~hl:1818`>28?j70hl:0d0?xuai3:1>v3ia;3e7>;ak3;>n6s|fc83>7}:nk0:j>52fb821f=z{8:?6=4={43f34;;87?i3:pb`<72;q6jh4>f29>552=9{t99:1<74`434;;87?97:p557=838p1<>>:0d0?877<3;<>6s|11094?4|58:96{t99i1<743f34;;o7?i3:p550=838p1<>9:0d0?877k3;>n6s|11594?4|58:<6{t9931<74`434;;o7?82:p55g=838p1<>n:0d0?877k3;<96s|11`94?4|58:i62wx=<>50;0x946c28?j70?>0;3e7>{t99o1<74`434;:<7?:b:p55`=838p1<>i:0d0?87683;>o6s|10594?4|58;:6<;n;<323?7a;2wx=<<50;0x947528l870?>7;36f>{t9891<74`434;:;7?:c:p542=838p167;341>{t98l1<743f34;:j7?i3:p54?=838p1n6s|10c94?4|58;j6f;353>{t98i1<74`434;:j7?82:p54b=838p12wx=?850;0x944728?j70?=6;3e7>{t9;:1<7=t=003>4`434;947?:b:?26=<6=j1v<<>:18187593;m?63>27821g=z{8896=4={<316?7a;27:>;4>5b9~w4442909w0?=3;3e7>;6:?0:::5rs007>5<5s4;987?i3:?263<6?;1v<<::181875=3;m?63>278230=z{88n6=4={<313?72i27:>h4>f29~w44?2909w0?=8;3e7>;6:l0:9o5rs00:>5<5s4;957?i3:?26`<6=j1v<2d8222=z{88i6=4={<31f?7a;27:>h4>739~w44d2909w0?=c;3e7>;6:l0:;85rs00g>5<5s4;9h7?i3:?26`<6??1v<=9:181875n3;>m63>3782b6=z{89;6=4={<304?7a;27:?;4>5c9~w4562909w0?<1;3e7>;6;?0:9n5rs011>5<5s4;8>7?i3:?273<6>>1v<=<:181874;3;m?63>378237=z{89?6=4={<300?7a;27:?;4>749~w4522909w0?<5;3e7>;6;?0:;;5rs01;>5<5s4;8;7?:a:?27=<6n:1v<=i:18187413;>m63>3g82b6=z{89j6=4={<30e?7a;27:?k4>5c9~w45e2909w0?;6;o0:9n5rs01`>5<5s4;8o7?i3:?27c<6>>1v<=k:181874l3;m?63>3g8237=z{89n6=4={<30a?7a;27:?k4>749~w4262909w0?;0;36e>;6<80:j>5rs06:>5<5s4;?>7?:a:?20<<6n:1v<:<:181873;3;m?63>48821g=z{8>?6=4={<370?7a;27:844>5b9~w4222909w0?;5;3e7>;6<00:::5rs065>5<5s4;?:7?i3:?20<<6?;1v<:8:181873?3;m?63>488230=z{8>36=4={<37779~w4362909w0?;a;36e>;6=80:j>5rs06a>5<5s4;?n7?i3:?214<6=k1v<:l:181873k3;m?63>50821f=z{8>o6=4={<37`?7a;27:9<4>669~w42b2909w0?;e;3e7>;6=80:;?5rs06e>5<5s4;?j7?i3:?214<6?<1v<;?:18187283;m?63>508233=zug=i>94?:0y~j2d5=3:1=vsa7c05>5<6std{|l4f7`=83;pqc9m3183>4}zf>h8=7>51zm3g55290:wp`8b2194?7|ug=i?94?:0y~j2d4=3:1=vsa7c15>5<6std950;3xyk1e;10;6{|l4f6`=83;pqc9m4183>4}zf>h?=7>51zm3g25290:wp`8b5194?7|ug=i894?:0y~j2d3=3:1=vsa7c65>5<6stdn6=4>{|l4f1`=83;pqc9m5183>4}zf>h>=7>51zm3g35290:wp`8b4194?7|ug=i994?:0y~j2d2=3:1=vsa7c75>5<6std{|l4f0`=83;pqc9m6183>4}zf>h==7>51zm3g05290:wp`8b7194?7|ug=i:94?:0y~j2d1=3:1=vsa7c45>5<6std10;6{|l4f3`=83;pqc9m7183>4}zf>h<=7>51zm3g15290:wp`8b6194?7|ug=i;94?:0y~j2d0=3:1=vsa7c55>5<6stdh1<7?t}o5a3f<728qvb:l8d;295~{i?k=n6=4>{|l4f2`=83;pqc9m8183>4}zf>h3=7>51zm3g>5290:wp`8b9194?7|ug=i494?:0y~j2d?=3:1=vsa7c:5>5<6std{|l4f=`=83;pqc9m9183>4}zf>h2=7>51zm3g?5290:wp`8b8194?7|ug=i594?:0y~j2d>=3:1=vsa7c;5>5<6std{|l4f<`=83;pqc9ma183>4}zf>hj=7>51zm3gg5290:wp`8b`194?7|ug=im94?:0y~j2df=3:1=vsa7cc5>5<6std{|l4fd`=83;pqc9mb183>4}zf>hi=7>51zm3gd5290:wp`8bc194?7|ug=in94?:0y~j2de=3:1=vsa7c`5>5<6std{|l4fg`=83;pqc9mc183>4}zf>hh=7>51zm3ge5290:wp`8bb194?7|ug=io94?:0y~j2dd=3:1=vsa7ca5>5<6std{|l4ff`=83;pqc9md183>4}zf>ho=7>51zm3gb5290:wp`8be194?7|ug=ih94?:0y~j2dc=3:1=vsa7cf5>5<6std{|l4fa`=83;pqc9me183>4}zf>hn=7>51zm3gc5290:wp`8bd194?7|ug=ii94?:0y~j2db=3:1=vsa7cg5>5<6std{|l4f``=83;pqc9mf183>4}zf>hm=7>51zm3g`5290:wp`8bg194?7|ug=ij94?:0y~j2da=3:1=vsa7cd5>5<6std{|l4fc`=83;pqc9l0183>4}zf>i;=7>51zm3f65290:wp`8c1194?7|ug=h<94?:0y~j2e7=3:1=vsa7b25>5<6stdn:182xh0k9h1<7?t}o5`4f<728qvb:m?d;295~{i?j:n6=4>{|l4g5`=83;pqc9l1183>4}zf>i:=7>51zm3f75290:wp`8c0194?7|ug=h=94?:0y~j2e6=3:1=vsa7b35>5<6stdd;295~{i?j;n6=4>{|l4g4`=83;pqc9l2183>4}zf>i9=7>51zm3f45290:wp`8c3194?7|ug=h>94?:0y~j2e5=3:1=vsa7b05>5<6std{|l4g7`=83;pqc9l3183>4}zf>i8=7>51zm3f55290:wp`8c2194?7|ug=h?94?:0y~j2e4=3:1=vsa7b15>5<6std950;3xyk1d;10;6{|l4g6`=83;pqc9l4183>4}zf>i?=7>51zm3f25290:wp`8c5194?7|ug=h894?:0y~j2e3=3:1=vsa7b65>5<6stdn6=4>{|l4g1`=83;pqc9l5183>4}zf>i>=7>51zm3f35290:wp`8c4194?7|ug=h994?:0y~j2e2=3:1=vsa7b75>5<6std{|l4g0`=83;pqc9l6183>4}zf>i==7>51zm3f05290:wp`8c7194?7|ug=h:94?:0y~j2e1=3:1=vsa7b45>5<6std10;6{|l4g3`=83;pqc9l7183>4}zf>i<=7>51zm3f15290:wp`8c6194?7|ug=h;94?:0y~j2e0=3:1=vsa7b55>5<6stdh1<7?t}o5`3f<728qvb:m8d;295~{i?j=n6=4>{|l4g2`=83;pqc9l8183>4}zf>i3=7>51zm3f>5290:wp`8c9194?7|ug=h494?:0y~j2e?=3:1=vsa7b:5>5<6std{|l4g=`=83;pqc9l9183>4}zf>i2=7>51zm3f?5290:wp`8c8194?7|ug=h594?:0y~j2e>=3:1=vsa7b;5>5<6std{|l4g<`=83;pqc9la183>4}zf>ij=7>51zm3fg5290:wp`8c`194?7|ug=hm94?:0y~j2ef=3:1=vsa7bc5>5<6std{|l4gd`=83;pqc9lb183>4}zf>ii=7>51zm3fd5290:wp`8cc194?7|ug=hn94?:0y~j2ee=3:1=vsa7b`5>5<6std{|l4gg`=83;pqc9lc183>4}zf>ih=7>51zm3fe5290:wp`8cb194?7|ug=ho94?:0y~j2ed=3:1=vsa7ba5>5<6std{|l4gf`=83;pqc9ld183>4}zf>io=7>51zm3fb5290:wp`8ce194?7|ug=hh94?:0y~j2ec=3:1=vsa7bf5>5<6std{|l4ga`=83;pqc9le183>4}zf>in=7>51zm3fc5290:wp`8cd194?7|ug=hi94?:0y~j2eb=3:1=vsa7bg5>5<6std{|l4g``=83;pqc9lf183>4}zf>im=7>51zm3f`5290:wp`8cg194?7|ug=hj94?:0y~j2ea=3:1=vsa7bd5>5<6std{|l4gc`=83;pqc9k0183>4}zf>n;=7>51zm3a65290:wp`8d1194?7|ug=o<94?:0y~j2b7=3:1=vsa7e25>5<6stdn:182xh0l9h1<7?t}o5g4f<728qvb:j?d;295~{i?m:n6=4>{|l4`5`=83;pqc9k1183>4}zf>n:=7>51zm3a75290:wp`8d0194?7|ug=o=94?:0y~j2b6=3:1=vsa7e35>5<6stdd;295~{i?m;n6=4>{|l4`4`=83;pqc9k2183>4}zf>n9=7>51zm3a45290:wp`8d3194?7|ug=o>94?:0y~j2b5=3:1=vsa7e05>5<6std{|l4`7`=83;pqc9k3183>4}zf>n8=7>51zm3a55290:wp`8d2194?7|ug=o?94?:0y~j2b4=3:1=vsa7e15>5<6std950;3xyk1c;10;6{|l4`6`=83;pqc9k4183>4}zf>n?=7>51zm3a25290:wp`8d5194?7|ug=o894?:0y~j2b3=3:1=vsa7e65>5<6stdn6=4>{|l4`1`=83;pqc9k5183>4}zf>n>=7>51zm3a35290:wp`8d4194?7|ug=o994?:0y~j2b2=3:1=vsa7e75>5<6std{|l4`0`=83;pqc9k6183>4}zf>n==7>51zm3a05290:wp`8d7194?7|ug=o:94?:0y~j2b1=3:1=vsa7e45>5<6std10;6{|l4`3`=83;pqc9k7183>4}zf>n<=7>51zm3a15290:wp`8d6194?7|ug=o;94?:0y~j2b0=3:1=vsa7e55>5<6stdh1<7?t}o5g3f<728qvb:j8d;295~{i?m=n6=4>{|l4`2`=83;pqc9k8183>4}zf>n3=7>51zm3a>5290:wp`8d9194?7|ug=o494?:0y~j2b?=3:1=vsa7e:5>5<6std{|l4`=`=83;pqc9k9183>4}zf>n2=7>51zm3a?5290:wp`8d8194?7|ug=o594?:0y~j2b>=3:1=vsa7e;5>5<6std{|l4`<`=83;pqc9ka183>4}zf>nj=7>51zm3ag5290:wp`8d`194?7|ug=om94?:0y~j2bf=3:1=vsa7ec5>5<6std{|l4`d`=83;pqc9kb183>4}zf>ni=7>51zm3ad5290:wp`8dc194?7|ug=on94?:0y~j2be=3:1=vsa7e`5>5<6std{|l4`g`=83;pqc9kc183>4}zf>nh=7>51zm3ae5290:wp`8db194?7|ug=oo94?:0y~j2bd=3:1=vsa7ea5>5<6std{|l4`f`=83;pqc9kd183>4}zf>no=7>51zm3ab5290:wp`8de194?7|ug=oh94?:0y~j2bc=3:1=vsa7ef5>5<6std{|l4`a`=83;pqc9ke183>4}zf>nn=7>51zm3ac5290:wp`8dd194?7|ug=oi94?:0y~j2bb=3:1=vsa7eg5>5<6std{|l4```=83;pqc9kf183>4}zf>nm=7>51zm3a`5290:wp`8dg194?7|ug=oj94?:0y~j2ba=3:1=vsa7ed5>5<6std{|l4`c`=83;pqc9j0183>4}zf>o;=7>51zm3`65290:wp`8e1194?7|ug=n<94?:0y~j2c7=3:1=vsa7d25>5<6stdn:182xh0m9h1<7?t}o5f4f<728qvb:k?d;295~{i?l:n6=4>{|l4a5`=83;pqc9j1183>4}zf>o:=7>51zm3`75290:wp`8e0194?7|ug=n=94?:0y~j2c6=3:1=vsa7d35>5<6stdd;295~{i?l;n6=4>{|l4a4`=83;pqc9j2183>4}zf>o9=7>51zm3`45290:wp`8e3194?7|ug=n>94?:0y~j2c5=3:1=vsa7d05>5<6std{|l4a7`=83;pqc9j3183>4}zf>o8=7>51zm3`55290:wp`8e2194?7|ug=n?94?:0y~j2c4=3:1=vsa7d15>5<6std950;3xyk1b;10;6{|l4a6`=83;pqc9j4183>4}zf>o?=7>51zm3`25290:wp`8e5194?7|ug=n894?:0y~j2c3=3:1=vsa7d65>5<6stdn6=4>{|l4a1`=83;pqc9j5183>4}zf>o>=7>51zm3`35290:wp`8e4194?7|ug=n994?:0y~j2c2=3:1=vsa7d75>5<6std{|l4a0`=83;pqc9j6183>4}zf>o==7>51zm3`05290:wp`8e7194?7|ug=n:94?:0y~j2c1=3:1=vsa7d45>5<6std10;6{|l4a3`=83;pqc9j7183>4}zf>o<=7>51zm3`15290:wp`8e6194?7|ug=n;94?:0y~j2c0=3:1=vsa7d55>5<6stdh1<7?t}o5f3f<728qvb:k8d;295~{i?l=n6=4>{|l4a2`=83;pqc9j8183>4}zf>o3=7>51zm3`>5290:wp`8e9194?7|ug=n494?:0y~j2c?=3:1=vsa7d:5>5<6std{|l4a=`=83;pqc9j9183>4}zf>o2=7>51zm3`?5290:wp`8e8194?7|ug=n594?:0y~j2c>=3:1=vsa7d;5>5<6std{|l4a<`=83;pqc9ja183>4}zf>oj=7>51zm3`g5290:wp`8e`194?7|ug=nm94?:0y~j2cf=3:1=vsa7dc5>5<6std{|l4ad`=83;pqc9jb183>4}zf>oi=7>51zm3`d5290:wp`8ec194?7|ug=nn94?:0y~j2ce=3:1=vsa7d`5>5<6std{|l4ag`=83;pqc9jc183>4}zf>oh=7>51zm3`e5290:wp`8eb194?7|ug=no94?:0y~j2cd=3:1=vsa7da5>5<6std{|l4af`=83;pqc9jd183>4}zf>oo=7>51zm3`b5290:wp`8ee194?7|ug=nh94?:0y~j2cc=3:1=vsa7df5>5<6std{|l4aa`=83;pqc9je183>4}zf>on=7>51zm3`c5290:wp`8ed194?7|ug=ni94?:0y~j2cb=3:1=vsa7dg5>5<6std{|l4a``=83;pqc9jf183>4}zf>om=7>51zm3``5290:wp`8eg194?7|ug=nj94?:0y~j2ca=3:1=vsa7dd5>5<6std{|l4ac`=83;pqc9i0183>4}zf>l;=7>51zm3c65290:wp`8f1194?7|ug=m<94?:0y~j2`7=3:1=vsa7g25>5<6stdn:182xh0n9h1<7?t}o5e4f<728qvb:h?d;295~{i?o:n6=4>{|l4b5`=83;pqc9i1183>4}zf>l:=7>51zm3c75290:wp`8f0194?7|ug=m=94?:0y~j2`6=3:1=vsa7g35>5<6stdd;295~{i?o;n6=4>{|l4b4`=83;pqc9i2183>4}zf>l9=7>51zm3c45290:wp`8f3194?7|ug=m>94?:0y~j2`5=3:1=vsa7g05>5<6std{|l4b7`=83;pqc9i3183>4}zf>l8=7>51zm3c55290:wp`8f2194?7|ug=m?94?:0y~j2`4=3:1=vsa7g15>5<6std950;3xyk1a;10;6{|l4b6`=83;pqc9i4183>4}zf>l?=7>51zm3c25290:wp`8f5194?7|ug=m894?:0y~j2`3=3:1=vsa7g65>5<6stdn6=4>{|l4b1`=83;pqc9i5183>4}zf>l>=7>51zm3c35290:wp`8f4194?7|ug=m994?:0y~j2`2=3:1=vsa7g75>5<6std{|l4b0`=83;pqc9i6183>4}zf>l==7>51zm3c05290:wp`8f7194?7|ug=m:94?:0y~j2`1=3:1=vsa7g45>5<6std10;6{|l4b3`=83;pqc9i7183>4}zf>l<=7>51zm3c15290:wp`8f6194?7|ug=m;94?:0y~j2`0=3:1=vsa7g55>5<6stdh1<7?t}o5e3f<728qvb:h8d;295~{i?o=n6=4>{|l4b2`=83;pqc9i8183>4}zf>l3=7>51zm3c>5290:wp`8f9194?7|ug=m494?:0y~j2`?=3:1=vsa7g:5>5<6std{|l4b=`=83;pqc9i9183>4}zf>l2=7>51zm3c?5290:wp`8f8194?7|ug=m594?:0y~j2`>=3:1=vsa7g;5>5<6std{|l4b<`=83;pqc9ia183>4}zf>lj=7>51zm3cg5290:wp`8f`194?7|ug=mm94?:0y~j2`f=3:1=vsa7gc5>5<6std{|l4bd`=83;pqc9ib183>4}zf>li=7>51zm3cd5290:wp`8fc194?7|ug=mn94?:0y~j2`e=3:1=vsa7g`5>5<6std{|l4bg`=83;pqc9ic183>4}zf>lh=7>51zm3ce5290:wp`8fb194?7|ug=mo94?:0y~j2`d=3:1=vsa7ga5>5<6std{|l4bf`=83;pqc9id183>4}zf>lo=7>51zm3cb5290:wp`8fe194?7|ug=mh94?:0y~j2`c=3:1=vsa7gf5>5<6std{|l4ba`=83;pqc9ie183>4}zf>ln=7>51zm3cc5290:wp`8fd194?7|ug=mi94?:0y~j2`b=3:1=vsa7gg5>5<6std{|l4b``=83;pqc9if183>4}zf>lm=7>51zm3c`5290:wp`8fg194?7|ug=mj94?:0y~j2`a=3:1=vsa7gd5>5<6std{|l4bc`=83;pqc6?0183>4}zf1:;=7>51zm<565290:wp`701194?7|ug2;<94?:0y~j=67=3:1=vsa8125>5<6std3<=950;3xyk>7810;6n:182xh?89h1<7?t}o:34f<728qvb5>?d;295~{i09:n6=4>{|l;45`=83;pqc6?1183>4}zf1::=7>51zm<575290:wp`700194?7|ug2;=94?:0y~j=66=3:1=vsa8135>5<6std3<<950;3xyk>7910;6>d;295~{i09;n6=4>{|l;44`=83;pqc6?2183>4}zf1:9=7>51zm<545290:wp`703194?7|ug2;>94?:0y~j=65=3:1=vsa8105>5<6std37:10;6=d;295~{i098n6=4>{|l;47`=83;pqc6?3183>4}zf1:8=7>51zm<555290:wp`702194?7|ug2;?94?:0y~j=64=3:1=vsa8115>5<6std3<>950;3xyk>7;10;6{|l;46`=83;pqc6?4183>4}zf1:?=7>51zm<525290:wp`705194?7|ug2;894?:0y~j=63=3:1=vsa8165>5<6std3<9950;3xyk>7<10;6;d;295~{i09>n6=4>{|l;41`=83;pqc6?5183>4}zf1:>=7>51zm<535290:wp`704194?7|ug2;994?:0y~j=62=3:1=vsa8175>5<6std3<8950;3xyk>7=10;6:d;295~{i09?n6=4>{|l;40`=83;pqc6?6183>4}zf1:==7>51zm<505290:wp`707194?7|ug2;:94?:0y~j=61=3:1=vsa8145>5<6std3<;950;3xyk>7>10;69d;295~{i09{|l;43`=83;pqc6?7183>4}zf1:<=7>51zm<515290:wp`706194?7|ug2;;94?:0y~j=60=3:1=vsa8155>5<6std3<:950;3xyk>7?10;6h1<7?t}o:33f<728qvb5>8d;295~{zutJKOv6:d98`77d5l<8vLMLt0|BCT~{GH \ No newline at end of file diff --git a/cpld/XC95144XL/WarpSE.ngc b/cpld/XC95144XL/WarpSE.ngc index 573d5ad..68b59c9 100644 --- a/cpld/XC95144XL/WarpSE.ngc +++ b/cpld/XC95144XL/WarpSE.ngc @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6e -$5f:4=792;:>6?=:NWWTPR=ZH6:=7>111922?OIX\^1M1<<:9<24>462@D[YY4N<3395;733;91EC^ZT;C\BVD;::0:2k5<:HLSQQ49B8439=2K7=;0:;@>23;3G;97?0M169B877=97?0M1<>>49B8749?2K7>>47>49B8759<2K7>3:4A=1=0>G;<7>0M1;14:C?2;2Pmtz345668m1J@H>Pmtz34565l2KGI=Qbuy23455c3HFNGKM9Ufyu>?015g?DJB8Vg~t=>?09f8EIC7Wds<=>?959BH@7d3HFN=RQ`r1235a=FDL;TSb|?01320>GIL;>0MCJ<4:CM@1286OAD668EKB?<2KX~kj4ARpe[FjlW@D]=:5NSsd\J5eGTzoUecy>?003:?DYA[K6:<374A^DPF976601JSK]M<00==>GXNZH7=>06;@]EWG:6<730MRH\B=36:<=FWOYI0<819:C\BVD;9>427LQISC>2<;?99B[CUE48427LQISC>14;?>89B[CUE4;85n6OPFR@?66<6601JSK]M<31=<>GXNZH7>364A^DPF95902KTJ^L34?:8EZ@TJ5?546OPFR@?2;>GXNZHT==QFBTDg?DYA[KU:=RGMUGf8EZ@TJV;9SDLZFe9B[CUEW89TEO[Id:C\BVDX9=UBNXHk;@]EWGY6=VCIYKj4A^DPFZ71W@H^Ji5N_GQA[41XAK_Mh6OPFR@\5=YNJ\Lo7LQISC]2=ZOE]Oi0MRH\B^3\MGSAl2KTJ^LP21]JFP@c3HUM_OQ=1^KAQCbGXNZHT>RGMUGa8EZ@TJV9TEO[Ic:C\BVDXGh}}ENi6O`uuMF[hs89:;j6O`uuMF[hs89:;=k5NotvLAZkrp9:;;f:ClqqIBWds<=>?5g9BkprHMVg~t=>?0768FDES=2HJOY?:;CC@P730O<8B9:A22HYNJ\L=7NET>2Igil|>f:Aoadt6WVkeh=>?009G2>BSIJAyi6J[ABIq[kis89::j6J[ABIq[kis89::=:5Kauc?4;12OMmab=5:GP85823LY7=3;4ER>1:0=B[59586K\_1g8AVY7W9Uecy>?01d8AVY7W9Uecy>?013g?@UX8VUjbi>?01g8AVY7WVkeh=>?00g8AVY7WVkeh=>?03g8AVY7WVkeh=>?02g8AVY7WVkeh=>?05g8AVY7WVkeh=>?04g8AVY7WVkeh=>?0768AVY6l2OXSi5JS^0\[dhc89:;i6K\_3]\ekb789::i6K\_3]\ekb789:986K\_2f8AVY4WVkeh=>?0d9FWZ5XWhdo<=>?1d9FWZ5XWhdo<=>?20d8AVYJimnTSljk0123[H~hzVXnxb{<0<2b>CTWDkohRQnde2345YJpfxT^h}zlu>1:4`CTWDkohRQnde2345YXign;<=>>189FWZKflmUTmij?012\[dhc89::=l5JS^Ob`aYXimn;<=>P_`lg45669m1N_R_P1^mq4567m2OXS\Q>_np34566n2OXS\Q>_np345668o1N_R_P1^mq456798o0I^Q^_0]lv5678;o0I^Q^_0]lv5678:o0I^Q^_0]lv5678=o0I^Q^_0]lv5678o0I^Q^_0]lv56781o0I^Q^_0]lv56780o0I^QPaef3456;97o0I^QPaef3456;:7o0I^QPaef3456;;7<0IRGMUG08Aw3CuW9>0IQ>4:D@HN?0JIMJ3:DGT6=A[K;0K>5HNE38M7=N8;1B=?5F239J77=N<;1B9?5F639J31=NIJY>7DOLS068MGSA12CEEY][AUG0?LHQ:2CD96G@ABVg?LIFK]Ufyu>?01g8MJGD\Vg~t=>?00d8MJGD\Vg~t=>?002e?LIFK]Ufyu>?0132b>OHIJ^Taxv?01226c=NGHI_S`{w012356`o1BCLM[_lw{45679>o0EBOLT^ov|5678;o0EBOLT^ov|5678:o0EBOLT^ov|5678=o0EBOLT^ov|5678o0EBOLT^ov|56781o0EBOLT^ov|56780<0EBOLTs48MJDBZ[o0EBLJRS]mkq6788l0EBLJRS]mkq6788;?7DAMM59JKGU13@EIYKK;;HM@W0=NGJY::6G@CR332>OHKZ;::6G@CR312>OHKZ;896G@CR06?LID[:?0EBM\449JKFU2=2CDO^8:;HM@W23OHLFDNh6G@DNLF[jt789:n7DAKOOG\kw6789;n7DAKOOG\kw67898n7DAKOOG\kw67899n7DAKOOG\kw6789>n7DAKOOG\kw6789?n7DAKOOG\kw6789OHD9Ufyu>?01f8MJJ7Wds<=>?1d9JKI6Xe|r;<=>>0d9JKI6Xe|r;<=>>1d9JKI6Xe|r;<=>>2d9JKI6Xe|r;<=>>3d9JKI6Xe|r;<=>>4d9JKI6Xe|r;<=>>5e9JKI6Xe|r;<=>=d:KLH5Yj}q:;<==k;HMO4Zkrp9:;<9j4INN3[hs89:;9i5FOM2\ip~789:=h6G@L1]nq}6789=o7DAC0^ov|56781n0EBB?_lw{45671=1BCA?l;HMO5Zhh|9:;=i5FOM3\jjr789;:96G@LEQ:?LIIX[ojht?>;HMMTWcflpUTc>?0131?LIIX[ojhtQPos234576:2CDB]\jae{\[jt789:9=?5FOORQadb~WVey<=>?3008MJHWZlkouRQ`r12341753@EE\_kndx]\kw6789?=7DA_WBQ:?LIW_[ojhto4INRTV`gcq8=0EB\KRDSe?LIUL[OZSl`k012355=NG[NYI\Qnne23457682CD^I\JQ^cm`5678;;;7DA]DSGR[dhc89:;?k5FOSFQATYj}q:;<=??;HMQ@WCVWds<=>?1038MJTCZL[Taxv?0122447>3038MJTCZL[Taxv?0122046?5028MJTCZL[Taxv?012555=NG[NYI\Qbuy23451682CD^I\JQ^ov|56781;;7DA]DSGR[hs89:;555FOSFQATt13@EYI\|8;HMQATt6?2CD^H_}269JKWCVz:?0EB\X1e9JKWQ6Whdo<=>?e:KLVR7Xign;<=>>e:KLVR7Xign;<=>=e:KLVR7Xign;<=>;e:KLVR7Xign;<=>:e:KLVR7Xign;<=>9e:KLVR7Xign;<=>84:KLW5eOH[9Ujbi>?013g?LIT8Vkeh=>?03f8MJU7Whdo<=>?3b9JKV6Xe|r;<=>k;HMP4Zkrp9:;<i5FOR2\ip~789:8h6G@S1]nq}6789>o7DA\0^ov|5678m1BC^>Pmtz34560l2CD_=Qbuy2345>c3@EXOH[VLXARHId3d8MJUXNZGTJKj=-Hl24>OH[VLXARHId3/Jj4773@EXSK]B_GDg6(Oi:8:0EB]PFRO\BCb5%@d8o6G@S^DPIZ@Al:l0EB]PFRO\BCb4%@d:<6G@S^DPIZ@Al:'Bbh7DA\_GQN[C@c=j1BC^QISL]EBa0a3@EXSK]B_GDg2(Oi991BC^QISL]EBa0*Ag;:<6G@S^DPIZ@Al?'Bb???;HMP[CUJWOLo: Ga3028MJUXNZGTJKj9-Hl755=NGZUM_@QIFe4.Mk3d3@EXSK]B_GDg3c=NGZUM_@QIFe5.Mk773@EXSK]B_GDg3(Oi98:0EB]PFRO\BCb0%@d9==5FOR]EWHYANm=&Ec=>0:KLWZ@TEVLMh:#Fn533?LITWOYFSKHk7,Km11=NG\:h7DAZ0^ov|5678m1BCX>Pmtz34566m2CDY=Qbuy234577m2CDY=Qbuy234576m2CDY=Qbuy234575m2CDY=Qbuy234574m2CDY=Qbuy234573m2CDY=Qbuy234572l2CDY=Qbuy23454c3@E^OH]9Ufyu>?014g?LIR8Vg~t=>?06f8MJS7Wds<=>?8e9JKP6Xe|r;<=>64:KLQ4eOH]8Uecy>?0036?LIRLZ=0EBY]RDSe?LIPZ[OZS`{w012355=NG^XYI\Qbuy23457692CD[_\JQ^ov|56788::=6G@WSPFUZkrp9:;<1:KLSWTBYVg~t=>?00025>OH_[XN]Rczx123445692CD[_\JQ^ov|56788>:=6G@WSPFUZkrp9:;<<;>0:KLSWTBYVg~t=>?0333?LIPZ[OZS`{w0123746?7028MJQUZL[Taxv?012;55=NG^XYI\Qbuy2345??3@E\^_K^r59JWQE23@Y_Oo5FS^DPIZ@Al;o0E^QISL]EBa4*Agl0E^QISL]EBa4*Ag;m7D]PFRO\BCb5%@d9j6G\_GQN[C@c:$Ce?k5FS^DPIZ@Al;'Bb9h4IR]EWHYANm8&Ec;m;HQ\BVKXG|~;o6G\_GQN[Jss88i0E^QISL]Lqq65l2CXSnc_ds3456b3BON\RFFESCQGM_03EELENOCa:NSIZ^HZ;U:56B[ilgq858f3E^bah|3111:<=K\`gn~1=19:NWmhcu4=427AZfmdp?1;?89OPlkbz5=556B[ilgq8=8>3E^bah|39?:8HQojm{^H=>5CThofvQEXkdzTi|>?0137?IRnelx_ORmbp^gr456798>0@YgbesV@[fkwWl{;<=>=159OPlkbz]ITo`~Pep234556<2F_e`k}TB]`iuYby9:;<9?;;MVji`tSKVif|Rk~0123142?2038HQojm{U;S`{w0123747?6038HQojm{U;S`{w01233==K\`gn~R?6;MVji`tX99;97AZfmdp\55YXign;<=>>3:NWmhcuW8:TSl`k01235450^]bja67898:=6B[ilgq[46XWfx;<=>>2:NWmhcuW8:TSb|?0122=>JSadoyS2:NWmhcuW8;TSl`k012356=K\`gn~R?>_^cm`56788;87AZfmdp\54YXign;<=>=129OPlkbzV;To`~Pep2345733E^bah|P1^antZcv89:;=<;4LUknawY6Wjg{Sh?0122443_bos[`w789::=<;4LUknawY6Wjg{Sh?0122643_bos[`w789::?<;4LUknawY6Wjg{Sh?0122043_bos[`w789::9<:4LUknawY6Wjg{Sh?012151=K\`gn~R?Pclr\at67899:86B[ilgq[4YdeyUn}=>?0537?IRnelxT=Rmbp^gr4567=8>0@Ygbes]2[fkwWl{;<=>9159OPlkbzV;To`~Pep234516<2F_e`k}_0]`iuYby9:;<5?;;MVji`tX9Vif|Rk~0123=46_lw{4567981GXdcjr^3\ip~789::=<5CThofvZ7Xe|r;<=>=109OPlkbzV;Taxv?012054=K\`gn~R?Pmtz34563981GXdcjr^3\ip~789:>=<5CThofvZ7Xe|r;<=>9109OPlkbzV;Taxv?012454=K\`gn~R?Pmtz3456?991GXdcjr^3\jjr789;:=6B[ilgq[4Yig}:;<1:NWmhcuW8Uecy>?00025>JSadoyS:=6B[ilgq[4Yig}:;<<;>1:NWmhcuW8Uecy>?004;?IRnelxT>?0008HQojm{U9SRoad12344753E^bah|P2^]bja6789837AZfmdp\747?010;?IRnelxT8?0008HQojm{U?SRoad12344753E^bah|P4^]bja6789837AZfmdp\147?010;?IRnelxT:?0008HQojm{U=SRoad12344753E^bah|P6^]bja6789837AZfmdp\347?010;?IRnelxT4?0008HQojm{U3SRoad12344753E^bah|P8^]bja6789837AZfmdp\=47?0106?Iifl8o0@bok1^]bja6789;h7@okd^]b`a6789UFtb|PRdqvhq:668n0Aljk_^cg`5679VGscQ]erwop97768n0Aljk_^cg`5679VGscQ]erwop97668i0Aljk_^cg`5679VGscQ]erwop9499j1FmijP_`fg4566WDrd~R\jstnw8686k2GjhiQPaef3457XEqeyS_k|umv?0;7d3DkohRQnde2344YJpfxT^h}zlu>6:4eKflmUTmij?013\I}iuW[oxyaz38?3`?HgclVUjhi>?00]N|jtXZly~`y26>048IdbcWVkoh=>?1^]bja6789;<7@okd^]b`a6788UTmcj?012253=JimnTSljk0122[Zgil9:;=<94M`fg[Zgcl9:;=RQnne234476>2GjhiQPaef3457XWhdo<=>=169NeabXWhno<=>>_^cm`567:8;=7@okd^]b`a6788UTmcj?01123>KflmUTmij?013\[dhc89:8=<84M`fg[Zgcl9:;=RQnne2341703DkohRQnde2344YXign;<=:>179NeabXWhno<=>>_^cm`567=8=0Aljk_^cg`5679VUjbi>?04322>KflmUTmij?013\[dhc89:==:5Baef\[dbc89::SRoad12324713DkohRQnde2344YXign;<=9>7:Ob`aYXimn;<=?P_`lg456098<0Aljk_^cg`5679VUjbi>?0934?HgclVUjhi>?00]\ekb7892:=;5Baef\[dbc89::SRoad123=41IE]O?0CO[IE29LV75Wh[[ojhtQ`r12344763XeX^hoky^mq456799;:7\a\Rdcg}Ziu89:;=;PmPV`gcqVey<=>?1332?TiTZlkouRa}012356773XeX^hoky^mq4567:8:0]b]]e`fz[jt789:8==5^oRPfeaXg{:;<=:>0:SlWWcflpUd~=>?0433?TiTZlkouRa}01232463:3=UI5;;255]A=32>5813[K7=<0:;SC?5;3TF4:4::6\N<2<12>TF4:48:6\N<2<71>TF4=4>7_O35?78VD:16<1YM1915:PB8=823[K753=4R@O6?WGJKZ=0^LCLS1Z;?WGJKZ:S=55]ALAP4]4?3[KFO^>W379QEHET911YM@\jae{24>TFE[ojhtQbuy2345763[KF^hoky^ov|56788;:7_OBRdcg}Zkrp9:;;SCNV`gcqVg~t=>?0232?WGJZlkouRczx12341763[KF^hoky^ov|5678<;:7_OBRdcg}Zkrp9:;<;?>;SCNV`gcqVg~t=>?0678VDUBDm1YM^KC_`lg4567m2XJ_HBPaof34566l2XJ_HBPmtz3456b3[KXIAQbuy23457b3[KXIAQbuy23454b3[KXIAQbuy23455b3[KXIAQbuy2345223[KXICj4R@QFJZkrp9:;h5]ARGM[hs89:;?h5]ARGM[hs89:;8h5]ARGM[hs89:;985]ARpe<>TF[{lTB=74R@QqbZH79<1YM^|}d:PBWwtXe|r;<=>j;SCPvwYj}q:;<=?j;SCPvwYj}q:;<=TFW8:TCO[Id:PB[46Xe|r;<=>j;SC\55Yj}q:;<=?j;SC\55Yj}q:;<=TFW8Ufyu>?013g?WGX9Vg~t=>?03f8VDY6Wds<=>?3e9QEZ7Xe|r;<=>;9:PB[7YHJ\Lh7_OP2^ov|5678m1YMRRczx12346bVg~t=>?00f8VDY1Wds<=>?2e9QEZ0Xe|r;<=>TFW1UDNXHl;SC\TFW0Ufyu>?01f8VDY>Wds<=>?1e9QEZ?Xe|r;<=>=d:PB[n;SQ3pjTbn8;j7_]?tnPfb44f3[Y;xb\jf01:?WU7|fXnj?74RR2wkWca;01Y_=z`Rdd7=>TT8}eYik;6;SQ3pjTbn?30^^>{oSge3<=U[9~d^hh79:PP4qiUmo3i7_]PFRO\BCb6j2XXSK]B_GDg6g=U[VLXARHId2`8VVYA[DUMJi:j;SQ\BVKXNOn?!D`i;SQ\BVKXNOn?!D`>b:PP[CUJWOLo9h5]S^DPIZ@Al<'Bbk5]S^DPIZ@Al<'Bb!D`'Bbk5]S^DPIZ@Al>'Bb0g9QWZ@TEVLMh5#Fn3d8VVYA[DUMJi6"Io1e?WUXNZGTJKj7-Hl7b>TTWOYFSKHk8,Km1c=U[VLXARHId9/Jj3`2Xnmiw=6:Pfea4>2Xnmiw;6:Pfea2>2Xnmiw96:Pfea0?2XnjIaae028V``CggoTSl`k012354=UmoNdbhQPaof34566n2XnjIaae^]lv56788:0^hhKoog\[jt789::==5]egFlj`YXg{:;<=<>0:PfbAiimVUd~=>?0233?WcaLfdnSRa}01230462Xnj_k~8:PfbWcvAgo0^hh]ep]bja6789l0^hh]ep]bja6789;m7_kiRds\ekb789:9j6\jfSgr[dhc89:;?k5]egPfuZgil9:;<9h4RddQatYffm:;<=;j;SgeV`wXff~;<=>i;SgeV`wXff~;<=>>6:PfbPt`?2XnjX|h169QacSuo;20^hhZrfKm`>Tbn\xlSb|?012f?Wca]{mTc>?013f?Wca]{mTc>?010f?Wca]{mTc>?0115?Wct}e~=7^AZRBG6?VQ;97?0_Z2=>69PS95=97?0_Z2<>59Pakrd3ZoexRQ`r1234a=Tmg~TSb|?0122`>Ubf}UTc>?010g?Vci|VUd~=>?02f8W`hsWVey<=>?4e9PakrXWfx;<=>:d:QfjqYXg{:;<=8k;RgmpZYhz9:;<:j4Sdlw[Ziu89:;4l5\nePBIFUPZk1Xbi\NMBQTV4e<[gnYM@M\WS33g>Uil[KFO^Y]10a8WkbUIDIX[_?=c:Qm`WGJKZ]Y=>m4SofQEHET_[;?o6]adSCNGVQU9n6]adSCNGVQU>k1Xbi\NMBQTV2d<[gnYM@M\WS:a?VhcZHGH_Z\68:Qm`WIJKZ30_cj]OLAP5d=TfmXDAN]>0`9PjaTHEJY:=l5\nePLIFU6:h1Xbi\@MBQ27d=TfmXDAN]>4`9PjaTHEJY:945\nePLIFU512Yeh_ABCR1:?VhcZFGH_974SofQKHET=01Xbi\@MBQ5=>Uil[EFO^96;RlgVJKD[130_cj]OLAP=1=SZ\Oi7Y]PFRO\BCb6m2^XSK]B_GDg5(Oin2^XSK]B_GDg5(Oi9o1__RH\M^DE`4+Nf;h0X^QISL]EBa4b3]YTJ^CPFGf1)Lha3]YTJ^CPFGf1)Lh6991__RH\M^DE`7+Nf8:m7Y]PFRO\BCb5%@d9j6Z\_GQN[C@c:$Ce?k5[S^DPIZ@Al;'Bb9h4TR]EWHYANm8&Ec;i;UQ\BVKXNOn9!D`9f:VP[CUJWOLo> Ga7g9WWZ@TEVLMh?#Fn9d8PVYA[DUMJi<"Io;a?QUXNZGTCxz?c:VP[CUJWF<<\`gn~1<18:Vji`t;;7=0XdcjrUA26>Rnelx_ORmbp^gr45679:1_e`k}TB]`iuYby9:;<;129Wmhcu\JUha}Qjq123401<\`gn~R>>0:Vji`tX8VUjbi>?0132?Qojm{U;SRoad12344763]cfiQ?_^cm`5678;;:7Ygbes]3[Zgil9:;<>94ThofvZ7682^bah|P1^]bja6789;:7Ygbes]2[Zgil9:;<;UknawY6WVkeh=>?0358PlkbzV8:<6Zfmdp\6ZYffm:;<=?>;UknawY5WVkeh=>?0032?Qojm{U9SRoad123471<\`gn~R=>0:Vji`tX;VUjbi>?0132?Qojm{U8SRoad12344763]cfiQ<_^cm`5678;897Ygbes]NeabXWhno<=>?_LzlvZTb{|f0<0=2:Vji`tXEhnoSRokd1234ZKg{UYi~{ct=0=67=SadoyS@okd^]b`a6789UFtb|PRdqvhq:468i0Xdcjr^Ob`aYXimn;<=>P_`lg45679m1_e`k}_Lcg`ZYflm:;<=QPaof345669j1_e`k}_Lcg`ZYflm:;<=QPaof34576l2^bah|PM`fg[Zgcl9:;1:Vji`tXWhno<=>?<2?01g8PlkbzVkeh=>?00a8QVCUW_CXEOBJ3:T@G<=QAL]TXT^J4:TSEwe<^YKySca{0122`>PWI{Uecy>?003a?SocZHGH_Z\>c:Tj`WGJKZ]Y==l4VhfQEHET_[8i7[gkR@O@WRT4j2\bh_OBCRUQ0g=QamXJAN]XR4`8RlbUIDIX[_8m;WkgVDKD[^XQT4;4>7Z]33?68SVY7l2]XS=QPaof3456b3^YT?0d9TWZ4XWhdo<=>?159TWZ5c3^YT?RQnne2345c<_ZU8SRoad123447a3^YTAljk_^cg`5678VGscQ]erwop9799o1\_RCnde]\eab789:TAua}_Sgpqir;:7;m7Z]PM`fg[Zgcl9:;P_`lg45679h1\_RCnde]\eab789:TSl`k012354?<_ZUFmijP_`fg4567WVkeh=>?10c8SVYJimnTSljk0123[Zgil9:;=3k4WR]\eab789:7?384W`psW@7c3QCGECV"XE@#4+7'[]_I,= > @Q@ML2^T\VMEH:5WSU]UGF0^h494:?6V|tc9\eab789:7=3m4_`fg456648:5o6Qnde2344:697h0Sljk0122878e3Vkoh=>?1=1=f>Yflm:;<<2;>c9\eab789;793l4_`fg45664?4i7Rokd1235919j2Ujhi>?00>;:g=Ximn;<=?39?18gkr53jy87k}m4:klfh2;oCGkprKM9Ud~=>?0332?kGCg|~GI=Q`r12346?5aAR58jDUXNZHh7cO\_GQA[LDRN>1eM^QFOCf8jDUXAFHTCO[IE`9mEVYNGKe~x;5aARmvp<=iIZe~xR@?a:lBWjssWG::i6`NSnww[kis89::j6`NSnww[kis89::=<>4n@QlqqYig}:;<>2g9mEVir|Vddx=>?12d8jDUh}}Uecy>?006e?kGTg|~Tbbz?0136b>hF[fSca{01222c=iIZe~xR``t12352`a3gKXcxzPnnv3457>?2dJcxz@E99mEjssGL;h7cO`uuMF[JDRN<1eNH\]9:lAAWTXNZHn7cLJRS]EWGYHJ\L:=6`MESP\BVDXWfx;<=>>2:lAAWTXNZHTSb|?012254=iJLXYSK]M_^mq45669;1eNH\]_GQA[Ziu89::=<<4nCGQVZ@TJVUd~=>?1331?kDBZ[UM_OQPos23445>3gHN^_QFOCg8jGCUZVCDNRGMUG58jGAXAFH<7cL]_HMAg>hEZVCDNRAMUGd8jGTXAFHTaxv?01224>hEZVCDNRczx12344773gHYSDAM_lw{4567:8:0bO\PIN@\ip~789:8==5aBS]JKGYj}q:;<=:>0:lAVZOHJVg~t=>?0433?kDUW@EIS`{w0123246hDIZUfyu>?016g?kEF[Vg~t=>?04f8jFGTWds<=>?6e9mGDUXe|r;<=>8d:l@EVYj}q:;<=6k;oABWZkrp9:;<484nEVBGNg?f:lGPDELWhdo<=>?1g9m@QGDCVkeh=>?03d8jARFKBUjbi>?011f?kBSIJATbbz?013e?kBSIJATbbz?01322>hCagFNn6`KioNF[JDRNm1eHd`CE^mq4567m2dOecBJ_np34566>2dOecAJ7:lGmkIB9k1eHd`@E^MAQCchCg|~DI4nEmvpJCXign;<=?>119m@jssGLUjbi>?00024>hCg|~DIRoad123563l5aIQN1[LDRN?1eE]B=r59mHAU?3gFO_RH\Be9mHAUXNZHTEO[I8:lO@VYNGKo0bAJ\_HMA[JDRNLh0bAJ\_HMAkprd3gFO_Road1236a=iDMYTmcj?0102`>hKLZUjbi>?030g?kJC[Vkeh=>?22f8jIBTWhdo<=>=4e9mHAUXign;<=<:d:lO@VYffm:;:j4nMFP[dhc89:94i5aLEQ\ekb78982o6`CDR]mkq6788n0bAJ\_omw45669=1e@ZK:;oNTA4ehK_LUjbi>?013g?kJPMVkeh=>?03f8jIQBWhdo<=>?329mK@>1:lLr`tkipUTmcj?01226>hH~lxgmtQPaof34566991eC{k}l`{\[jt789::=6`@vdpoe|YXg{:;<=?>1:lLr`tkipUTc>?01025>hH~lxgmtQPos23455692dDzh|cax]\kw6789>:=6`@vdpoe|YXg{:;<=;8;oPBIIQBk2dYM@BXE^MAQC11e^BCLS0`8jWIJKZUDNXH9;oPLIRC03gXDAZK>b:lQKHQBWFH^J95aUEQ;?kSC[VLXNi5aUEQ\BVDXAK_M46`ZDR]JKGc?1e9mQAUXign;<=?>d:lV@VYffm:;<<j4nTFP[dhc89::8i5aUEQ\ekb789;>h6`ZDR]bja6788n0bXJ\_`lg45660m1eYI]Paof3457>k2d^H^Qaou2344b7c[XE0a8jPQBWhdo<=>?d:lVS@Yffm:;<=?k;oWTAZgil9:;hQEHUTc>?016g?kPJIVUd~=>?04f8jSKFWVey<=>?6e9mRHGXWfx;<=>8d:lUIDYXg{:;<=6l;oTNEZgil9:;C<528qX4;466g4k5b?3;0b>k7:79'7`0=;l;0q^6::2gb>73e289?n<>i:044ag=c;l:1<7?51zQ;2?5bi38>n7?<4c33b?71?l=0zY=;8;295?7=;?op_5853dc960d=9:>i==h5175f3>"4j>0:o;5+24a90a>de|@:h>7)=7c;1f4>\0?39p>7;56;'5f3=;>20'97<:188m2d=831d8>k50;9j053=831d8nm50;9j0<`=831d84l50;9l3f<722e?4o4?::k761<722e?4:4?::m774<722e?>:4?::k:5<5<5<5<>i3880;66g;6183>>o3:?0;66a;9983>>i3<10;66a;6383>>i3j>0;66a;4b83>>i3=<0;66g;d483>>i39j0;66a=7283>!42m38<>6`=5e83?>i5?80;6)<:e;046>h5=m0:76a=8183>!42m38<>6`=5e81?>i5?o0;6)<:e;046>h5=m0876a=7d83>!42m38<>6`=5e87?>i5?m0;6)<:e;046>h5=m0>76a=7b83>!42m38<>6`=5e85?>i5?k0;6)<:e;046>h5=m0<76a=7783>!42m38<>6`=5e8;?>i5?<0;6)<:e;046>h5=m0276a=7583>!42m38<>6`=5e8b?>i5?90;6)<:e;046>h5=m0i76g;b;29 73b2=k0b?;k:198m1?=83.99h4;a:l11a<632c?47>5$37f>1go3=3:1(?;j:5c8j73c2=10e9:50;&11`<3i2d99i4:;:k77?6=,;?n69o4n37g>3=h5=m0<76g;1;29 73b2=k0b?;k:998m16=83.99h4;a:l11a<>32c8j7>5$37f>1go4l3:1(?;j:5c8j73c2j10e>m50;&11`<3i2d99i4k;:k67?6=,;?n69o4n37g>`=h5=m0m76g:1;29 73b2=k0b?;k:028?l37290/>8k54`9m60b=9810e9h50;&11`<3i2d99i4>2:9j0`<72-8>i7:n;o06`?7432c?h7>5$37f>1g5<#:h5=m0::65f35a94?"5=l088o5a24f94>=n;=k1<7*=5d800g=i:=e;l>1<7?50;2x 6>d2mk0D>k<;I1a1>ic13:17pl4<729q/?5m53c48L6c43A9i96a=5`83>>{e;o=1<7?k:5g927}O;k?0(>6l:958^21=9r91q)?l5;14<>o?:3:17b87:188k4632900e9j;:188k7>52900c?k7:188k`2=831b=:4?:%06a?713g8>h7>4;h36>5<#:=n9=0;6)<:e;35?k42l3807d?=:18'60c=9?1e>8j53:9j55<72-8>i7?9;o06`?2<3`l1<7*=5d822>h5=m0>76gj:18'60c=9?1e>8j56:9j`?6=,;?n6<84n37g>2=2d99i46;:kb>5<#:=n13:1(?;j:048j73c2k10e54?:%06a?713g8>h7m4;h594?"5=l0::6`=5e8g?>o6n3:1(?;j:048j73c2l10e2d99i4i;:`0a1<7280;6=u+39a9`d=O;l90D>l:;nf:>5<55;294~"40j0o:6F42900e:650;9jt$2:`>`><@:o87E=m5:kgf?6=3f8>87>5;|`1<0<72;0;6=u+39a9a==O;l90D>l:;hfa>5<N4m:1C?o;4iea94?=nlm0;66a=5583>>{e:1=1<7=50;2x 6>d2:;0D>k<;I1a1>ock3:17djk:188k7332900qo:70;297?6=8r.84n4=569K7`5<@:h>7djl:188mab=831d>8:50;9~f7cb29096=4?{%1;g?c?3A9n?6Ft$2:`>`><@:o87E=m5:kgf?6=3f8>87>5;|`1b5<72;0;6=u+39a9a==O;l90D>l:;hfa>5<N4m:1C?o;4iea94?=nlm0;66gke;29?lba2900c?;;:188ygc229026=4?{%1;g?513A9n?6F5;hff>5<>ob93:17dk=:188m`5=831d>8:50;9~f6de290>6=4?{%1;g?543A9n?6F5;hff>5<6<729q/?5m52458L6c43A9i96gkc;29?lbc2900c?;;:188yg5en3:1>7>50z&05G3c78mad=831d>8:50;9~f6e729096=4?{%1;g?c?3A9n?6Ft$2:`>`><@:o87E=m5:kgf?6=3f8>87>5;|`0g7<72:0;6=u+39a974=O;l90D>l:;hf`>5<6<729q/?5m5309K7`5<@:h>7djl:188mab=831d>8:50;9~f6dd290?6=4?{%1;g?553A9n?6F5;hff>5<N4m:1C?o;4ie`94?=h:<>1<75rb3gb>5<5290;w)=7c;g;?M5b;2B8n85fdc83>>i5==0;66sm2d`94?4=83:p(>6l:d:8L6c43A9i96gkb;29?j42<3:17pl=eb83>7<729q/?5m5e99K7`5<@:h>7djm:188k7332900qo7djl:188mab=831d>8:50;9~f7`429086=4?{%1;g?563A9n?6F5;n060?6=3th9j94?:583>5}#;1i1??5G3d18L6d23`nh6=44ief94?=nll0;66a=5583>>{e;?31<7;50;2x 6>d2:90D>k<;I1a1>ock3:17djk:188mac=831bhk4?::m111<722wi?:>50;194?6|,:2h6>?4H2g0?M5e=2coo7>5;hfg>5<N4m:1C?o;4ie`94?=h:<>1<75rb251>5<5290;w)=7c;g;?M5b;2B8n85fdc83>>i5==0;66sm36194?4=83:p(>6l:d:8L6c43A9i96gkb;29?j42<3:17pl<7583>7<729q/?5m5e99K7`5<@:h>7djm:188k7332900qo=85;296?6=8r.84n4j8:J0a6=O;k?0eil50;9l602=831vn>99:181>5<7s-93o7k7;I1f7>N4j<1bho4?::m111<722wi?:950;094?6|,:2h6h64H2g0?M5e=2con7>5;n060?6=3th8:l4?:383>5}#;1i1i55G3d18L6d23`ni6=44o377>5<53;294~"40j099:5G3d18L6d23`nh6=44ief94?=h:<>1<75rb24`>5>ocl3:17djj:188ma`=831bi=4?::kf5?6=3`o96=44o377>5<53;294~"40j08=6F>i5==0;66sm37g94?5=83:p(>6l:238L6c43A9i96gkc;29?lbc2900c?;;:188yg51n3:187>50z&05G3c78mae=831bhi4?::kga?6=3f8>87>5;|`7<7<72:0;6=u+39a974=O;l90D>l:;hf`>5<>{e<1>1<7<50;2x 6>d2l20D>k<;I1a1>ocj3:17b<:4;29?xd30<0;6>4?:1y'7=e=;81C?h=4H2`6?lbd2900eij50;9l602=831vn969:180>5<7s-93o7<:7:J0a6=O;k?0eim50;9j`a<722e9994?::a70>=8331<7>t$2:`>60<@:o87E=m5:kgg?6=3`no6=44ieg94?=nlo0;66gj0;29?lc62900eh<50;9ja6<722e9994?::a736=8391<7>t$2:`>7303A9n?6F5;n060?6=3th8:<4?:483>5}#;1i1?>5G3d18L6d23`nh6=44ief94?=nll0;66gkf;29?j42<3:17pl<6383>7<729q/?5m5e99K7`5<@:h>7djm:188k7332900qo=93;296?6=8r.84n4j8:J0a6=O;k?0eil50;9l602=831vn>8;:181>5<7s-93o7k7;I1f7>N4j<1bho4?::m111<722wi?;;50;094?6|,:2h6h64H2g0?M5e=2con7>5;n060?6=3th8:;4?:383>5}#;1i1i55G3d18L6d23`ni6=44o377>5<52;294~"40j0n46F>{e;d2:90D>k<;I1a1>ock3:17djk:188mac=831bhk4?::m111<722wi?8l50;194?6|,:2h6>?4H2g0?M5e=2coo7>5;hfg>5<l:;hf`>5<50z&05G3c78mae=831bhi4?::m111<722wi?8h50;194?6|,:2h6>?4H2g0?M5e=2coo7>5;hfg>5<l:;hf`>5<>{e99h1<7;50;2x 6>d2:90D>k<;I1a1>ock3:17djk:188mac=831bhk4?::m111<722wi==m50;194?6|,:2h6>?4H2g0?M5e=2coo7>5;hfg>5<l:;hf`>5<>{e99l1<7=50;2x 6>d2;?<7E=j3:J0f0=nlj0;66gkd;29?j42<3:17pl>1183>6<729q/?5m5309K7`5<@:h>7djl:188mab=831d>8:50;9~f47629086=4?{%1;g?563A9n?6F5;n060?6=3th:<;4?:283>5}#;1i1?<5G3d18L6d23`nh6=44ief94?=h:<>1<75rb024>5<4290;w)=7c;063>N4m:1C?o;4iea94?=nlm0;66a=5583>>{e9921<7=50;2x 6>d2:;0D>k<;I1a1>ock3:17djk:188k7332900qo??9;297?6=8r.84n4=569K7`5<@:h>7djl:188mab=831d>8:50;9~w2<72=qU;63<688gg>;4>80oo63<638gf>{t03:18vP7;<15=?bc349==7jk;<157?be3ty26=4;{_;896152mh01>8>:eg896032mh0q~o50;7xZd=:;ko1hn5237;9``=:;<21hn5211f9`f=z{k0;6;uQb:?0f`53z\`?850;3ni70=:8;fg?xuc290=wSj4=d79`f=:;kh1hi523629`f=:;<21hh5211`9`f=z{l0;6;uQe:?f1?bc349in7jl;<144?bc349>47ji;<33f?bc3tym6=49{_d89`3=ll16?ol5dd9>722=lk16?865e09>55d=ll1v<>50;4xZ46<5l?1hk523c`9`c=:;>?1ho5234:9a5=:99h1hk5rs0094??|V8801?kj:e`89`3=m916?oj5db9>6`g=lk16?:85dc9>70>=m;16==;5de9>55e=lj1v<:50;;xZ42<5;om6il4=d79a4=:;kn1hi522d`9`g=:;>=1ho5234:9a6=:99?1hn5211a9`a=z{8?1<77t^07897>32mh01?h>:ea89`3=m;16?oh5dc9>6c4=lj16?;j5db9>730=lk16=ad<5;l;6il4=d79a6=:;j:1ho522da9`g=:;?k1ho523759`g=:99=1hn5rs0g94?5|V8o01>8m:ea896072mi0q~?i:180[7a349<=7jm;<154?bc3ty54z\47>;3090oh63;838gg>;30:0on6s|8383>7}Y0;168:k5799~w`2=838pRh:4=d7960252z\241=:9931>8:4}r331?6=:r7:<84=559>55`=lj1v<>9:181877>38>863>098g`>{t99=1<773334;;57jl;|q24=<72;q6==652468946>2mn0q~??a;296~;68h09995211d9`a=z{8:i6=4={<33f?42<27:<54kc:p55e=838p1<>l:377?877>3nh7p}>0e83>7}:99n1>8:4=033>ae52z?24`<5==16=<>5de9~w46a2909w0??f;060>;6980oh6s|10294?4|58;;6?;;;<332?bc3ty:=<4?:3y>547=:<>01<>8:ef8yv74i3:1>vP>3`9>7ge=:<>0q~<:1;296~;4m=0o563;7d8;7>{t:181<786s|29194?5|V;2870<76;060>;50>0oo6s|29694?4|5;2?6?;;;<0;2?bd3ty9484?:3y>6=3=:<>01?69:ef8yv4b03:1>vP=e99>6c2=:<>0q~7}::li1>8:4=3d0>ab53z\1aa=::o;1>8:4=5:1>ab52z?1a`<5==16>k?5de9~w7ca2909w0;5n80oi6s|2g294?4|5;l;6?;;;<0e5?ba3ty9j?4?:3y>6c4=:<>01?h;:ef8yv4a;3:1>v3=f28111=::o>1hh5rs274>5<5sW9>;63<5g8111=z{:?36=4={<16;6:377?852m3no7p}<5`83>7}:;8:4=27`>abn7>52z?01g<5==16?8j5dd9~w63d2909w0=:c;060>;4=m0oo6s|34f94?4|5:?o6?;;;<16a?bd3ty89h4?:3y>70c=:<>01>;i:ef8yv5183:1>v3<618111=:;5<5s49==7<:4:?01f:0;6?u23719602<5:?j6ij4}r150?6=:r78:94=559>70g=ll1v>8::181851=38>863<5`8gb>{t;?<1<7733349>n7jl;|q022<72;q6?;952468963e2mn0q~=98;296~X4>116?;h52468yv5113:1>v3<688111=:;?l1hi5rs24b>5<5s49=m7<:4:?02fj0;6?u237a9602<5:73c=lm1v>8j:181851m38>863<6g8gg>{t;>:1<7733349=i7jl;|q034<72;q6?:?52468960e2mn0q~=82;296~;4?;09995237a9`f=z{:=86=4={<147?42<278:n4kd:p722=838p1>9;:377?851k3nn7p}<7483>7}:;>?1>8:4=24`>a`52z?033<5==16?;m5e09~w6102909w0=87;060>;4>j0n<6s|3c`94?4|5:hi6?;;;<1ag?bc3ty8ni4?:3y>7gb=:<>01>m;:ea8yv5em3:1>v35<5s49ij7<:4:?0g750;0x96e72;??70=l3;f`?xu4k80;6?u23b39602<5:i86ij4}r1`6?6=:r78o?4=559>7f2=lm1v>m<:18185d;38>863{t;j>1<7733349io7jl;|q73`<72=q68:k5272897>02mn01?k6:e`8946f2mh0q~:8f;296~;3?l03m63;818111=z{=2:6=4={<64a?>634>3:7<:4:p0=4=838p196=:377?82?>3nh7p};8283>7}:<191>8:4=5:6>ae387>52z?7<1<5==1685;5de9~w1>22909w0:75;060>;30?0oh6s|48194?5|V=3870:70;f`?82?<3ni7p};d583>1}Y01>m>:e`8963>2mh01<>j:e`8yxd3l>0;6>;59e8aa~N4j<1/?5m52938^21=:r8187s+1b7972>>i3?>0;66g;5g83>>o4;?0;66g;d583>>o31l0;66g=8383>>i3110;66g=e983>>i5010;66a;7083>>i5?:0;6)<:e;046>h5=m0;76a=7083>!42m38<>6`=5e82?>i5090;6)<:e;046>h5=m0976a=7g83>!42m38<>6`=5e80?>i5?l0;6)<:e;046>h5=m0?76a=7e83>!42m38<>6`=5e86?>i5?j0;6)<:e;046>h5=m0=76a=7c83>!42m38<>6`=5e84?>i5??0;6)<:e;046>h5=m0376a=7483>!42m38<>6`=5e8:?>i5?=0;6)<:e;046>h5=m0j76a=7183>!42m38<>6`=5e8a?>o6<3:1(?;j:018j73c2910e<<50;&11`<6;2d99i4>;:k24?6=,;?n6<=4n37g>7=5<#:5a24f91>=nk3:1(?;j:018j73c2?10eo4?:%06a?743g8>h794;hc94?"5=l0:?6`=5e8;?>o>290/>8k5129m60b=121b47>5$37f>45!42m3;87c<:d;`8?l7a290/>8k5129m60b=k21b=h4?:%06a?743g8>h7j4;h3g>5<#:5a24f9a>=n9j0;6)<:e;30?k42l3l07d?m:18'60c=9:1e>8j51198m4g=83.99h4>3:l11a<6921b=44?:%06a?743g8>h7?=;:k245<3`;:6=4+24g956=i:5<6290;w)=7c;fb?M5b;2B8n85`d883>>{e;;i1<7;50;2x 6>d2m<0D>k<;I1a1>o?93:17d6<:188m2>=831b4l4?::m125<722wi>5650;194?6|,:2h6i:4H2g0?M5e=2c<47>5;h:b>5<N4m:1C?o;4i6:94?=n0h0;66a=6183>>{e0k0;6>4?:1y'7=e=l=1C?h=4H2`6?l1?2900e5o50;9l636=831vn:850;194?6|,:2h6i:4H2g0?M5e=2c<47>5;h:b>5<N4m:1C?o;4$d594>o003:17d6n:188k7072900qo5<53;294~"40j0o86Ff2900c?8?:188yg4f83:1?7>50z&05G3c78 `1=82c<47>5;h:b>5<N4m:1C?o;4i6:94?=n0h0;66a=6183>>{e;991<7=50;2x 6>d2m>0D>k<;I1a1>"b?3:0e:650;9jt$2:`>a2<@:o87E=m5:&f3?621<75f8`83>>i5>90;66sm31794?5=83:p(>6l:e68L6c43A9i96*j7;28m2>=831b4l4?::m125<722wi?=850;194?6|,:2h6i:4H2g0?M5e=2.n;7>4i6:94?=n0h0;66a=6183>>{e;931<7=50;2x 6>d2m>0D>k<;I1a1>"b?3:0e:650;9jt$2:`>a2<@:o87E=m5:&f3?621<75f8`83>>i5>90;66sm30194?5=83:p(>6l:e68L6c43A9i96*j7;28m2>=831b4l4?::m125<722wi?<650;194?6|,:2h6i:4H2g0?M5e=2.n;7?4i6:94?=n0h0;66a=6183>>{e<031<7<50;2x 6>d2l20D>k<;I1a1>ocj3:17b<:4;29?xd3j80;6?4?:1y'7=e=m11C?h=4H2`6?lbe2900c?;;:188yg20:3:1>7>50z&05G3c78mad=831d>8:50;9~f62729096=4?{%1;g?c?3A9n?6Ft$2:`>67<@:o87E=m5:kgg?6=3`no6=44o377>5<>{e;921<7=50;2x 6>d2:;0D>k<;I1a1>ock3:17djk:188k7332900qo5<7s-93o7<:7:J0a6=O;k?0eim50;9j`a<722e9994?::a77c=8391<7>t$2:`>7303A9n?6F5;n060?6=3th9584?:283>5}#;1i1?<5G3d18L6d23`nh6=44ief94?=h:<>1<75rb3;5>5<5290;w)=7c;g;?M5b;2B8n85fdc83>>i5==0;66sm28594?5=83:p(>6l:238L6c43A9i96gkc;29?lbc2900c?;;:188yg4>03:1?7>50z&01C?h=4H2`6?lbd2900eij50;9l602=831vn?o::180>5<7s-93o7=>;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`1e3<72:0;6=u+39a9601<@:o87E=m5:kgg?6=3`no6=44o377>5<53;294~"40j099:5G3d18L6d23`nh6=44ief94?=h:<>1<75rb3c;>5<4290;w)=7c;12?M5b;2B8n85fdb83>>ocl3:17b<:4;29?xd5i00;6>4?:1y'7=e=:<=0D>k<;I1a1>ock3:17djk:188k7332900qo<6b;296?6=8r.84n4j8:J0a6=O;k?0eil50;9l602=831vn?7l:181>5<7s-93o7k7;I1f7>N4j<1bho4?::m111<722wi>4j50;194?6|,:2h6>?4H2g0?M5e=2coo7>5;hfg>5<N4m:1C?o;4iea94?=nlm0;66a=5583>>{e:0l1<7:50;2x 6>d2;?37E=j3:J0f0=nlj0;66gkd;29?lbb2900c?;;:188yg4?i3:1?7>50z&01C?h=4H2`6?lbd2900eij50;9l602=831vn?6m:181>5<7s-93o7k7;I1f7>N4j<1bho4?::m111<722wi>5m50;094?6|,:2h6h64H2g0?M5e=2con7>5;n060?6=3th94i4?:283>5}#;1i1?<5G3d18L6d23`nh6=44ief94?=h:<>1<75rb3:f>5<4290;w)=7c;12?M5b;2B8n85fdb83>>ocl3:17b<:4;29?xd50o0;6>4?:1y'7=e=:<=0D>k<;I1a1>ock3:17djk:188k7332900qo<60;290?6=8r.84n4=599K7`5<@:h>7djl:188mab=831bhh4?::m111<722wi8>:50;194?6|,:2h6?;8;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`770<72:0;6=u+39a974=O;l90D>l:;hf`>5<>{e<:=1<7=50;2x 6>d2;?<7E=j3:J0f0=nlj0;66gkd;29?j42<3:17pl;3983>7<729q/?5m5e99K7`5<@:h>7djm:188k7332900qo:<9;297?6=8r.84n4=569K7`5<@:h>7djl:188mab=831d>8:50;9~f15f29086=4?{%1;g?563A9n?6F5;n060?6=3th??o4?:283>5}#;1i1?<5G3d18L6d23`nh6=44ief94?=h:<>1<75rb51`>5<2290;w)=7c;06=>N4m:1C?o;4iea94?=nlm0;66gke;29?lba2900c?;;:188yg2e;3:1>7>50z&05G3c78mad=831d>8:50;9~f1d329096=4?{%1;g?c?3A9n?6F1<7>t$2:`>64<@:o87E=m5:kgg?6=3`no6=44ieg94?=h:<>1<75rb557>5<5290;w)=7c;g;?M5b;2B8n85fdc83>>i5==0;66sm46794?4=83:p(>6l:d:8L6c43A9i96gkb;29?j42<3:17pl;7783>1<729q/?5m5339K7`5<@:h>7djl:188mab=831bhh4?::m111<722wi>4=50;694?6|,:2h6><4H2g0?M5e=2coo7>5;hfg>5<7<729q/?5m5e99K7`5<@:h>7djm:188k7332900qot$2:`>7303A9n?6F5;n060?6=3th9n44?:283>5}#;1i1?<5G3d18L6d23`nh6=44ief94?=h:<>1<75rb3`b>5<5290;w)=7c;g;?M5b;2B8n85fdc83>>i5==0;66sm2c`94?5=83:p(>6l:238L6c43A9i96gkc;29?lbc2900c?;;:188yg4ek3:1?7>50z&01C?h=4H2`6?lbd2900eij50;9l602=831vn?m9:180>5<7s-93o7=>;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`1g2<72;0;6=u+39a9a==O;l90D>l:;hfa>5<N4m:1C?o;4iea94?=nlm0;66a=5583>>{e:j31<7=50;2x 6>d2;?<7E=j3:J0f0=nlj0;66gkd;29?j42<3:17pl=cb83>6<729q/?5m5309K7`5<@:h>7djl:188mab=831d>8:50;9~f7ec29096=4?{%1;g?c?3A9n?6Ft$2:`>67<@:o87E=m5:kgg?6=3`no6=44o377>5<53;294~"40j099:5G3d18L6d23`nh6=44ief94?=h:<>1<75rb3f1>5<4290;w)=7c;12?M5b;2B8n85fdb83>>ocl3:17b<:4;29?xd5l:0;6?4?:1y'7=e=m11C?h=4H2`6?lbe2900c?;;:188yg4c<3:1?7>50z&05G3c78mae=831bhi4?::m111<722wi>i;50;194?6|,:2h6?;8;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`1`=<72:0;6=u+39a974=O;l90D>l:;hf`>5<>{e:mk1<7=50;2x 6>d2:;0D>k<;I1a1>ock3:17djk:188k7332900qo7djl:188mab=831d>8:50;9~f7bb29086=4?{%1;g?563A9n?6F5;n060?6=3th9hk4?:383>5}#;1i1i55G3d18L6d23`ni6=44o377>5<53;294~"40j08=6F>i5==0;66sm2d394?5=83:p(>6l:374?M5b;2B8n85fdb83>>ocl3:17b<:4;29?xd5m=0;6>4?:1y'7=e=;81C?h=4H2`6?lbd2900eij50;9l602=831vn?k::181>5<7s-93o7k7;I1f7>N4j<1bho4?::m111<722wi>h850;194?6|,:2h6>?4H2g0?M5e=2coo7>5;hfg>5<l:;hf`>5<7<729q/?5m5e99K7`5<@:h>7djm:188k7332900qot$2:`>7303A9n?6F5;n060?6=3th9n?4?:283>5}#;1i1?<5G3d18L6d23`nh6=44ief94?=h:<>1<75rb3`0>5<5290;w)=7c;g;?M5b;2B8n85fdc83>>i5==0;66sm2c694?5=83:p(>6l:238L6c43A9i96gkc;29?lbc2900c?;;:188yg4e=3:1?7>50z&01C?h=4H2`6?lbd2900eij50;9l602=831vn?98:180>5<7s-93o7=>;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`13=<72;0;6=u+39a9a==O;l90D>l:;hfa>5<N4m:1C?o;4iea94?=nlm0;66a=5583>>{e:>k1<7=50;2x 6>d2;?<7E=j3:J0f0=nlj0;66gkd;29?j42<3:17pl<1`83>7<729q/?5m5e99K7`5<@:h>7djm:188k7332900qo=>c;296?6=8r.84n4j8:J0a6=O;k?0eil50;9l602=831vn>?k:181>5<7s-93o7k7;I1f7>N4j<1bho4?::m111<722wi?5;n060?6=3th8=k4?:383>5}#;1i1i55G3d18L6d23`ni6=44o377>5<53;294~"40j08=6F>i5==0;66sm33394?2=83:p(>6l:37;?M5b;2B8n85fdb83>>ocl3:17djj:188k7332900qo==2;297?6=8r.84n4=569K7`5<@:h>7djl:188mab=831d>8:50;9~f644290?6=4?{%1;g?553A9n?6F5;hff>5<l:;hf`>5<6=4<:183!5?k39:7E=j3:J0f0=nlj0;66gkd;29?j42<3:17pl<1783>6<729q/?5m5309K7`5<@:h>7djl:188mab=831d>8:50;9~f67029086=4?{%1;g?42?2B8i>5G3c78mae=831bhi4?::m111<722wi?<>50;794?6|,:2h6>=4H2g0?M5e=2coo7>5;hfg>5<>i5==0;66sm30394?4=83:p(>6l:d:8L6c43A9i96gkb;29?j42<3:17pl<1383>6<729q/?5m5309K7`5<@:h>7djl:188mab=831d>8:50;9~f66e29096=4?{%1;g?c?3A9n?6Ft$2:`>67<@:o87E=m5:kgg?6=3`no6=44o377>5<53;294~"40j099:5G3d18L6d23`nh6=44ief94?=h:<>1<75rb213>5<2290;w)=7c;06=>N4m:1C?o;4iea94?=nlm0;66gke;29?lba2900c?;;:188yg5493:1>7>50z&05G3c78mad=831d>8:50;9~f65529096=4?{%1;g?c?3A9n?6Ft$2:`>67<@:o87E=m5:kgg?6=3`no6=44o377>5<53;294~"40j099:5G3d18L6d23`nh6=44ief94?=h:<>1<75rb55b>5<4290;w)=7c;12?M5b;2B8n85fdb83>>ocl3:17b<:4;29?xd3?k0;6?4?:1y'7=e=m11C?h=4H2`6?lbe2900c?;;:188yg20k3:1>7>50z&05G3c78mad=831d>8:50;9~f11c290?6=4?{%1;g?4202B8i>5G3c78mae=831bhi4?::kga?6=3f8>87>5;|`7l:;hfa>5<N4m:1C?o;4ie`94?=h:<>1<75rb5:f>5<5290;w)=7c;g;?M5b;2B8n85fdc83>>i5==0;66sm49d94?4=83:p(>6l:d:8L6c43A9i96gkb;29?j42<3:17pl;9183>1<729q/?5m524:8L6c43A9i96gkc;29?lbc2900eik50;9l602=831vn97>:187>5<7s-93o7==;I1f7>N4j<1bhn4?::kg`?6=3`nn6=44o377>5<52;294~"40j0n46F>{e:oi1<7<50;2x 6>d2l20D>k<;I1a1>ocj3:17b<:4;29?xd5nm0;6>4?:1y'7=e=;81C?h=4H2`6?lbd2900eij50;9l602=831vn?hj:181>5<7s-93o7k7;I1f7>N4j<1bho4?::m111<722wi>kh50;094?6|,:2h6h64H2g0?M5e=2con7>5;n060?6=3th8<=4?:383>5}#;1i1i55G3d18L6d23`ni6=44o377>5<54;294~"40j09955G3d18L6d23`nh6=44ief94?=nll0;66a=5583>>{e;981<7=50;2x 6>d2:;0D>k<;I1a1>ock3:17djk:188k7332900qo=8391<7>t$2:`>67<@:o87E=m5:kgg?6=3`no6=44o377>5<53;294~"40j099:5G3d18L6d23`nh6=44ief94?=h:<>1<75rb3db>5<4290;w)=7c;12?M5b;2B8n85fdb83>>ocl3:17b<:4;29?xu02909wS94=3`a>ae6f>=lj1v44?:3y]=>;5kl0oo6s|a;296~Xf348o87jl;|qa>5<5sWh01?jn:ea8yve=838pRn522d49`f=z{m0;6?uQd:?1g452z\f?84e<3nh7p}i:181[`<5;o;6im4}r33>5<5sW;;70<89;f`?xu693:1>vP>1:?1ef=1hi5rs0694?4|V8>01?jj:ef8yv7?2909wS?7;<0a=?bc3ty:57>52z\2=>;5k?0oh6s|1`83>7}Y9h16>nm5de9~w4d=838pRabd;297~X6l279nk4kd:?1f71hi5rs0d94?4|V8l01?oj:ea8yv142903wS9<;<55>=g<5;386im4=23g>ad<5:;;6im4=55`>ad<5;li6il4=3dg>ae70734>7>513y]<7=:;;i1;55229:93==:<>=1;5528c84<>;0>3=370<61;5;?84f;3=370<69;5;?857;3=370=?4;5;?857=3=370=?6;5;?85713=370=?e;5;?856;3=370=>8;5;?84f:3ni7p}7b;291~;?j38=<63<098gg>;3;<0oo63<0c8gf>;3?h0oo6s|8b83>7}:0k03m637d;060>{t:<;1<7a?<5:8h65=4}r044?6=:rT9;=522`d960252z\134=::k?1>8:4}r040?6=:rT9;9522ca960252z\130=::j81>8:4}r042?6=;rT9;;5Q2618971f2;??7p}=7683>7}::>=1>8:4=35b>ae52z?13=<5==16>:75de9~w71>2909w0<89;060>;5?h0oh6s|26`94?4|V;=i70{t:>i1<786s|26f94?4|V;=o70{t:>o1<786s|26d94?4|V;=m70{t:1:1<786s|29094?3|V;2970<63;fg?856k3ni70=>0;ff?82?k3ni7p}=8283>6}Y:1901?h9:e`897`a2mh0q~<78;296~X50116>5652728yv4?13:1>v3=898;e>;51909995rs3:b>5<5s483m7<:4:?1=55l50;0x97>e2;??70<7d;fg?xu50j0;6?u229a9602<5;2n6ij4}r0;`?6=:r794i4=559>6=`=lm1v?6j:18184?m38>863=918ga>{t:1l1<77333482<7jl;|q1=4<7283p1?7>:343?82e=3no70:86;fg?84fk3nh703nh70u22879`f=::h<1hn52281960252z?1=4;51>0oo6s|28494?4|5;3=6?;;;<0:3?bc3ty95:4?:3y>6<1=:<>01?77:ef8yv4>13:1:v3=988125=::091hh5230g9`g=:;8:1hk5249f9`g=::oi1ho5rs3;b>5<5s482576n;<0:b?42<2wx>4l50;0x97?e2;??70<6d;fg?xu51j0;6?u228a9602<5;3n6ij4}r0:`?6=:r795i4=559>6<`=lj1v?7j:18184>m38>863=9g8ga>{t:h:1<770734>3j7jm;|q1e4<72:q6>l>5799>067=?116>l<52468yv4f;3:1>v3=a28125=:<1o1ho5rs3c7>5<5s48j?76n;<0b=?42<2wx>l;50;0x97g22;??706d?=lj1v?o7:18184f038>863=a88g`>{t:hh1<7733348jj7jl;|q1ef<72;q6>lj5246897gb2mn0q~7}::k>1>8:4=3`6>ab52z?1f<<5==16>om5db9~w7d>2909w0;5jk0oh6s|2cc94?4|5;hi6?;;;<0ag?bc3ty9nh4?:3y>6g`=:<>01?m=:ea8yv4en3:1>v3=c18111=::j;1hi5rs3a3>5<5s48h=7<:4:?1g7n;50;0x97e12;??706f?=lm1v?mm:18184dk38>863=cg8gg>{t:ji1<7733348hi7jk;|q1ga<72;q6>nk5246897ea2mn0q~7}::m21>8:4=3fa>ae52z?1`<<5==16>io5de9~w7b>2909w0;5lk0oh6s|2ef94?4|5;nn6?;;;<0f5?bd3ty9hh4?:3y>6a`=:<>01?k?:ef8yv4cn3:1>v3=e18111=::l;1hi5rs3g0>5<5s48n87<:4:?1a2h:50;0x97c22;??706=46{<0:2?be348j:7jk;<0:g?be3483o7jm;<602?be349:j7jm;<121?bd349:=7jm;<0ee?42<2wx>k850;0x97`12;??70=?1;fg?xu5n>0;6?u22g59602<5;l26im4}r0e6c?=lm1v?h6:18184a138>863=f`8gg>{t:oh1<7733349;=7jl;|q1bf<72;q6>km5246896662mo0q~7}:;9:1>8:4=3db>ab52z?044<5==16>k95de9~w6652909w0=?2;060>;5n10oh6s|31194?0|5::86?8?;<0:b?bc3483j7jl;<60=?bd3499>7jl;<104?bb3ty8<94?:5y>755=0h16?=:5272891532mi01>=?:ea8yv57=3:18v3<058;e>;48<09:=524269`a=:;::1hi5rs225>5<0s49;:7<90:?1e0>9:9c8966?2;??7p}<0883>0}:;931>;>4=22;>ab<5;2j6im4=516>ab<5::h6im4}r13e?6=:r78<447a:?04a<5==1v>>m:181857j38>863<0b8g`>{t;9i1<7733349;h7jk;|q04`<72l>58`9>75c=:?:01?77:ea897>f2mn01>>k:ea8yv57n3:1>v3<0d8;e>;49;09995rs233>5<5s49:<7<:4:?0572;fg?xu49:0;68u23179;>4=3c4>ae<5=9<6im4=213>a`52z?0565;060>;49>0oo6s|30494?4|5:;=6?;;;<123?bc3ty8=54?:8y>74>=:?:01?7::ef897g?2mi01?7j:ea897>b2mi019=n:ea896442mn01>?::ef896772mn0q~=>9;296~;49103m63<1c8111=z{:;j6=4={<12e?42<278>=4kd:p74e=838p1>?l:377?85593no7p}<1e83>7}:;8n1>8:4=202>ae52z?05`<5==16???5dd9~w67a2909w0=>f;060>;4::0oi6s|33294?4|5:8;6?;;;<116?bc3ty8><4?:3y>777=:<>01><<:ea8yv55:3:1>v3<238111=:;8h1hn5rs200>5<5s499?7<:4:?05g7}:;;i14l5233g960252z?06f;4;=0oo6s|32394?4|5:9:6?;;;<107?bd3ty8??4?:3y>764=:<>01>=<:ef8yv54;3:1>v3<328111=:;:>1hi5rs215>5<3sW98:63<2d8g`>;4;80on63=fe8g`>{t;:l1<79t=262>733348j97jl;<0:f?be3483n7jm;<60716=:<>01>:>:ef8yv53:3:19vP<439>717=lj16??k5db9>764=lk16>k95db9~w1632908wS:?4:?7=f;<605?4182wx8>=50;0x915621k019=l:377?xu3;=0;6?u24269602<5=9h6im4}r601?6=:r7??84=559>06?=lm1v9=9:181824>38>863;3`8g`>{t<:=1<773334>8n7jl;|q77=<72;q68>652468915e2mn0q~:<9;296~;3;009995242a9`a=z{=9j6=4={<60e?42<27??n4ke:p06d=838p19=m:377?824k3nm7p};3e83>7}Y<:n015j5dc9~w13a2909wS::f:?730<>7<:4:p025=838p199=:e`891112;??7p};7583>7}:<>>1>8:4=555>ae<97>52z?730<5==168:85dd9~w1102909wS:87:?732<5>91v996:181820?32j70:8d;060>{t<>k1<773334>;31809995rs5:`>5<5s4>3o7<:4:?7=5c2;??70:60;ff?xu30l0;6?u249g9602<5=3:6im4}r6;b?6=:r7?4k4=559>0<7=ll1v97?:18182>838>863;908g`>{t<021<7138>86s|48`94?4|V=3i70:6c;060>{t<0o1<77}Y:377?xu3j;0;6?u24c39`g=:8:4}r6a7?6=:r7?n>4=559>0g3=lj1v9l;:18182e<38>863;b48ga>{t1<7;t^5f7?82>k3nh70:m3;fa?820<3ni70:8b;fa?x{e;ok1<7?9:9396l:021?!7d=39<46g72;29?l`b2900e<=n:188m`g=831b=5<:183!5?k3nj7E=j3:J0f0=hl00;66sm40a94?3=83:p(>6l:e48L6c43A9i96g71;29?l>42900e:650;9jt$2:`>a0<@:o87E=m5:&f3?6>o003:17d6n:188k7072900qo5<7s-93o7j9;I1f7>N4j<1b4<4?::k;7?6=3`=36=44i9c94?=h:?:1<75rb9:94?5=83:p(>6l:e68L6c43A9i96g88;29?l>f2900c?8?:188yg4483:1?7>50z&05G3c78m2>=831b4l4?::m125<722wi=>=50;794?6|,:2h6i84H2g0?M5e=2c3=7>5;h:0>5<21<75f8`83>>i5>90;66sm2183>6<729q/?5m5d59K7`5<@:h>7d97:188m=g=831d>;>50;9~f4>c29086=4?{%1;g?b33A9n?6F5;n054?6=3th:=h4?:283>5}#;1i1h95G3d18L6d23`=36=44i9c94?=h:?:1<75rb0c1>5<4290;w)=7c;f7?M5b;2B8n85f7983>>o?i3:17b<90;29?xd6;m0;6>4?:1y'7=e=l=1C?h=4H2`6?l1?2900e5o50;9l636=831vn5<7s-93o7j;;I1f7>N4j<1b;54?::k;e?6=3f8=<7>5;|`e`?6=;3:1N4m:1C?o;4$d594>o003:17d6n:188k7072900qo?6e;291?6=8r.84n4k6:J0a6=O;k?0e5?50;9j<6<722c<47>5;h:b>5<N4m:1C?o;4i9394?=n0:0;66g88;29?l>f2900c?8?:188yg72>3:197>50z&02B8i>5G3c78m=7=831b4>4?::k45<53;294~"40j0o86Ff2900c?8?:188yg50l3:1?7>50z&05G3c78 `1=82c<47>5;h:b>5<N4m:1C?o;4ie`94?=h:<>1<75rb56g>5<5290;w)=7c;g;?M5b;2B8n85fdc83>>i5==0;66sm12394?4=83:p(>6l:d:8L6c43A9i96gkb;29?j42<3:17pl>a183>7<729q/?5m5e99K7`5<@:h>7djm:188k7332900qo:>f;296?6=8r.84n4j8:J0a6=O;k?0eil50;9l602=831vn>>50;194?6|,:2h6?;8;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`0l:;hfa>5<N4m:1C?o;4iea94?=nlm0;66a=5583>>{e<<:1<7=50;2x 6>d2:;0D>k<;I1a1>ock3:17djk:188k7332900qo::1;296?6=8r.84n4j8:J0a6=O;k?0eil50;9l602=831vn9;=:181>5<7s-93o7k7;I1f7>N4j<1bho4?::m111<722wi88=50;194?6|,:2h6>?4H2g0?M5e=2coo7>5;hfg>5<N4m:1C?o;4iea94?=nlm0;66a=5583>>{e9:l1<7=50;2x 6>d2:;0D>k<;I1a1>ock3:17djk:188k7332900qo?;0;296?6=8r.84n4j8:J0a6=O;k?0eil50;9l602=831vn<:>:180>5<7s-93o7=>;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`03c<72;0;6=u+39a9a==O;l90D>l:;hfa>5<l:;hf`>5<7<729q/?5m5e99K7`5<@:h>7djm:188k7332900qo=74;296?6=8r.84n4j8:J0a6=O;k?0eil50;9l602=831vn>6::180>5<7s-93o7=>;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`0<3<72:0;6=u+39a974=O;l90D>l:;hf`>5<;6F>i5==0;66sm39:94?5=83:p(>6l:238L6c43A9i96gkc;29?lbc2900c?;;:188yg5?83:1?7>50z&01C?h=4H2`6?lbd2900eij50;9l602=831vn>9m:180>5<7s-93o7=>;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`03f<72:0;6=u+39a9601<@:o87E=m5:kgg?6=3`no6=44o377>5<9=7>53;294~"40j08=6F>i5==0;66sm43094?4=83:p(>6l:d:8L6c43A9i96gkb;29?j42<3:17pl;2283>6<729q/?5m52458L6c43A9i96gkc;29?lbc2900c?;;:188yg74=3:1?7>50z&05G3c78mae=831bhi4?::m111<722wi=>850;094?6|,:2h6h64H2g0?M5e=2con7>5;n060?6=3th:?:4?:383>5}#;1i1i55G3d18L6d23`ni6=44o377>5<53;294~"40j08=6F>i5==0;66sm12;94?5=83:p(>6l:374?M5b;2B8n85fdb83>>ocl3:17b<:4;29?xd6<:0;6>4?:1y'7=e=;81C?h=4H2`6?lbd2900eij50;9l602=831vn<:6:181>5<7s-93o7k7;I1f7>N4j<1bho4?::m111<722wi=9o50;094?6|,:2h6h64H2g0?M5e=2con7>5;n060?6=3th:8o4?:283>5}#;1i1?<5G3d18L6d23`nh6=44ief94?=h:<>1<75rb06`>5<4290;w)=7c;12?M5b;2B8n85fdb83>>ocl3:17b<:4;29?xd67>50z&05G3c78mad=831d>8:50;9~f42a29096=4?{%1;g?c?3A9n?6Ft$2:`>7303A9n?6F5;n060?6=3th:894?:283>5}#;1i1?<5G3d18L6d23`nh6=44ief94?=h:<>1<75rb066>5<4290;w)=7c;12?M5b;2B8n85fdb83>>ocl3:17b<:4;29?xd64?:1y'7=e=:<=0D>k<;I1a1>ock3:17djk:188k7332900qo?;7;290?6=8r.84n4<2:J0a6=O;k?0eim50;9j`a<722coi7>5;n060?6=3th:854?:283>5}#;1i1>894H2g0?M5e=2coo7>5;hfg>5<N4m:1C?o;4iea94?=nlm0;66a=5583>>{e9hh1<7<50;2x 6>d2l20D>k<;I1a1>ocj3:17b<:4;29?xd6ij0;6?4?:1y'7=e=m11C?h=4H2`6?lbe2900c?;;:188yg7fl3:1>7>50z&05G3c78mad=831d>8:50;9~f4gb29096=4?{%1;g?c?3A9n?6Ft$2:`>`><@:o87E=m5:kgf?6=3f8>87>5;|`2f5<72;0;6=u+39a9a==O;l90D>l:;hfa>5<N4m:1C?o;4ie`94?=h:<>1<75rb0`1>5<4290;w)=7c;063>N4m:1C?o;4iea94?=nlm0;66a=5583>>{e9h?1<7=50;2x 6>d2:;0D>k<;I1a1>ock3:17djk:188k7332900qo?n6;290?6=8r.84n4<2:J0a6=O;k?0eim50;9j`a<722coi7>5;n060?6=3th:m:4?:283>5}#;1i1?<5G3d18L6d23`nh6=44ief94?=h:<>1<75rb0c;>5<4290;w)=7c;063>N4m:1C?o;4iea94?=nlm0;66a=5583>>{e9h31<7:50;2x 6>d2:80D>k<;I1a1>ock3:17djk:188mac=831d>8:50;9~f4gf29086=4?{%1;g?42?2B8i>5G3c78mae=831bhi4?::m111<722wi=8650;194?6|,:2h6>?4H2g0?M5e=2coo7>5;hfg>5<l:;hf`>5<>{e9d2l20D>k<;I1a1>ocj3:17b<:4;29?xd6=j0;6>4?:1y'7=e=;81C?h=4H2`6?lbd2900eij50;9l602=831vn<;k:180>5<7s-93o7=>;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`21`<72=0;6=u+39a977=O;l90D>l:;hf`>5<>i5==0;66sm19d94?5=83:p(>6l:238L6c43A9i96gkc;29?lbc2900c?;;:188yg7>>3:1>7>50z&05G3c78mad=831d>8:50;9~f4?029096=4?{%1;g?c?3A9n?6F=8391<7>t$2:`>7303A9n?6F5;n060?6=3th:544?:383>5}#;1i1i55G3d18L6d23`ni6=44o377>5<52;294~"40j0n46F>{e90i1<7=50;2x 6>d2:;0D>k<;I1a1>ock3:17djk:188k7332900qo?6d;296?6=8r.84n4j8:J0a6=O;k?0eil50;9l602=831vn<7?:187>5<7s-93o7==;I1f7>N4j<1bhn4?::kg`?6=3`nn6=44o377>5<54;294~"40j08>6F>ocm3:17b<:4;29?xd61;0;6>4?:1y'7=e=;81C?h=4H2`6?lbd2900eij50;9l602=831vn<7<:180>5<7s-93o7<:7:J0a6=O;k?0eim50;9j`a<722e9994?::a5<2=8391<7>t$2:`>67<@:o87E=m5:kgg?6=3`no6=44o377>5<54;294~"40j09955G3d18L6d23`nh6=44ief94?=nll0;66a=5583>>{e9;:1<7=50;2x 6>d2:;0D>k<;I1a1>ock3:17djk:188k7332900qo?=7;296?6=8r.84n4j8:J0a6=O;k?0eil50;9l602=831vn<<7:181>5<7s-93o7k7;I1f7>N4j<1bho4?::m111<722wi=?750;194?6|,:2h6?;8;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`26d<72;0;6=u+39a9a==O;l90D>l:;hfa>5<N4m:1C?o;4ie`94?=h:<>1<75rb00`>5<5290;w)=7c;g;?M5b;2B8n85fdc83>>i5==0;66sm13f94?5=83:p(>6l:238L6c43A9i96gkc;29?lbc2900c?;;:188yg75m3:1>7>50z&05G3c78mad=831d>8:50;9~f446290?6=4?{%1;g?553A9n?6F5;hff>5<N4m:1C?o;4iea94?=nlm0;66gke;29?j42<3:17pl>2283>6<729q/?5m5309K7`5<@:h>7djl:188mab=831d>8:50;9~f44329086=4?{%1;g?42?2B8i>5G3c78mae=831bhi4?::m111<722wi=?;50;194?6|,:2h6>?4H2g0?M5e=2coo7>5;hfg>5<l:;hf`>5<>i5==0;66sm35d94?4=83:p(>6l:d:8L6c43A9i96gkb;29?j42<3:17pl<5183>7<729q/?5m5e99K7`5<@:h>7djm:188k7332900qo=:1;296?6=8r.84n4j8:J0a6=O;k?0eil50;9l602=831vn>;=:181>5<7s-93o7k7;I1f7>N4j<1bho4?::m111<722wi?8=50;194?6|,:2h6>?4H2g0?M5e=2coo7>5;hfg>5<l:;hf`>5<6=4;:183!5?k3997E=j3:J0f0=nlj0;66gkd;29?lbb2900c?;;:188yg52>3:1?7>50z&01C?h=4H2`6?lbd2900eij50;9l602=831v?>50;0xZ76<5;:1>;>4}r0f>5k3no70?=c;fa?875l3no70=:1;fa?xu5n3:1>v3=e;:2?8572;??7p}83;29e~X0;2788i47a:?2762><5:>o6:64=3g93==:010<463=3184<>;6;:0<463=0;5;?87?l3=370?>e;5;?87f:3=370?201<201>96:6:8961c2>20q~67:1818>?2;<;70=?:ea8yv>>2909w067:9c896>e2;??7p}ja;296~Xbi27mh76n;|qe`?6==r7mh7<90:?03c;3=90oo63>3g8gg>;40;0oo63>598g`>;4=<0oh6s|10a94?4|V8;h70?>d;:b?xu69m0;6?u210f9636<589>6im4}r32a?6=ab52z?25`;4=559~w4472909w0?=0;060>;6:?0oh6s|13394?4|588:6?;;;<310?bd3ty:>?4?:3y>574=:<>01<<;:ef8yv75;3:1>v3>228111=:9;<1hh5rs007>5<5s4;987<:4:?2600;6?u21359602<588:6im4}r3154=559>577=ll1v<<6:181875138>863>238g`>{t9;k1<773334;9>7jl;|q26g<72;q6=?l5246894452mo0q~?=c;296~;6:j0999521379`a=z{88o6=4={<31`?42<27:>>4kc:p57c=838p1<2g83>7}:9;l1>;>4=00g>ae52z?26c91v<=;:181874;32:70?<9;060>{t9:?1<773334;847jl;|q273<72;q6=>852468945?2mn0q~?<7;296~;6;>09995212;9`a=z{8936=4={<30pR<=n;<302?be34;>o7jl;<160?bd3ty:?o4?:3y]56d<589h6?;;;|q27a<72:qU=>j4=01g>70734;??7jl;|q27`<72>q6=9?52468942d2mi01v3>3g8111=:9=;1hn5rs063>5<5s4;?<7<:4:?204>6im4}r370?6=:r7:894=559>510=lm1v<:::181873=38>863>498gg>{t9=<1<773334;?;7jk;|q202<72;q6=9952468942?2mn0q~?;9;296~;6<00999521429`f=z{8>j6=4={<37e?42<27:9=4kd:p51d=838p1<:m:377?873>3nh7p}>4b83>7}:9=i1>8:4=067>ae52z?20a<5==16=9:5de9~w42b2909w0?;e;060>;6<>0oo6s|15d94?4|58>m6?;;;<373?bb3ty:9=4?:3y>506=:<>01<:::ef8yv72>3:1?v3>578125=:9=h1hi521`f9`g=z{8?<6=4={<36=838p1<;6:377?872k3no7p}>5883>7}:98:4=07g>aem7>52z?21g<5==16=8j5de9~w43e2909w0?:c;060>;6=l0oo6s|14a94?4|58?o6?;;;<36a?bb3ty:4i4?:5y]5=b<582o6?8?;<3;b?bd34;2<7jk;|q2<`<72;q6=5j58`9>5<3=:<>0q~?7f;296~;60o0999521879`a=z{83;6=4={<3:4?42<27:5>4kc:p5<7=838p1<7>:377?87>;3no7p}>9383>7}:9081>8:4=0;6>ac52z?2=6<5==16=4:5db9~w4?32909w0?64;060>;61<0oo6s|18494?4|583=6?;;;<3:4?bd3ty:5:4?:3y>5<1=:<>01<7?:eg8yv7>03:1>v3>998111=:90;1hi5rs0;:>5<5s4;257<:4:?2=45<4=lj1v<7k:18187>l38>863>938g`>{t90o1<770734;2o7jl;|q2=c<72;q6=4k58`9>5d6=:<>0q~?n2;297~X6i;16=l<5272894g32mi0q~?n3;296~;6i;03m63>a`8111=z{8k?6=4={<3b0?42<27:m:4kc:p5d3=838p1a783>7}:9h<1>8:4=0c;>ab52z?2e2<5==16=lo5db9~w4g?2909w0?n8;060>;6i00oh6s|1`;94?4|58k26?;;;<3be?bc3ty:mo4?:3y>5dd=:<>01v3>ab8111=:9k81hi5rs0cg>5<5s4;jh7<:4:?2e05d?=lj1v:18187e938>863>a88ga>{t9k81<773334;j;7jk;|q175<72h47a:?175<5>916=4k5809>57`=0816?=4kd:p667=839p1?=?:9c8943121;01<;j:377?xu5=80;65u23d69`<=:<8i14>5235f9<6=::l03?63>328;7>;61l03?63>2g8;7>;6=?03?6s|2d:94?4|V;o370::2;fa?xu4;6il4=2:0>ad<5=8:6im4=016>ab<58?i6il4}r17a?6=:r788i471:?013<5==1v>:i:181853n38>863<528gg>{t;<:1<7733349>?7jk;|q014<72;q6?8?5246896322mo0q~=:2;296~;4=;0999523449`a=z{:?86=4={<167?42<278994kd:p702=838p1>;;:377?852=3nh7p}<5483>7}:;8:4=275>ae511y>72?=:?:01>6n:e`896>32mh01>9m:ea8942f2mh01<:j:e`894gd2mh012mn01<6i:ef894?f2mh01<7k:e`894472mn01<;?:e`8yv50i3:1>v3<788;e>;4?j09995rs25a>5<5s499k:343?85?j3nh70=71;fg?85?=3nh70=8c;f`?873;3no70?;f;fa?87f<3no70?m1;fa?87213nh70?67;fa?87>03nh70?=7;fa?87513nh70=;f;fa?xu4?l0;6?u236f98:4}r14b?6=:r78;k4=559>7=3=lm1v>6>:18185?938>863<868gg>{t;181<77333493:7jl;|q0<6<72;q6?5=5246896>12mn0q~=74;296~;40=09995239:9`a=z{:2>6=4={<1;1?42<2784=4kc:p7=0=838p1>69:377?85??3no7p}<8683>7}:;1=1>8:4=2:;>ae52z?0<=<5==16?5>5de9~w6>>2909w0=7a;060>;40k0oh6s|41694?4|V=:?70::1;fa?xu39j0;6?uQ40a8917d2;<;7p};1d83>7}:<8i14l5240d96029<7>52z?75f>4=559~w1462909w0:=1;060>;3::0oo6s|43094?4|5=896?;;;<617?bc3ty?8n4?:3y]01e<5=>o6?;;;|q70c<72;q689j5dc9>002=:<>0q~::0;296~;3=90999524469`f=z{=?:6=4={<665?42<27?9>4kc:p004=838p19;=:377?822;3no7p};5283>7}:<<91>8:4=577>ab>j7>53z\71c=:9:;1ho5213c9`g=z{=3n6=4<{_6:a>;6i90on63>988gf>{t1<7;t^5f7?872>32j70::0;fg?873k3no70?nf;fa?x{e;o21<7j51582a~N4j<1/?5m5d99'5f3=;>20c9mm:188m45f2900c9=k:188m45e2900e9>;:188k25=831bh?4?::k124<722c94?4?::k1a=<722cn87>5;h0;5;c60`?6=;3:1N4m:1C?o;4i6:94?=n0h0;66a=6183>>{e;>0;6>4?:1y'7=e=l=1C?h=4H2`6?!c0291b;54?::k;e?6=3f8=<7>5;|`7gg<72=0;6=u+39a9`2=O;l90D>l:;h5;>5<6l:d:8L6c43A9i96gkb;29?j42<3:17pl85;297?6=8r.84n4=569K7`5<@:h>7djl:188mab=831d>8:50;9~f6d=8381<7>t$2:`>`><@:o87E=m5:kgf?6=3f8>87>5;|`702<72;0;6=u+39a9a==O;l90D>l:;hfa>5<N4m:1C?o;4ie`94?=h:<>1<75rb2:94?4=83:p(>6l:d:8L6c43A9i96gkb;29?j42<3:17pl;4283>6<729q/?5m5309K7`5<@:h>7djl:188mab=831d>8:50;9~f12329096=4?{%1;g?c?3A9n?6Ft$2:`>67<@:o87E=m5:kgg?6=3`no6=44o377>5<o=7>54;294~"40j08>6F>ocm3:17b<:4;29?xd4:<0;6>4?:1y'7=e=;81C?h=4H2`6?lbd2900eij50;9l602=831vn><9:181>5<7s-93o7k7;I1f7>N4j<1bho4?::m111<722wi??950;194?6|,:2h6?;8;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`06=<72:0;6=u+39a974=O;l90D>l:;hf`>5<;6F>i5==0;66sm33c94?5=83:p(>6l:238L6c43A9i96gkc;29?lbc2900c?;;:188yg55j3:1?7>50z&01C?h=4H2`6?lbd2900eij50;9l602=831v>950;0x961=:?:01:;5db9~w6?=838p1>95799>7=<5==1v>o50;0x961=0h16?o4=559~w25=83>pR:=4=679602<5=>86im4=5f2>ab73334=>6ij4}rf1>5<3sWn970:o=7jj;|q276<72;qU=>=4=20a>ae52z\27d=:;;<1ho5rs01a>5<5sW;8n63<268gg>{t:?;1<77}Y:1801><::ea8yv4?03:1>vP=899>773=lm1v?k7:181[4b0278>44kc:p772=839p19:<:ef891b62mi01>;4=559>771=lm1v><8:181855?38>863<298g`>{t;;21<7733349957jk;|q06<<72;q6??752468964f2mn0q~==a;296~;4:h09995233`9`a=z{=:?6=4;{_630>;3kk099k52758gf>;4j3ni7p};3e83>7}Y<:n019=k:343?xu3<;0;6?u24559`g=:<=?1>8:4}r677?6=:r7?8>4=559>013=lj1v9:;:181823<38>863;448g`>{t<=<1<7=g<5=><6?;;;|q7gg<72;qU8nl4=5aa>7073ty?h=4?:3y>0a5=lk168i?52468yv2c:3:1>v3;cc8;e>;3l:09995r}c1e=?6=9?0i57m:{I1a1>"40j0:<<5+1b7972>;3:17b?>2;29?l1b2900e<=k:188m2?=831d88h50;9j564=831b:o4?::m7=`<722c:m<4?::k2e7<722c?=o4?::m70=<722e?984?::m14?6=3f>i:7>5;c1f0?6=93:1N4m:1C?o;4oe;94?=zj=h=6=4::183!5?k3n=7E=j3:J0f0=n080;66g73;29?l1?2900e5o50;9l636=831vno<50;194?6|,:2h6i:4H2g0?M5e=2c<47>5;h:b>5<5<4290;w)=7c;f7?M5b;2B8n85f7983>>o?i3:17b<90;29?xdfi3:1?7>50z&05G3c78m2>=831b4l4?::m125<722wim=4?:283>5}#;1i1h95G3d18L6d23`=36=44i9c94?=h:?:1<75rb3294?5=83:p(>6l:e68L6c43A9i96g88;29?l>f2900c?8?:188ygcf29086=4?{%1;g?b33A9n?6F5;n054?6=3th:9k4?:283>5}#;1i1h95G3d18L6d23`=36=44i9c94?=h:?:1<75rb0`0>5<4290;w)=7c;f7?M5b;2B8n85f7983>>o?i3:17b<90;29?xd6=80;6>4?:1y'7=e=l=1C?h=4H2`6?l1?2900e5o50;9l636=831vn5>50;194?6|,:2h6i:4H2g0?M5e=2c<47>5;h:b>5<N4m:1C?o;4i6:94?=n0h0;66a=6183>>{e<d2m>0D>k<;I1a1>o003:17d6n:188k7072900qo:?a;297?6=8r.84n4k4:J0a6=O;k?0e:650;9j=8391<7>t$2:`>a2<@:o87E=m5:k45<6<729q/?5m5d59K7`5<@:h>7d97:188m=g=831d>;>50;9~fcc=83>1<7>t$2:`>a3<@:o87E=m5:k;7?6=3`=36=44i9c94?=h:?:1<75rb031>5<3290;w)=7c;f6?M5b;2B8n85f8283>>o003:17d6n:188k7072900qo7?:180>5<7s-93o7j;;I1f7>N4j<1/i:4?;h5;>5<0;7d97:188m=g=831d>;>50;9~f41729086=4?{%1;g?b33A9n?6F5<4290;w)=7c;f7?M5b;2B8n85+e683?l1?2900e5o50;9l636=831vn<9k:180>5<7s-93o7j;;I1f7>N4j<1/i:4?;h5;>5<0;7d97:188m=g=831d>;>50;9~f41a29086=4?{%1;g?b33A9n?6F5<4290;w)=7c;f7?M5b;2B8n85+e682?l1?2900e5o50;9l636=831vno650;195?5|@:h>7)=7c;3`3>o?m3:17d6i:188k6202900n>k6:180>5<7s-93o7=j2:J0a6=O;k?0eim50;9j`a<722e9994?::p<`<72;qU4h523d;9`a=z{1l1<72mi0q~=;7;296~X4<>16?h752468yxde?3:1?7?53zJ0f0=#;1i1=n94i9g94?=n0o0;66a<4683>>d4m00;6>4?:1y'7=e=;l80D>k<;I1a1>ock3:17djk:188k7332900q~6j:181[>b349n57jk;|q;b?6=:rT3j63{t;==1<786srbc494?5=939pD>l:;%1;g?7d?2c3i7>5;h:e>5<<6=44b2g:>5<4290;w)=7c;1f6>N4m:1C?o;4iea94?=nlm0;66a=5583>>{t0l0;6?uQ8d9>7`?=lm1v5h50;0xZ=`<5:o26im4}r173?6=:rT88:523d;96025}#;1i1i55G3d18L6d23`ni6=44o377>5<?7>52;294~"40j0n46F>{e9d2:;0D>k<;I1a1>ock3:17djk:188k7332900qo:;b;297?6=8r.84n4=569K7`5<@:h>7djl:188mab=831d>8:50;9~f6df29096=4?{%1;g?c?3A9n?6Ft$2:`>`><@:o87E=m5:kgf?6=3f8>87>5;|`735<72;0;6=u+39a9a==O;l90D>l:;hfa>5<N4m:1C?o;4ie`94?=h:<>1<75rb5`a>5<5290;w)=7c;g;?M5b;2B8n85fdc83>>i5==0;66smb583>7<729q/?5m5e99K7`5<@:h>7djm:188k7332900qol::180>5<7s-93o7=>;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`a4?6=:3:1N4m:1C?o;4ie`94?=h:<>1<75rbc394?5=83:p(>6l:238L6c43A9i96gkc;29?lbc2900c?;;:188yggd29096=4?{%1;g?c?3A9n?6Fl:;hf`>5<d2l20D>k<;I1a1>ocj3:17b<:4;29?xdei3:1?7>50z&05G3c78mae=831bhi4?::m111<722winn4?:283>5}#;1i1?<5G3d18L6d23`nh6=44ief94?=h:<>1<75rb52`>5<5290;w)=7c;g;?M5b;2B8n85fdc83>>i5==0;66sm17394?4=83:p(>6l:d:8L6c43A9i96gkb;29?j42<3:17pl>6383>7<729q/?5m5e99K7`5<@:h>7djm:188k7332900qo?93;290?6=8r.84n4<2:J0a6=O;k?0eim50;9j`a<722coi7>5;n060?6=3th:454?:283>5}#;1i1>894H2g0?M5e=2coo7>5;hfg>5<N4m:1C?o;4ie`94?=h:<>1<75rb0:b>5<4290;w)=7c;063>N4m:1C?o;4iea94?=nlm0;66a=5583>>{e91h1<7=50;2x 6>d2:;0D>k<;I1a1>ock3:17djk:188k7332900qo?7c;297?6=8r.84n4=569K7`5<@:h>7djl:188mab=831d>8:50;9~f4>629086=4?{%1;g?563A9n?6F5;n060?6=3th:4?4?:383>5}#;1i1i55G3d18L6d23`ni6=44o377>5<52;294~"40j0n46F8483>6<729q/?5m5309K7`5<@:h>7djl:188mab=831d>8:50;9~f41029096=4?{%1;g?c?3A9n?6F=8381<7>t$2:`>`><@:o87E=m5:kgf?6=3f8>87>5;|`23<<72:0;6=u+39a974=O;l90D>l:;hf`>5<;6F>i5==0;66sm16`94?5=83:p(>6l:238L6c43A9i96gkc;29?lbc2900c?;;:188yg70k3:1?7>50z&01C?h=4H2`6?lbd2900eij50;9l602=831vn<9=:180>5<7s-93o7<:7:J0a6=O;k?0eim50;9j`a<722e9994?::a525=8381<7>t$2:`>`><@:o87E=m5:kgf?6=3f8>87>5;|`231<72=0;6=u+39a977=O;l90D>l:;hf`>5<>i5==0;66sm4b494?3=83:p(>6l:218L6c43A9i96gkc;29?lbc2900eik50;9j`c<722e9994?::a0f1=8381<7>t$2:`>`><@:o87E=m5:kgf?6=3f8>87>5;|`7g=<72;0;6=u+39a9a==O;l90D>l:;hfa>5<N4m:1C?o;4iea94?=nlm0;66a=5583>>{ed2:;0D>k<;I1a1>ock3:17djk:188k7332900qo7=:186>5<7s-93o7<:9:J0a6=O;k?0eim50;9j`a<722coi7>5;hfe>5<5<4290;w)=7c;12?M5b;2B8n85fdb83>>ocl3:17b<:4;29?xd><3:1>7>50z&05G3c78mad=831d>8:50;9~f<3=8391<7>t$2:`>67<@:o87E=m5:kgg?6=3`no6=44o377>5<7djl:188mab=831d>8:50;9~f10129086=4?{%1;g?42?2B8i>5G3c78mae=831bhi4?::m111<722wi8;950;094?6|,:2h6h64H2g0?M5e=2con7>5;n060?6=3th?:54?:283>5}#;1i1?<5G3d18L6d23`nh6=44ief94?=h:<>1<75rb54:>5<5290;w)=7c;g;?M5b;2B8n85fdc83>>i5==0;66sm47c94?5=83:p(>6l:238L6c43A9i96gkc;29?lbc2900c?;;:188yg21j3:1?7>50z&05G3c78mae=831bhi4?::m111<722wi8;m50;194?6|,:2h6?;8;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`72a<72=0;6=u+39a960><@:o87E=m5:kgg?6=3`no6=44ieg94?=h:<>1<75rb54f>5<4290;w)=7c;12?M5b;2B8n85fdb83>>ocl3:17b<:4;29?xd3i<0;6>4?:1y'7=e=:<=0D>k<;I1a1>ock3:17djk:188k7332900qo:n6;296?6=8r.84n4j8:J0a6=O;k?0eil50;9l602=831vn9o8:180>5<7s-93o7=>;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`7e=<72;0;6=u+39a9a==O;l90D>l:;hfa>5<N4m:1C?o;4iea94?=nlm0;66a=5583>>{ed2:;0D>k<;I1a1>ock3:17djk:188k7332900qo:nb;297?6=8r.84n4=569K7`5<@:h>7djl:188mab=831d>8:50;9~f1gd290?6=4?{%1;g?4202B8i>5G3c78mae=831bhi4?::kga?6=3f8>87>5;|`7ea<72:0;6=u+39a974=O;l90D>l:;hf`>5<5<7s-93o7k7;I1f7>N4j<1bho4?::m111<722wi8oh50;094?6|,:2h6h64H2g0?M5e=2con7>5;n060?6=3th?o=4?:383>5}#;1i1i55G3d18L6d23`ni6=44o377>5<h=7>52;294~"40j0n46F6<729q/?5m52458L6c43A9i96gkc;29?lbc2900c?;;:188yg2d<3:187>50z&05G3c78mae=831bhi4?::kga?6=3f8>87>5;|`251<72;0;6=u+39a9a==O;l90D>l:;hfa>5<N4m:1C?o;4ie`94?=h:<>1<75rb035>5<5290;w)=7c;g;?M5b;2B8n85fdc83>>i5==0;66sm10594?4=83:p(>6l:d:8L6c43A9i96gkb;29?j42<3:17pl>1983>7<729q/?5m5e99K7`5<@:h>7djm:188k7332900qo?>9;296?6=8r.84n4j8:J0a6=O;k?0eil50;9l602=831vn5<7s-93o7=;;I1f7>N4j<1bhn4?::kg`?6=3`nn6=44ied94?=nm90;66a=5583>>{e98h1<7:50;2x 6>d2;?37E=j3:J0f0=nlj0;66gkd;29?lbb2900c?;;:188yg71=3:1>7>50z&05G3c78mad=831d>8:50;9~f40029096=4?{%1;g?c?3A9n?6F=8381<7>t$2:`>`><@:o87E=m5:kgf?6=3f8>87>5;|`22<<72;0;6=u+39a9a==O;l90D>l:;hfa>5<N4m:1C?o;4ie`94?=h:<>1<75rb04a>5<5290;w)=7c;g;?M5b;2B8n85fdc83>>i5==0;66sm17a94?4=83:p(>6l:d:8L6c43A9i96gkb;29?j42<3:17pl>6e83>6<729q/?5m5309K7`5<@:h>7djl:188mab=831d>8:50;9~f40b29036=4?{%1;g?523A9n?6F5;hff>5<>ob93:17dk=:188k7332900qo?96;290?6=8r.84n4=599K7`5<@:h>7djl:188mab=831bhh4?::m111<722wi>94?:483>5}#;1i1>874H2g0?M5e=2coo7>5;hfg>5<>i5==0;66sm2783>6<729q/?5m5309K7`5<@:h>7djl:188mab=831d>8:50;9~f71=8381<7>t$2:`>`><@:o87E=m5:kgf?6=3f8>87>5;|`1N4m:1C?o;4ie`94?=h:<>1<75rb3;94?4=83:p(>6l:d:8L6c43A9i96gkb;29?j42<3:17pl=a;296?6=8r.84n4j8:J0a6=O;k?0eil50;9l602=831vn?l50;194?6|,:2h6>?4H2g0?M5e=2coo7>5;hfg>5<5<2290;w)=7c;10?M5b;2B8n85fdb83>>ocl3:17djj:188ma`=831d>8:50;9~f7b=8391<7>t$2:`>7303A9n?6F5;n060?6=3th997>53;294~"40j099:5G3d18L6d23`nh6=44ief94?=h:<>1<75rbda94?5=83:p(>6l:374?M5b;2B8n85fdb83>>ocl3:17b<:4;29?xda=3:1>7>50z&05G3c78mad=831d>8:50;9~fc0=8381<7>t$2:`>`><@:o87E=m5:kgf?6=3f8>87>5;|`e3?6=;3:1N4m:1C?o;4iea94?=nlm0;66a=5583>>{en10;6?4?:1y'7=e=m11C?h=4H2`6?lbe2900c?;;:188yg`>29096=4?{%1;g?c?3A9n?6Fl:;hfa>5<5<5290;w)=7c;g;?M5b;2B8n85fdc83>>i5==0;66smfb83>7<729q/?5m5e99K7`5<@:h>7djm:188k7332900qokk:180>5<7s-93o7=>;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`fa?6=;3:1N4m:1C?o;4iea94?=nlm0;66a=5583>>{emo0;6;4?:1y'7=e=;=1C?h=4H2`6?lbd2900eij50;9j``<722coj7>5;hg3>5<5<4290;w)=7c;063>N4m:1C?o;4iea94?=nlm0;66a=5583>>{en80;6>4?:1y'7=e=:<=0D>k<;I1a1>ock3:17djk:188k7332900qoh=:180>5<7s-93o7=>;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`e7?6=;3:1l:;hf`>5<1<7:50;2x 6>d2;?37E=j3:J0f0=nlj0;66gkd;29?lbb2900c?;;:188yg27m3:1?7>50z&01C?h=4H2`6?lbd2900eij50;9l602=831vn9??:181>5<7s-93o7k7;I1f7>N4j<1bho4?::m111<722wi85;n060?6=3th?=?4?:383>5}#;1i1i55G3d18L6d23`ni6=44o377>5<:?7>52;294~"40j0n46F>{e<8?1<7=50;2x 6>d2;?<7E=j3:J0f0=nlj0;66gkd;29?j42<3:17pl;1783>6<729q/?5m5309K7`5<@:h>7djl:188mab=831d>8:50;9~f170290?6=4?{%1;g?553A9n?6F5;hff>5<N4m:1C?o;4iea94?=nlm0;66a=5583>>{e<<31<7=50;2x 6>d2:;0D>k<;I1a1>ock3:17djk:188k7332900qo::a;297?6=8r.84n4=569K7`5<@:h>7djl:188mab=831d>8:50;9~f13e29086=4?{%1;g?563A9n?6F5;n060?6=3thii7>52;294~"40j0n46Fd2:;0D>k<;I1a1>ock3:17djk:188k7332900qom=:181>5<7s-93o7k7;I1f7>N4j<1bho4?::m111<722wio>4?:383>5}#;1i1i55G3d18L6d23`ni6=44o377>5<>{ek<0;6?4?:1y'7=e=m11C?h=4H2`6?lbe2900c?;;:188yge129086=4?{%1;g?563A9n?6F5;n060?6=3thh;7>53;294~"40j08=6F>i5==0;66smc983>6<729q/?5m52458L6c43A9i96gkc;29?lbc2900c?;;:188ygda290?6=4?{%1;g?553A9n?6F5;hff>5<5<4290;w)=7c;063>N4m:1C?o;4iea94?=nlm0;66a=5583>>{ei=0;6?4?:1y'7=e=m11C?h=4H2`6?lbe2900c?;;:188ygg2290?6=4?{%1;g?4202B8i>5G3c78mae=831bhi4?::kga?6=3f8>87>5;|`b2?6=:3:1N4m:1C?o;4ie`94?=h:<>1<75rb`594?5=83:p(>6l:238L6c43A9i96gkc;29?lbc2900c?;;:188ygg?29086=4?{%1;g?563A9n?6F5;n060?6=3thj57>53;294~"40j099:5G3d18L6d23`nh6=44ief94?=h:<>1<75rs3294?5|V;:01?>5272897e=lj1v?=50;0x976=0h16>84=559~w72=838p1?:52468973=lj1v?850;0x970=:<>01?l5db9~w71=838p1?95246897d=lm1v?650;0x97>=:<>01?m5de9~w7?=838p1?75246897e=ll1v?o50;0x97g=:<>01?m5dg9~w7d=838p1?l5246897b=lj1v?m50;0x97e=:<>01?j5de9~w7b=838p1?j52468973=lm1v;l50;5xZ3d<58<86ij4=0::>ad<582:6ij4=349`f=:n>0oh63;5c8gg>{t?00;6<>t^6;8976=?116il488:?21c<0027:n>488:?214<00273<797;<;3>2><582><58=>6:64=05g>2><58=n6:64=05e>2><582=6:64=52`>ad3=3706?:9c89d4=lk1v5>50;`x9=6=:?:01<8>:e`894>?2mn01<6<:e`8941f2mi01<9<:e`89<2=lk168;75dc9>0d>=lk16j=4kc:?74`=5<5>916584kc:?71d=5=04?:3y>=6<5==165;4kc:p=1<72;q6594=559>=3=0<5==165:4kc:p=3<72;q65;4=559>=20gb=lj168ok5dc9>542=lk16o<4kc:?`6?be34k=6il4}rc2>5<>s4k;6:64=2`;>2><58;96:64=gg93==:km0<463m2;5;?8gb2>201lo5799>e7<5==1vl=50;0x9d6=0h16m44=559~wd2=838p1l:524689d1=lm1vl;50;0x9d3=:<>01l65db9~wd0=838p1l8524689d>=lm1vl950;0x9d1=:<>01l75db9~wd>=838p1l6524689d?=lm1v4j50;:x9dg=:?:01o858g9>fd6im4}rca>5<5s4kj65o4=`f960273334ko6ij4}r;f>5<>s4kn6?8?;<`4>=`<5ki1hn524b49`c=:;f=3no7p}nf;296~;fm32j70l>:377?xue83:1>v3m0;060>;e93no7p}6f;29<~;e:38=<63m8;:e?82d03ni70:l0;fa?876i3no70m>:ef89f5=lk16m84ke:pf6<72;q6n?47a:?a1?42<2wxn94?:3y>f1<5==16n84kd:pf<<72:q6n:47e:?ae?42<27io7jk;|qaf?6=:r7i476j;<``>7333tyih7>54z?a0?be34h;6il4=`a9`g=:k909995rscg94?4|5ko1>8:4=b49`a=z{kl1<78:4=b:9`f=z{j81<78:4=b59`a=z{j>1<78:4=cd9``=z{j<1<78:4=b:9`a=z{j21<7{tmh0;68uQe`9>ad<5>9168n85de9>0gb=ll16ik4kd:pag<72;q6il47a:?e0?42<2wxin4?:3y>af<5==16j94kd:paa<72;q6ii4=559>b5a`<5==16j<4kc:pac<72;q6ik4=559>b4b5<5==16j?4kd:pb4<72;q6j<4=559>b1b7<5==16j>4kd:pb6<72;q6j>4=559>b1b0<5==16ii4kc:pb3<72;q6j;4=559>aab2<5==16ih4kc:pb=<72;q6j54=559>a`b<<5==16ik4kc:pbd<72;q6jl4=559>acbg<5==16ik4kf:pbf<72;q6jn4=559>ac81hn52f48gf>{tno0;6?u2fd8;e>;68909995rs031>5<2sW;:>63>138125=:9>21ho521609`a=:n?0on6s|10194?4|58;965o4=03a>7333ty:=94?:3y>542=:<>01v3>148111=:98k1hh5rs035>5<5s4;::7<:4:?25da;g3?xu6910;6?u210:9602<58;i6im4}r32=?6=:r7:=44=559>54d=ll1v863>1c8g`>{t9:81<73e83>7}Y9:n01<;>:9c8yv7293:18v3>508125=:9<>1ho5247:9`f=:597<:4:?227ad<5=:n6im4=57:>ae?7>52z?216<5==16=8;5db9~w4332909w0?:4;060>;6=<0oh6s|14d94?5|58?m6?8?;<35a?bd34>>57jk;|q225<72;q6=;=5246894022mh0q~?91;296~;6>80999521719`f=z{8<96=4={<356?42<27::>4ke:p532=838p1<;i:9c894012;??7p}>6483>7}:9??1>8:4=04g>ab52z?222<5==16=;k5de9~w40?2909w0?98;060>;6>l0oi6s|17;94?4|58<26?;;;<35a?ba3ty::l4?:3y>53g=:<>01<8j:d38yv71j3:1>v3>6c8111=:9?o1i=5rs04`>5<5s4;=o7<:4:?22`l0;6?u217g9602<58<=6ik4}r35b?6==r7::k4=619>5=e=lj16=;85de9>6<526=:?:01<8n:e`897>=lk16jo4kb:p527=838p1<9?:9c894132;??7p}>7383>7}:9>81>8:4=057>ae52z?236<5==16=::5dd9~w412290hw0?85;054>;37c8gg>;6?=0oh6362;fe?821k3nh70:nb;f`?871j3ni70<;:ea89cg=lk16j?4kc:?7510;6?u21659602<58=26im4}r3452?=lm1v<96:181870138>863>7`8g`>{t9>k1<773334;i1hn52938ga>;3>?0oo63;a48gg>;6>00on63=4;fg?8`42mi019?<:e`8yv70m3:15v3>7e8;e>;6?l09:=52938g`>;3>?0oh63;a48g`>;6>10on63=4;fe?8cd2mi019?>:e`8yv70n3:15v3>7d8;e>;6?o09:=52938gg>;3>h0oo63;a88gg>;6>>0on63=4;ff?8cd2mn019?=:e`8yv7?83:1>v3>7g8;e>;60<09995rs0:2>5<5s4;3=7<:4:?2<052;??70?74;f`?xu60:0;6?u21919602<582?6ij4}r3;0?6=:r7:494=559>5=3=lm1v<69:18g87?>38=<63>8c8gg>;6080oo6363;f`?82103no70:n7;fg?871k3ni70?9d;f`?8412mn01?o5dc9>b25=0=0h16=5m52468yv7?03:1>v3>898111=:91k1hn5rs0::>5<5s4;357<:4:?2f2;??70?7b;fg?xu60k0;6?u219`9602<582h6ij4}r3b5?6=:rT:m<524`f9`f=z{8k96=4={_3b6>;6j:03m6s|1c194?5|58h86?8?;<367?be34386ij4}r065?6=:r78i94k9:?7f37}:;k214l523cc9602;m7>54z\74d=:<9k1>;>4=gg9<6=:98814>5rs52a>5<2s4>;m797;<6:a?1?34>>j797;<67;o7<:4:p05b=838p19>n:9c8916a2;??7p};0d83>7}:<9o1>8:4=536>ae:<7>52z?755<5==168<;5de9~w1762909w0:>1;060>;39>0oo6s|40094?4|5=;96?;;;<622?bd3ty?=>4?:3y>045=:<>019?9:ef8yv26<3:1>v3;158111=:<9l1hi5rs536>5<5s4>:97<:4:?7527;ff?xu39>0;6?u24059602<5=:m6im4}r62f?6=:rT?=o521129`g=z{=9o6=4={_60`>;6910on6s|45:94?4|V=>370:;8;054>{t<=k1<7=g<5=>i6?;;;|q710<72;qU88;4=575>7333ty?954?:3y>000=lk1688l52468yv2213:1>v3;588111=:<5<5s4>>m7<:4:?71g>j7<90:?722?0;6?u24749602<5=03g=lm1v987:181821038>863;6c8gg>{t73334>=n7jk;|q72d<72;q68;o52468910d2mn0q~:9b;296~;3>k09995247f9``=z{=7}:<2?7>52z\7=6=:9831ho5rs5;f>5<4sW>2i63;9d8125=:5<5s4>jj7jm;<6b`?42<2wx8l;50;0x91g22;??70:nc;fg?xu3i?0;6?u24`49602<5=k26ij4}r6b3?6=:r7?m:4=559>0dg=lj1v9o7:18182f038>863;a`8g`>{t73334>jn7jk;|q7ed<72;q68lo5246891gd2mo0q~:nb;296~;3ik0999524`a9`f=z{=kh6=4={<6bg?42<27?mi4kd:p0dc=838p197j:9c891ga2;??7p};b783>6}Y7}:io7>52z?7f3;3k:0oo6s|4cg94?4|5=hn6?;;;<6`6?bd3ty?nk4?:3y>0g`=:<>019m=:ef8yv2d83:1>v3;c18111=:1hn5rs5a2>5<5s4>h=7<:4:?7g138>863;c`8gg>{t73334>h57jl;|q7g=<72;q68n65246891e>2mn0q~:l9;296~;3k00999524bc9`a=z{=ii6=4={_6`f>;4jh0on6srb2d5>5d21>0(=::188m25=831d8?950;9j==<722c8:54?::k71f<722e?5:4?::m5f?6=3`>o87>5;n055?6=3`83>7>5;c1f0?6=93:1N4m:1C?o;4oe;94?=zj:n86=4<:183!5?k3n?7E=j3:J0f0=#m>0;7d97:188m=g=831d>;>50;9~f6b729086=4?{%1;g?b33A9n?6F5<4290;w)=7c;f7?M5b;2B8n85+e683?l1?2900e5o50;9l636=831vn>m6:180>5<7s-93o7j;;I1f7>N4j<1/i:4?;h5;>5<5<7s-93o7j9;I1f7>N4j<1b4<4?::k;7?6=3`=36=44i9c94?=h:?:1<75rb30`>5<2290;w)=7c;f5?M5b;2B8n85f8083>>o?;3:17d97:188m=g=831d>;>50;9~f74?290>6=4?{%1;g?b13A9n?6F5;h5;>5<5<7s-93o7j9;I1f7>N4j<1b4<4?::k;7?6=3`=36=44i9c94?=h:?:1<75rb33`>5<2290;w)=7c;f5?M5b;2B8n85f8083>>o?;3:17d97:188m=g=831d>;>50;9~f77?290>6=4?{%1;g?b13A9n?6F5;h5;>5<5<7s-93o7j9;I1f7>N4j<1b4<4?::k;7?6=3`=36=44i9c94?=h:?:1<75rb2c6>5<2290;w)=7c;f5?M5b;2B8n85+e683?l>62900e5=50;9j3=<722c3m7>5;n054?6=3th8m<4?:483>5}#;1i1h;5G3d18L6d23-o<6=5f8083>>o?;3:17d97:188m=g=831d>;>50;9~f6?c290>6=4?{%1;g?b13A9n?6F0<729q/?5m5d79K7`5<@:h>7)k8:19j<4<722c3?7>5;h5;>5<d2m>0D>k<;I1a1>o003:17d6n:188k7072900qoj?:180>5<7s-93o7j;;I1f7>N4j<1b;54?::k;e?6=3f8=<7>5;|`762<72:0;6=u+39a9`1=O;l90D>l:;h5;>5<t$2:`>a2<@:o87E=m5:k45<53;294~"40j0o86F21<75f8`83>>i5>90;66sm44g94?5=83:p(>6l:e68L6c43A9i96g88;29?l>f2900c?8?:188yg7ck3:197>50z&02B8i>5G3c78m=7=831b4>4?::k45<55;294~"40j0o:6F>o003:17d6n:188k7072900qo=61;291?6=8r.84n4k6:J0a6=O;k?0e5?50;9j<6<722c<47>5;h:b>5<N4m:1C?o;4i9394?=n0:0;66g88;29?l>f2900c?8?:188yg54n3:197>50z&02B8i>5G3c78m=7=831b4>4?::k45<53;294~"40j0o86Ff2900c?8?:188yg7ei3:1?7>50z&05G3c78 `1=82c<47>5;h:b>5<5<:8;<1f=?42<2wvn>on:180>4<4sA9i96*<8b82g2=n0l0;66g7f;29?j53?3:17o=j9;297?6=8r.84n47djl:188mab=831d>8:50;9~w=c=838pR5k4=2g:>ab7}Y;==01>k6:377?x{e;h31<7=51;1xL6d23-93o7?l7:k;a?6=3`2m6=44o264>5<6F>i5==0;66s|8d83>7}Y0l16?h75de9~w=`=838pR5h4=2g:>ae52z\002=:;l31>8:4}|`0`=<72:0:6>uG3c78 6>d28i<7d6j:188m=`=831d?9950;9a7`?=8391<7>t$2:`>6c53A9n?6F5;n060?6=3ty3i7>52z\;a>;4m00oh6s|8g83>7}Y0o16?h75db9~w6202909wS=;7:?0a<<5==1vqo=k7;297?7=;rB8n85+39a95f1>i4<>0;66l6<729q/?5m53d08L6c43A9i96gkc;29?lbc2900c?;;:188yv>b2909wS6j;<1f=?bc3ty3j7>52z\;b>;4m00oo6s|35594?4|V:><70=j9;060>{zj:n=6=4<:080M5e=2.84n4>c69j<`<722c3j7>5;n173?6=3k9n57>53;294~"40j08i?5G3d18L6d23`nh6=44ief94?=h:<>1<75rs9g94?4|V1o01>k6:ef8yv>a2909wS6i;<1f=?bd3ty88:4?:3y]711<5:o26?;;;|a663=8391=7=tH2`6?!5?k3;h;6g7e;29?l>a2900c>:8:188f6c>29086=4?{%1;g?5b:2B8i>5G3c78mae=831bhi4?::m111<722wx4h4?:3y]<`=:;l31hi5rs9d94?4|V1l01>k6:ea8yv53?3:1>vP<469>7`?=:<>0qpl=3783>6<62:qC?o;4$2:`>4e03`2n6=44i9d94?=h;==1<75m3d;94?5=83:p(>6l:2g1?M5b;2B8n85fdb83>>ocl3:17b<:4;29?xu?m3:1>vP7e:?0a<5<5sW9?;6353;397~N4j<1/?5m51b58m=c=831b4k4?::m002<722h8i44?:283>5}#;1i1?h<4H2g0?M5e=2coo7>5;hfg>5<5<5sW2n70=j9;fg?xu?n3:1>vP7f:?0a<"40j0:o:5f8d83>>o?n3:17b=;7;29?g5b13:1?7>50z&05<5sW2m70=j9;f`?xu4<>0;6?uQ355896c>2;??7psm22;94?5=939pD>l:;%1;g?7d?2c3i7>5;h:e>5<<6=44b2g:>5<4290;w)=7c;1f6>N4m:1C?o;4iea94?=nlm0;66a=5583>>{t0l0;6?uQ8d9>7`?=lm1v5h50;0xZ=`<5:o26im4}r173?6=:rT88:523d;96026}O;k?0(>6l:0a4?l>b2900e5h50;9l711=831i?h750;194?6|,:2h6>k=;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|q;a?6=:rT3i63{t0o0;6?uQ8g9>7`?=lj1v>:8:181[53?278i44=559~yg44j3:1?7?53zJ0f0=#;1i1=n94i9g94?=n0o0;66a<4683>>d4m00;6>4?:1y'7=e=;l80D>k<;I1a1>ock3:17djk:188k7332900q~6j:181[>b349n57jk;|q;b?6=:rT3j63{t;==1<786srb31`>5<42808wE=m5:&01b4h4?::k;b?6=3f9?;7>5;c1f=?6=;3:1l:;hf`>5<2mn0q~6i:181[>a349n57jl;|q002<72;qU?994=2g:>7333twi>>=50;195?5|@:h>7)=7c;3`3>o?m3:17d6i:188k6202900n>k6:180>5<7s-93o7=j2:J0a6=O;k?0eim50;9j`a<722e9994?::p<`<72;qU4h523d;9`a=z{1l1<72mi0q~=;7;296~X4<>16?h752468yxd5;=0;6>4>:2yK7g3<,:2h65<d2:o97E=j3:J0f0=nlj0;66gkd;29?j42<3:17p}7e;296~X?m278i44kd:p<6=4={_173>;4m009995r}c006?6=;3;1?vF5<:8;<1f=?42<2wvn4o50;094?6|,:2h6h64H2g0?M5e=2con7>5;n060?6=3th2n7>53;294~"40j08=6F>i5==0;66sm3c694?5=83:p(>6l:238L6c43A9i96gkc;29?lbc2900c?;;:188yg54m3:1>7>50z&05G3c78mad=831d>8:50;9~f77529096=4?{%1;g?c?3A9n?6Ft$2:`>67<@:o87E=m5:kgg?6=3`no6=44o377>5<52;294~"40j0n46F7<729q/?5m5e99K7`5<@:h>7djm:188k7332900qo<>b;297?6=8r.84n4<1:J0a6=O;k?0eim50;9j`a<722e9994?::a64c=8381<7>t$2:`>`><@:o87E=m5:kgf?6=3f8>87>5;|`15c<72:0;6=u+39a974=O;l90D>l:;hf`>5<>{e:;91<7=50;2x 6>d2:;0D>k<;I1a1>ock3:17djk:188k7332900qo<=6;296?6=8r.84n4j8:J0a6=O;k?0eil50;9l602=831vn?<8:180>5<7s-93o7=>;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`16d<72;0;6=u+39a9a==O;l90D>l:;hfa>5<N4m:1C?o;4iea94?=nlm0;66a=5583>>{e:;o1<7<50;2x 6>d2l20D>k<;I1a1>ocj3:17b<:4;29?xd5:o0;6>4?:1y'7=e=;81C?h=4H2`6?lbd2900eij50;9l602=831vn5<7s-93o7<:7:J0a6=O;k?0eim50;9j`a<722e9994?::a5`>=8381<7>t$2:`>`><@:o87E=m5:kgf?6=3f8>87>5;|`2a<<72:0;6=u+39a974=O;l90D>l:;hf`>5<>{e9ll1<7=50;2x 6>d2:;0D>k<;I1a1>ock3:17djk:188k7332900qo<:0;297?6=8r.84n4<1:J0a6=O;k?0eim50;9j`a<722e9994?::a61c=8391<7>t$2:`>67<@:o87E=m5:kgg?6=3`no6=44o377>5<53;294~"40j08=6F>i5==0;66sm25c94?5=83:p(>6l:238L6c43A9i96gkc;29?lbc2900c?;;:188yg4303:1?7>50z&05G3c78mae=831bhi4?::m111<722wi>9850;194?6|,:2h6>?4H2g0?M5e=2coo7>5;hfg>5<N4m:1C?o;4iea94?=nlm0;66a=5583>>{e:=81<7=50;2x 6>d2:;0D>k<;I1a1>ock3:17djk:188k7332900qo<;0;297?6=8r.84n4<1:J0a6=O;k?0eim50;9j`a<722e9994?::a66c=8391<7>t$2:`>67<@:o87E=m5:kgg?6=3`no6=44o377>5<53;294~"40j08=6F>i5==0;66sm3e094?5=83:p(>6l:238L6c43A9i96gkc;29?lbc2900c?;;:188yg5dn3:1?7>50z&05G3c78mae=831bhi4?::m111<722wi?nl50;094?6|,:2h6h64H2g0?M5e=2con7>5;n060?6=3th8on4?:283>5}#;1i1?<5G3d18L6d23`nh6=44ief94?=h:<>1<75rb2fb>5<4290;w)=7c;12?M5b;2B8n85fdb83>>ocl3:17b<:4;29?xd4lj0;6>4?:1y'7=e=;81C?h=4H2`6?lbd2900eij50;9l602=831vn>o8:181>5<7s-93o7k7;I1f7>N4j<1bho4?::m111<722wi?l650;194?6|,:2h6>?4H2g0?M5e=2coo7>5;hfg>5<N4m:1C?o;4ie`94?=h:<>1<75rb2c7>5<4290;w)=7c;12?M5b;2B8n85fdb83>>ocl3:17b<:4;29?xd41o0;6?4?:1y'7=e=m11C?h=4H2`6?lbe2900c?;;:188yg5f83:1?7>50z&05G3c78mae=831bhi4?::m111<722wi?4o50;094?6|,:2h6h64H2g0?M5e=2con7>5;n060?6=3th85o4?:383>5}#;1i1i55G3d18L6d23`ni6=44o377>5<53;294~"40j08=6F>i5==0;66sm3`f94?5=83:p(>6l:238L6c43A9i96gkc;29?lbc2900c?;;:188yg5fn3:1?7>50z&05G3c78mae=831bhi4?::m111<722wi=n<50;094?6|,:2h6h64H2g0?M5e=2con7>5;n060?6=3th:o>4?:283>5}#;1i1?<5G3d18L6d23`nh6=44ief94?=h:<>1<75rb0`6>5<3290;w)=7c;11?M5b;2B8n85fdb83>>ocl3:17djj:188k7332900qo=63;297?6=8r.84n4<1:J0a6=O;k?0eim50;9j`a<722e9994?::a7<2=8381<7>t$2:`>`><@:o87E=m5:kgf?6=3f8>87>5;|`0=0<72;0;6=u+39a9a==O;l90D>l:;hfa>5<N4m:1C?o;4iea94?=nlm0;66a=5583>>{e;0=1<7=50;2x 6>d2:;0D>k<;I1a1>ock3:17djk:188k7332900qo?k6;29=?6=8r.84n4<6:J0a6=O;k?0eim50;9j`a<722coi7>5;hfe>5<>ob:3:17dk<:188k7332900qo?k7;297?6=8r.84n4<1:J0a6=O;k?0eim50;9j`a<722e9994?::a5a>=8381<7>t$2:`>`><@:o87E=m5:kgf?6=3f8>87>5;|`2`<<72:0;6=u+39a974=O;l90D>l:;hf`>5<dc83>6<729q/?5m5309K7`5<@:h>7djl:188mab=831d>8:50;9~f4`629096=4?{%1;g?c?3A9n?6F=8381<7>t$2:`>`><@:o87E=m5:kgf?6=3f8>87>5;|`2b<<72;0;6=u+39a9a==O;l90D>l:;hfa>5<N4m:1C?o;4ie`94?=h:<>1<75rb0da>5<5290;w)=7c;g;?M5b;2B8n85fdc83>>i5==0;66sm1ga94?4=83:p(>6l:d:8L6c43A9i96gkb;29?j42<3:17pl>fe83>7<729q/?5m5e99K7`5<@:h>7djm:188k7332900qo?ie;296?6=8r.84n4j8:J0a6=O;k?0eil50;9l602=831vn5<7s-93o7k7;I1f7>N4j<1bho4?::m111<722wi=k<50;094?6|,:2h6h64H2g0?M5e=2con7>5;n060?6=3th:j>4?:383>5}#;1i1i55G3d18L6d23`ni6=44o377>5<52;294~"40j0n46F6=46:183!5?k39=7E=j3:J0f0=nlj0;66gkd;29?lbb2900eih50;9ja5<722cn=7>5;hg1>5<5<7s-93o7=>;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`07=<72:0;6=u+39a974=O;l90D>l:;hf`>5<>{e;:k1<7<50;2x 6>d2l20D>k<;I1a1>ocj3:17b<:4;29?xd4;k0;6>4?:1y'7=e=;81C?h=4H2`6?lbd2900eij50;9l602=831vn>=l:180>5<7s-93o7=>;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`2ff<72;0;6=u+39a9a==O;l90D>l:;hfa>5<N4m:1C?o;4ie`94?=h:<>1<75rb0`f>5<4290;w)=7c;12?M5b;2B8n85fdb83>>ocl3:17b<:4;29?xd6jo0;6>4?:1y'7=e=;81C?h=4H2`6?lbd2900eij50;9l602=831vn5<7s-93o7<:7:J0a6=O;k?0eim50;9j`a<722e9994?::a5g>=83>1<7>t$2:`>64<@:o87E=m5:kgg?6=3`no6=44ieg94?=h:<>1<75rb0`:>5<4290;w)=7c;063>N4m:1C?o;4iea94?=nlm0;66a=5583>>{e;=>1<7<50;2x 6>d2l20D>k<;I1a1>ocj3:17b<:4;29?xd4<<0;6?4?:1y'7=e=m11C?h=4H2`6?lbe2900c?;;:188yg53>3:187>50z&05G3c78mae=831bhi4?::kga?6=3f8>87>5;|`144<72;0;6=u+39a9a==O;l90D>l:;hfa>5<N4m:1C?o;4ie`94?=h:<>1<75rb320>5<5290;w)=7c;g;?M5b;2B8n85fdc83>>i5==0;66sm21694?4=83:p(>6l:d:8L6c43A9i96gkb;29?j42<3:17pl=0483>6<729q/?5m52458L6c43A9i96gkc;29?lbc2900c?;;:188yg47>3:1?7>50z&05G3c78mae=831bhi4?::m111<722wi>=950;194?6|,:2h6?;8;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`14=<72:0;6=u+39a974=O;l90D>l:;hf`>5<>{e9ml1<7<50;2x 6>d2l20D>k<;I1a1>ocj3:17b<:4;29?xd6m90;6?4?:1y'7=e=m11C?h=4H2`6?lbe2900c?;;:188yg7b93:187>50z&05G3c78mae=831bhi4?::kga?6=3f8>87>5;|`2a7<72:0;6=u+39a9601<@:o87E=m5:kgg?6=3`no6=44o377>5<53;294~"40j08=6F>i5==0;66sm1d694?5=83:p(>6l:374?M5b;2B8n85fdb83>>ocl3:17b<:4;29?xd1l3:1>7>50z&05G3c78mad=831d>8:50;9~f3c=8381<7>t$2:`>`><@:o87E=m5:kgf?6=3f8>87>5;|`5b?6=;3:1N4m:1C?o;4iea94?=nlm0;66a=5583>>{e?90;6>4?:1y'7=e=;81C?h=4H2`6?lbd2900eij50;9l602=831vn:?50;194?6|,:2h6?;8;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`46?6=;3:1N4m:1C?o;4iea94?=nlm0;66a=5583>>{e<;k1<7=50;2x 6>d2:;0D>k<;I1a1>ock3:17djk:188k7332900qo:=b;296?6=8r.84n4j8:J0a6=O;k?0eil50;9l602=831vn95<7s-93o7k7;I1f7>N4j<1bho4?::m111<722wi8?j50;194?6|,:2h6>?4H2g0?M5e=2coo7>5;hfg>5<l:;hf`>5<6<729q/?5m52458L6c43A9i96gkc;29?lbc2900c?;;:188yg41;3:197>50z&05G3c78mae=831bhi4?::kga?6=3`nm6=44o377>5<52;294~"40j0n46F>{e:?k1<7<50;2x 6>d2l20D>k<;I1a1>ocj3:17b<:4;29?xd5>k0;6?4?:1y'7=e=m11C?h=4H2`6?lbe2900c?;;:188yg41k3:1>7>50z&05G3c78mad=831d>8:50;9~f70c29086=4?{%1;g?42?2B8i>5G3c78mae=831bhi4?::m111<722wi>;k50;194?6|,:2h6>?4H2g0?M5e=2coo7>5;hfg>5<N4m:1C?o;4iea94?=nlm0;66a=5583>>{e:?>1<7=50;2x 6>d2;?<7E=j3:J0f0=nlj0;66gkd;29?j42<3:17pl=6483>6<729q/?5m52458L6c43A9i96gkc;29?lbc2900c?;;:188yg41>3:1?7>50z&05G3c78mae=831bhi4?::m111<722wi>;950;194?6|,:2h6?;8;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`14d<72:0;6=u+39a974=O;l90D>l:;hf`>5<7<729q/?5m5e99K7`5<@:h>7djm:188k7332900qo7djl:188mab=831d>8:50;9~f76b290?6=4?{%1;g?553A9n?6F5;hff>5<l:;hf`>5<37<5==1v;j50;0x93b=:<>01;h5de9~w3c=838p1;k52468926=lm1v;h50;0x93`=:<>01:?5db9~w26=838p1:>52468927=lm1v:?50;0x927=:<>01:<5de9~w25=833pR:=4=2f6>ae<5:n96im4=2ae>ae<5:ih6im4=322>ad<58o:6im4=345>ae<5;:i6im4}r:1>5<5:rT3>63;4l90<463;4k00<463>eb84<>;6m?0<463=2b84<>;5:10<463=2584<>;5:90<463=1b84<>;5910<463=1584<>;5990<463;4i80<463<9e84<>;4110<463k1;5;?8b72>2019<8:6:891?02>201;l5799>637=?116=i:5799>00c=?116=im5799>5`3=?116?4?5799>763=?116?>h5799>5g0=?116=oo5799~w<>=838pR464=e29=7<5:9>65?4=21e>=7<5:3365?4=2c6>=7<5:k:65?4=2;g>=7<50h1>8:4=2`7>ab<58h>6im4=32b>ae733343i6ij4}raf>5<4s4n:65o4=e29636<50k1ho5rsbd94?4|5m;1>;>4=8`9`f=z{8h?6=4;{<3a1?42<27:ni4kb:?2f`b88111=z{8h36=4={<3a65e=lk1v{t9ki1<773334;ii7jk;|q2fa<72;q6=oj5246894da2mn0q~?me;296~;6jl0999521b29`f=z{8hm6=4={<3ab?42<27:o=4kd:p5f7=838p1c583>46|5=3<65o4=331>ad<5;;=6il4=33b>ad<5;;n6il4=301>ad<5;8=6il4=30b>ad<5;8n6il4=0ga>ab<58o36il4=0gf>ad<58i86?;;;<030?be34;oi7jm;<3f5?bc3ty:h94?:3y>5a2=:?:01v3>d58;e>;6lk09995rs0f5>5<5s4;o:7<:4:?2`g5ag=lm1v863>dc8g`>{t9j21<79t=0f`>7073488>76j;<00a?bc34;o47jm;<3e5ae=0h16=h:52468yv7cm3:1>v3>dd8111=:9l81hn5rs0fe>5<5s4;oj7<:4:?2a750;0x94c72;??70?j3;fg?xu6m80;6?u21d39602<58o?6ij4}r3f6?6=:r7:i?4=559>5`5=lj1v863>e58gg>{t9jh1<78t=0g6>7073488>76i;<00a?bd34;o:7jl;<3e5?be348=o7jm;|q2g<<72v3>e78;e>;6m009995rs0g;>5<5s4;n47<:4:?2a<c`83>1}:9li1>;>4=317>=`<58n26im4=0d7>ad52z?2af;6mo0oh6s|1g294?2|58l<6?;;;<037?be34;oj7jm;<03`?bd3ty:j<4?:3y>5c7=:<>01v3>f38111=:9o<1hi5rs0d0>5<5s4;m?7<:4:?2b35c1=lm1v863>f48g`>{t9o31<773334;m97jj;|q2bd<72;q6=ko5246894`22ml0q~?ib;296~;6nk0999521g79a5=z{8lh6=4={<3eg?42<27:j84j1:p5cb=838p1fd83>7}:9oo1>8:4=0d6>`552z?2bc<5==16=k85db9~w7672909w0?j5;:b?847038>86s|21394?4|5;::6?;;;<031?bd3ty9654=:<>01?>::ef8yv47;3:1>v3=028111=::9<1hi5rs327>5<5s48;87<:4:?142=;50;0x97622;??7065>=lm1v?>6:18087ck32:70?j5;:2?847n38>86s|21c94?4|5;:j6?;;;<03b?bd3ty965d=:<>01?>k:ef8yv47k3:1>v3=0b8111=::9o1hh5rs32g>5<5s48;h7<:4:?14`=k50;0x976b2;??7065h4=363>ae<58n=6ij4=0d:>ad52z?1554=559~w7752909w0<>2;060>;59:0oh6s|1bf94?3|5;;?6?8?;<002?>a348?>7jl;<3g2?ba34;mn7jm;|q150<72;q6><:58`9>641=:<>0q~<>6;296~;59?0999522059`a=z{8in6=4:{<02<750;0x977?21k01??m:377?xu59h0;6?u220c9602<5;;i6ij4}r3`b?6==r79=n4=619>66>=0o16>985db9>5a0=m916=km5dc9~w77c2909w0<>c;:b?846n38>86s|20g94?4|5;;n6?;;;<02b?bc3ty:h=4?:4y>676=:?:01?=6:9d8972?2mi01>4kd:p5a7=83?p1?<;:343?844i32m70<;a;f`?87c>3o:70?id;fa?xu5:<0;6?u223698:4}r012?6=:r79>;4=559>671=lm1v;5d78f7>;6no0on6s|23;94?4|5;8365o4=30a>7333ty9>l4?:3y>67g=:<>01?f3489j7<:4:p67c=838p1?6}:::?14h522529`a=:::o1>8:4}r00b?6=;r79?;47e:?107{t:=91<7=t=31;>=c<5;>=6ij4=367>7333ty9884?:2y>66?=0l16>965de9>610=:<>0q~<;7;297~;5;h03i63=4`8g`>;5<109995rs36:>5<4s488n76j;<07g?bc348?m7<:4:p61d=839p1?=l:9g8972b2mn01?:l:377?xu5u22219<`=::<:1hi5225g960252z?171;6mj03?63>e78;7>;5:j03?63=298;7>;5:=03?63=218;7>;59j03?63=198;7>;59=03?63=118;7>;4i<03?63;41m03?63<998;7>;6lj03?63>e48;7>;41803?63<348;7>;4;o03?6s|27394?5|V;<:70<91;054>;5>m0oo6s|27094?4|5;<:65o4=344>7333ty9:>4?:3y>635=:<>01?8k:ef8yv41<3:1>v3=658111=::??1hi5rs346>5<5s48=97<:4:?123;850;0x97012;??70<97;f`?xu5>10;6?u227:9602<5;63c=lm1v?8n:181841i38>863=658g`>{t:?h1<7733348=j7jl;|q12f<72;q6>;m52468970a2mn0q~<9d;296~;5>m0999522779`f=z{;7}Y:1801?87:e`8yv54=3:1>vP<349>763=:?:0q~=<7;296~;4;l0on63<3b8111=z{:936=4={<10=6:377?854j3nh7p}<3`83>7}:;:k1>8:4=21a>ab52z?07g<5==16?>m5de9~w65c2909w0=<5;:b?854m38>86s|32d94?4|V:9m70={t;=91<7=g<5:>=6?;;;|q001<72;q6?9:5246896212mi0q~=;5;296~;4<<0999523549``=z{:?<6=4;{_163>;58;0on63>e08ga>;58k0oh6s|37:94?4|V:<370<99;fa?xu4180;69u23839636<5:h?6im4=0`6>ab<5;:j6ij4}r1:6?6=:r785<47a:?0=2<5==1v>7<:18185>;38>863<968gg>{t;0>1<77333492:7jl;|q0=0<72;q6?4;5246896?12mn0q~=66;296~;41?0999523859`a=z{:2o6=49{<1:77:9c896?d2;??7p}<9`83>7}:;0k1>8:4=2;`>ae52z?0=g<5==16?4m5de9~w6>b290=w0=6d;054>;4i003j63;41=0on63<398gg>;4<<0on6s|38g94?4|5:3o65o4=2c3>7333ty85k4?:3y>7<`=:<>01>o?:ef8yv5?n3:1:v31ho5rs2c1>5<5s49j=76n;<1b0?42<2wx?l=50;0x96g42;??70=n4;fg?xu4190;68u23`79636<5:ki65h4=2;0>ab<5:936ij4=265>ab52z?0e0;4i10oh6s|3`a94?5|5:kj65k4=2cg>733349jj7jk;|q0e`<72;q6?ll58d9>7d`=:<>0q~=m0;296~;4i0088:523`29`f=z{:h:6=4={<1be?53?278m94kc:p7g4=838p1>om:264?85f03nh7p}3}:;k>1>8:4=0ga>ae<5:k<6il4=2c0>ad<5:3m6il4=2;b>ad55z?0g<<5>916?i858d9>7fd=lk16?io5de9>635=lj1v>mn:18185d132j70=lc;060>{t;jh1<7733349ho7jk;|q0g3<72=q6?nj5272896b121l01>jn:ea897042mn0q~=le;296~;4km03m634ke:p7a7=838p1>j?:9c896b52;??7p}6}:;m91>;>4=2f;>=`<5;<86ih4}r1g0?6=:r78h>47a:?0`0<5==1v>j6:18085c?32n70=ka;060>;4lj0oh6s|3e`94?4|5:n365k4=2f`>7333ty8hi4?:3y>7a0=;==01>mi:ef8yv5cm3:1>v35<5s49o47=;7:?0`0<705``=lj1v>kj:181844=39?;63=128gg>{t;ll1<7620348:;7jl;|q0b5<72;q6>>953558977e2mi0q~=i1;296~;5;1088:5220d9`f=z{:l96=4={<00=?53?279>>4kc:p7c5=838p1?=n:264?845?3nh7p}7}:::h1?994=30a>ae52z?17f<4<>16>?h5db9~w140290>wS:=7:?762<5>916:h4kb:?76dh4kc:p07?=838p19<8:9c891572;??7p};2`83>7}:<;k1>8:4=513>ae9n7>52z?76g<5==168?j5db9~w14d2909w0:=c;060>;3:m0oh6s|43f94?4|5=8o6?;;;<61a?bc3ty?>h4?:3y>07c=:<>019v3;2g8111=:<::1hi5rs57`>5<5sW>>o63;5d8;e>{t<70734;i47jk;<61g?be3ty?5:4?:3y]0<1<5=3<6?8?;|q7`1<72;qU8i:4=34b>ad5}#;1i1i55G3d18L6d23`ni6=44o377>5<:57>52;294~"40j0n46F>{e;ol1<7<50;2x 6>d2l20D>k<;I1a1>ocj3:17b<:4;29?xd3890;6>4?:1y'7=e=;81C?h=4H2`6?lbd2900eij50;9l602=831vn979:181>5<7s-93o7k7;I1f7>N4j<1bho4?::m111<722wi8=850;094?6|,:2h6h84H2g0?M5e=2con7>5;n060?6=3th?m=4?:383>5}#;1i1i;5G3d18L6d23`ni6=44o377>5<997>52;294~"40j0n:6Fd2l<0D>k<;I1a1>ocj3:17b<:4;29?xdc;3:1>7>50z&02B8i>5G3c78mad=831d>8:50;9~f12629096=4?{%1;g?c13A9n?6Ft$2:`>`0<@:o87E=m5:kgf?6=3f8>87>5;|`7gc<72;0;6=u+39a9a3=O;l90D>l:;hfa>5<5<5290;w)=7c;g5?M5b;2B8n85fdc83>>i5==0;66sm7`83>7<729q/?5m5e79K7`5<@:h>7djm:188k7332900qo:91;296?6=8r.84n4j6:J0a6=O;k?0eil50;9l602=831vn9j9:181>5<7s-93o7k9;I1f7>N4j<1bho4?::m111<722wi:?4?:383>5}#;1i1i;5G3d18L6d23`ni6=44o377>5<>{e>90;6?4?:1y'7=e=m?1C?h=4H2`6?lbe2900c?;;:188yg3a29096=4?{%1;g?c13A9n?6Fl:;hfa>5<5<5290;w)=7c;g5?M5b;2B8n85fdc83>>i5==0;66sm5c83>7<729q/?5m5e79K7`5<@:h>7djm:188k7332900qo;n:181>5<7s-93o7k9;I1f7>N4j<1bho4?::m111<722wi944?:383>5}#;1i1i;5G3d18L6d23`ni6=44o377>5<>{e=>0;6?4?:1y'7=e=m?1C?h=4H2`6?lbe2900c?;;:188yg3129096=4?{%1;g?c13A9n?6Fl:;hfa>5<5<5290;w)=7c;g5?M5b;2B8n85fdc83>>i5==0;66sm6`83>7<729q/?5m5e79K7`5<@:h>7djm:188k7332900qo86:181>5<7s-93o7k9;I1f7>N4j<1bho4?::m111<722wi:54?:383>5}#;1i1i;5G3d18L6d23`ni6=44o377>5<>{e>?0;6?4?:1y'7=e=m?1C?h=4H2`6?lbe2900c?;;:188yg0229096=4?{%1;g?c13A9n?6Fl:;hfa>5<5<5290;w)=7c;g5?M5b;2B8n85fdc83>>i5==0;66sm5d83>7<729q/?5m5e79K7`5<@:h>7djm:188k7332900qo:64;290?6=8r.84n4>029K7`5<@:h>7djm:188m<>=831d>8:50;9la<<722wi8>h50;094?6|,:2h6?;:;I1f7>N4j<1bho4?::m111<722wi8nj50;094?6|,:2h6?;:;I1f7>N4j<1bho4?::m111<722wi84j50;094?6|,:2h6?;:;I1f7>N4j<1bho4?::m111<722wi;i4?:383>5}#;1i1>8;4H2g0?M5e=2con7>5;n060?6=3th?5?4?:383>5}#;1i1>8;4H2g0?M5e=2con7>5;n060?6=3th?454?:383>5}#;1i1>8;4H2g0?M5e=2con7>5;n060?6=3th???4?:383>5}#;1i1>8;4H2g0?M5e=2con7>5;n060?6=3th?>54?:383>5}#;1i1>8;4H2g0?M5e=2con7>5;n060?6=3th?<54?:283>5}#;1i1>884H2g0?M5e=2con7>5;h;;>5<l:;hfa>5<l:;hfa>5<l:;hfa>5<96F96F96F96F26=4=:183!5?k38>96F:6F>i5==0;66sm4c:94?5=83:p(>6l:375?M5b;2B8n85fdc83>>o>03:17b<:4;29?xd3k<;I1a1>ocj3:17b<:4;29?xd3=>0;6?4?:1y'7=e=:k<;I1a1>ocj3:17b<:4;29?xd39m0;6?4?:1y'7=e=:k<;I1a1>ocj3:17b<:4;29?xd5j?0;6?4?:1y'7=e=:k<;I1a1>ocj3:17b<:4;29?xd5j90;6?4?:1y'7=e=:k<;I1a1>ocj3:17b<:4;29?xd5m;0;6?4?:1y'7=e=:k<;I1a1>ocj3:17b<:4;29?xd5lj0;6?4?:1y'7=e=:k<;I1a1>ocj3:17b<:4;29?xd5l?0;6?4?:1y'7=e=:k<;I1a1>ocj3:17b<:4;29?xd5l90;6?4?:1y'7=e=:k<;I1a1>ocj3:17b<:4;29?xd5kh0;6?4?:1y'7=e=:k<;I1a1>ocj3:17b<:4;29?xd5k=0;6?4?:1y'7=e=:k<;I1a1>ocj3:17b<:4;29?xd5k:0;6?4?:1y'7=e=:k<;I1a1>ocj3:17b<:4;29?xd5jm0;6?4?:1y'7=e=:k<;I1a1>ocj3:17b<:4;29?xd5j>0;6?4?:1y'7=e=:k<;I1a1>ocj3:17b<:4;29?xd5ih0;6?4?:1y'7=e=:k<;I1a1>ocj3:17b<:4;29?xu593:1>v3;4nl0on6s|2383>7}:;ok1>=523gd9`g=z{;o1<77c<5=:96il4}r1`>5<5sW9h70;;:e`8yv5c2909wS=k;<76>ad5<5sW>;70;7:e`8yv262909wS:>;<7:>ad96=4={_61?83f2mh0q~:<:181[2434?i6il4}r67>5<5sW>?70;l:e`8yv222909wS::;<7g>ad=6=4={_65?83b2mh0q~:8:181[2034?m6il4}r6;>5<5sW>3708?:e`8yv2>2909wS:6;<42>adi6=4={_6a?8052mh0q~:l:181[2d34<86il4}r6g>5<5sW>o708;:e`8yv2b2909wS:j;<46>adm6=4={_6e?8012mh0q~;?:181[3734<<6il4}r72>5<5sW?:7087:e`8yv352909wS;=;<4:>ad11<5==1v8;50;1x96`021168i958:?61?42<2wx9;4?:2y>7c1=127?h:46;<75>7333ty>;7>53z?0b2o;7o4=459602f=:{t=h0;6>u23g59`>;3l>0o70;n:377?xu2j3:1?v3h8:02891b028:018j52468yv3b2909w0:k7;32?83b2;??7p}:f;297~;4n>0:>63;d6826>;2n38>86s|6183>6}:;o=1=9524e5951=:>909995rs7394?4|5:l<6<;4=7396028:?57?42<2wx:94?:3y>0a1=9016:94=559~w33=838p19j8:0c8933=:<>0q~89:18182c?3;i7089:377?xu1?3:1>v3;d682g>;1?38>86s|6983>7}:4c<5=n<6o;7?i;<4b>7333ty=n7>57z?0b<<1j278j;49b:?75<027?:>468:?7f=<>02wx;>4?:4y>7c1=?:168i95729>7cg=?:16?k65729>7c0=?:1v:750;0xZ2?<5>k1ho5rs6c94?4|5:l26:74=6c9602{t?l0;6?uQ7d9>3c7c?=?l16;k4=559~w<>=838pR464=ba9`g=z{ji1<7=t=2d:><><5:l=6464=ba960232970j<:377?xub<3:1>v3;4n10n86s|e`83>7}:;ok1il523g;9ad=z{oo1<7cc<5:l26kk4}r330?6=:r78j:4>059>7cg=99>0q~?>2;296~;4nh0:=n523g;954452z?0bd<69l16?k751208yv74;3:1>v3=4}r30e?6=;r78j:4>3`9>7cg=9:k01>h7:01b?xu6;k0;6?u23gc956d<5:l36<=m;|q27a<72;q6?ko512f896`>289o7p}>8e83>7}:;ok1=5j4=2d:>4g63ty:m?4?:3y>7cg=9h801>h6:0c1?xu5=80;6?u23d69`<=:<0>1ho5rs371>5<4s4>287<:4:?0b2<31:16?k754818yv4193:1>v3;?4}r044?6=:rT9;=522`c960252z\134=::k:1>8:4}r047?6=:rT9;>522c4960252z\131=::k=1>8:4}r041?6=:rT9;8522cf960252z\133=::j91>8:4}r04f?6=:rT9;o522b6960252z\13f=::jk1>8:4}r04`?6=:rT9;i522e2960252z\13`=::m<1>8:4}r04b?6=:rT9;k522ea960252z\1<5=::l81>8:4}r0;6?6=:r78j:4=839>0a1=:180q~<73;290~;4n>094>524e596=5<5:l36?6=;<1e2?4?:2wx>5650;0x91b02;2370=i8;0;<>{t:hk1<7717348jm7jm;|q1f5<72;q68i95263897d72mh0q~09;>522c49`g=z{;h<6=4={<6g3?40<279n:4kb:p6gb=838p19j8:356?84el3ni7p}=c283>7}::84=3a0>ad52z?7`2<5?k16>n:5dc9~w7ef2909w0:k7;04g>;5kh0on6s|2e294?4|5=n<6?9k;<0g4?be3ty9h;4?:3y>0a1=:>o01?j9:e`8yv4ck3:1>v3;d6813c=::mi1ho5rs3g1>5<5s4>o;7<70:?1a7h650;1x96`02;o370:k7;0f<>;4nh09i55rs3gg>5<5s49m;7=::18182c?398:63;4n?089:5rs24;>5<5s49m;7=98:?0b3<4>11v>hm:181[5aj278jn4=559~w6`d2909w0:?0;060>;4nj0on6s|3gf94?4|5:ln6?;;;<634?bd3ty8jh4?:3y>7c`=:<>019>?:ef8yv2793:1>vP;009>055=:<>0q~:?3;296~;38;0999524119`g=z{=:>6=4={_631>;38?0on6s|41494?2|5=n<69>;;<1ee?27<278j54;059>050=:<>0q~:?7;296~X38>168=652468yv2713:1>v35<5sW>:463;1`8111=z{=;j6=4={<62=?42<27?=l4kb:p04e=838pR9?l;<62`?42<2wx8d;fa?xu3:=0;6?uQ436891422mh0q~:=5;296~;4n00?=o5243796029;7>52z\762=:<;21>8:4}r6107>=lk1v9=>:181[24927???4=559~w1552909w0:k7;605>;3;;0on6s|42g94?4|V=9n70:{t<:l1<7=t=5f4>15c349m47:50;0xZ12734>?=7jm;|q704<72;q6?k7542f891262;??7p};4983>7}Y<=2019:6:377?xu3<00;6?u23g;901><5=>26il4}r67g?6=:rT?8n5245g9602?i7>52z?0bd<3>963;568gf>{t<7}:;o<188m4=57g>7333ty?:=4?:3y]036<5=<:6il4}r655?6=;r7?h:4;5g9>7cg=<:377?xu3>;0;6?uQ470891042;??7p};6583>7}:;o3188h4=540>ad<;7>52z\732=:<>21>8:4}r6402>=lk1v968:181[2??27?454=559~w1>?2909w0:k7;645>;3010on6s|49;94?4|V=2270:7a;060>{t<1k1<71d734>3m7jm;|q77333ty?5?4?:3y>0a1=<1h0197=:e`8yv2>;3:1>vP;929>0<2=m01v97::18182>>38>863;958:<>{t<0=1<71?034>2:7jm;|q7==<72;qU8464=5;b>7333ty?5l4?:3y>0a1=<020197n:e`8yv2>j3:1>vP;9c9>00q~:6d;296~;3l>0?5o5248f9`g=z{=3m6=4={_6:b>;3i90on6s|4`294?5|5=n<697j;<1ee?2>m27?m=4=559~w1g62909wS:n1:?7e7<5==1v9o<:18185a13>2i63;a38gf>{t86s|4c;94?4|5:l269l9;<6a;3ko09995rs5f6>5<5sW>o963;d78gf>{t1b334>o;7:k4:?0bd<3l=16?k854e6891b12;??7psa107g>5<5sA9i96sa107f>5<5sA9i96sa107e>5<5sA9i96sa1043>5<6sA9i96sa1042>5<6sA9i96sa1041>5<6sA9i96sa1040>5<6sA9i96sa1047>5<6sA9i96sa1046>5<6sA9i96sa1045>5<6sA9i96sa1044>5<6sA9i96sa104;>5<6sA9i96sa104:>5<6sA9i96sa104b>5<6sA9i96sa104a>5<6sA9i96sa104`>5<6sA9i96sa104g>5<6sA9i96sa104f>5<6sA9i96sa104e>5<6sA9i96sa1053>5<6sA9i96sa1052>5<6sA9i96sa1051>5<6sA9i96sa1050>5<6sA9i96sa1057>5<6sA9i96sa1056>5<6sA9i96sa1055>5<6sA9i96sa1054>5<4sA9i96sa105;>5<4sA9i96sa105:>5<4sA9i96sa105b>5<4sA9i96sa105a>5<4sA9i96sa105`>5<4sA9i96sa105g>5<4sA9i96sa105f>5<4sA9i96sa105e>5<4sA9i96sa10:3>5<4sA9i96sa10:2>5<5sA9i96sa10:1>5<4sA9i96sa10:0>5<4sA9i96sa10:7>5<5sA9i96sa10:6>5<5sA9i96sa10:5>5<5sA9i96sa10:4>5<5sA9i96sa10:;>5<5sA9i96sa10::>5<5sA9i96sa10:b>5<5sA9i96sa10:a>5<5sA9i96sa10:`>5<4sA9i96sa10:g>5<4sA9i96sa10:f>5<4sA9i96sa10:e>5<1sA9i96sa10;3>5<6sA9i96sa10;2>5<5sA9i96sa10;1>5<6sA9i96sa10;0>5<6sA9i96sa10;7>5<6sA9i96sa10;6>5<5sA9i96sa10;5>5<6sA9i96sa10;4>5<4sA9i96sa10;;>5<6sA9i96sa10;:>5<1sA9i96sa10;b>5<4sA9i96sa10;a>5<4sA9i96sa10;`>5<4sA9i96sa10;g>5<4sA9i96sa10;f>5<4sA9i96sa10;e>5<4sA9i96sa10c3>5<4sA9i96sa10c2>5<3sA9i96sa10c1>5<4sA9i96sa10c0>5<4sA9i96sa10c7>5<4sA9i96sa10c6>5<4sA9i96sa10c5>5<6sA9i96sa10c4>5<4sA9i96sa10c;>5<4sA9i96sa10c:>5<6sA9i96sa10cb>5<6sA9i96sa10ca>5<6sA9i96sa10c`>5<6sA9i96sa10cg>5<6sA9i96sa10cf>5<6sA9i96sa10ce>5<6sA9i96sa10`3>5<6sA9i96sa10`2>5<6sA9i96sa10`1>5<6sA9i96sa10`0>5<6sA9i96sa10`7>5<6sA9i96sa10`6>5<4sA9i96sa10`5>5<2sA9i96sa10`4>5<4sA9i96sa10`;>5<5sA9i96sa10`:>5<5sA9i96sa10`b>5<4sA9i96sa10`a>5<5sA9i96sa10``>5<5sA9i96sa10`f>5<5sA9i96sa10`e>5<5sA9i96sa10a3>5<5sA9i96sa10a2>5<5sA9i96sa10a1>5<5sA9i96sa10a0>5<5sA9i96sa10a7>5<3sA9i96sa10a6>5<4sA9i96sa10a5>5<4sA9i96sa10a4>5<4sA9i96sa10a;>5<4sA9i96sa10a:>5<4sA9i96sa10ab>5<6sA9i96sa10aa>5<6sA9i96sa10a`>5<6sA9i96sa10ag>5<6sA9i96sa10af>5<6sA9i96sa10ae>5<6sA9i96sa10f3>5<6sA9i96sa10f2>5<3sA9i96sa10f1>5<6sA9i96sa10f0>5<5sA9i96sa10f7>5<6sA9i96sa10f6>5<6sA9i96sa10f5>5<6sA9i96sa10f4>5<5sA9i96sa10f;>5<6sA9i96sa10f:>5<5sA9i96sa10fb>5<6sA9i96sa10fa>5<5sA9i96sa10f`>5<6sA9i96sa10fg>5<5sA9i96sa10ff>5<6sA9i96sa10fe>5<4sA9i96sa10g3>5<6sA9i96sa10g2>5<5sA9i96sa10g1>5<6sA9i96sa10g0>5<5sA9i96sa10g7>5<6sA9i96sa10g6>5<5sA9i96sa10g5>5<6sA9i96sa10g4>5<5sA9i96sa10g;>5<6sA9i96sa10g:>5<5sA9i96sa10gb>5<6sA9i96sa10ga>5<4sA9i96sa10g`>5<6sA9i96sa10gg>5<5sA9i96sa10gf>5<6sA9i96sa10ge>5<5sA9i96sa10d3>5<6sA9i96sa10d2>5<5sA9i96sa10d1>5<6sA9i96sa10d0>5<5sA9i96sa10d7>5<6sA9i96sa10d6>5<5sA9i96sa10d5>5<6sA9i96sa10d4>5<6sA9i96sa10d;>5<5sA9i96sa10d:>5<6sA9i96sa10db>5<5sA9i96sa10da>5<6sA9i96sa10d`>5<5sA9i96sa10dg>5<6sA9i96sa10df>5<4sA9i96sa10de>5<6sA9i96sa1323>5<5sA9i96sa1322>5<6sA9i96sa1321>5<5sA9i96sa1320>5<6sA9i96sa1327>5<5sA9i96sa1326>5<6sA9i96sa1325>5<5sA9i96sa1324>5<6sA9i96sa132;>5<2sA9i96sa1b194?7|@:h>7p`>c583>4}O;k?0qc?l5;295~N4j<1vb51zJ0f0=zf8ij6=4>{I1a1>{i9jh1<7?tH2`6?xh6kj0;6l:;|l2`6<728qC?o;4}o3g0?6=9rB8n85rn0f5>5<6sA9i96sa1e594?7|@:h>7p`>d983>4}O;k?0qc?k9;295~N4j<1vb51zJ0f0=zf8nm6=4>{I1a1>{i9l;1<7?tH2`6?xh6m;0;6l:;|l2a3<728qC?o;4}o3f3?6=9rB8n85rn0g;>5<6sA9i96sa1d;94?7|@:h>7p`>e`83>4}O;k?0qc?jb;295~N4j<1vb51zJ0f0=zf8l:6=4>{I1a1>{i9o81<7?tH2`6?xh6n:0;6l:;|l2b2<728qC?o;4}o3e5<6sA9i96sa1gc94?7|@:h>7p`>fc83>4}O;k?0qc?ic;295~N4j<1vb51zJ0f0=zf;::6=4>{I1a1>{i:981<7?tH2`6?xh58:0;6l:;|l142<728qC?o;4}o035<6sA9i96sa21c94?7|@:h>7p`=0c83>4}O;k?0qck:182M5e=2we>=k50;3xL6d23td?n<4?:0yK7g3i?7>51zJ0f0=zf=h?6=4>{I1a1>{il:;|l7g=<728qC?o;4}o6`=?6=9rB8n85rn5ab>5<6sA9i96sa4b`94?7|@:h>7p`;cb83>4}O;k?0qc:ld;295~N4j<1vb9mj:182M5e=2we8nh50;3xL6d23td?h=4?:0yK7g3o=7>51zJ0f0=zf=n96=4>{I1a1>{il:;|l7`=<728qC?o;4}o6g=?6=9rB8n85rn5fb>5<6sA9i96sa4e`94?7|@:h>7p`;db83>4}O;k?0qc:kd;295~N4j<1vb9jj:182M5e=2we8ih50;3xL6d23td?i=4?:0yK7g3n=7>51zJ0f0=zf=o96=4>{I1a1>{il:;|l7a=<728qC?o;4}o6f=?6=9rB8n85rn5gb>5<6sA9i96sa4d`94?7|@:h>7p`;eb83>4}O;k?0qc:jd;295~N4j<1vb9kj:182M5e=2we8hh50;3xL6d23td?j=4?:0yK7g3m=7>51zJ0f0=zf=l96=4>{I1a1>{il:;|l7b=<728qC?o;4}o6e=?6=9rB8n85rn5db>5<6sA9i96sa4g`94?7|@:h>7p`;fb83>4}O;k?0qc:id;295~N4j<1vb9hj:182M5e=2we8kh50;3xL6d23td><<4?:0yK7g37>51zJ0f0=zf<:86=4>{I1a1>{i=9>1<7?tH2`6?xh28<0;63:1=vF=83;pD>l:;|l64<<728qC?o;4}o73e?6=9rB8n85rn42a>5<6sA9i96sa51a94?7|@:h>7p`:0e83>4}O;k?0qc;?e;295~N4j<1vb8>i:182M5e=2we9<>50;3xL6d23td>=<4?:0yK7g37>51zJ0f0=zf<;86=4>{I1a1>{i=8>1<7?tH2`6?xh29<0;63:1=vF=83;pD>l:;|l65<<728qC?o;4}o72e?6=9rB8n85rn43a>5<6sA9i96sa50a94?7|@:h>7p`:1e83>4}O;k?0qc;>e;295~N4j<1vb8?i:182M5e=2we9?>50;3xL6d23td>><4?:0yK7g37>51zJ0f0=zf<886=4>{I1a1>{i=;>1<7?tH2`6?xh2:<0;63:1=vF=83;pD>l:;|l66<<728qC?o;4}o71e?6=9rB8n85rn40a>5<6sA9i96sa53a94?7|@:h>7p`:2e83>4}O;k?0qc;=e;295~N4j<1vb8>50;3xL6d23td>??4?:0yK7g351zJ0f0=zf<9<6=4>{I1a1>{i=:21<7?tH2`6?xh2;00;6l:;|l67a<728qC?o;4}o70a?6=9rB8n85rn41e>5<6sA9i96sa55194?7|@:h>7p`:4583>4}O;k?0qc;;5;295~N4j<1vb8:8:182M5e=2we99650;3xL6d23td>844?:0yK7g351zJ0f0=zf<>h6=4>{I1a1>{i==n1<7?tH2`6?xh2l:;|l613<728qC?o;4}o763?6=9rB8n85rn643>5<6sA9i96sa77194?7|@:h>7p`86583>4}O;k?0qc996;295~N4j<1vb:8n:182M5e=2we;;m50;3xL6d23td<:i4?:0yK7g351zJ0f0=zf>{I1a1>{i?>:1<7?tH2`6?xh0?80;6l:;|l430<728qC?o;4}o542?6=9rB8n85rn654>5<6sA9i96sa76:94?7|@:h>7p`87883>4}O;k?0qc98a;295~N4j<1vb:9m:182M5e=2we;:m50;3xL6d23td<;h4?:0yK7g351zJ0f0=zf>2;6=4>{I1a1>{i?1;1<7?tH2`6?xh00;0;63:1=vF0290:wE=m5:m3=>=83;pD>l:;|l4<<<728qC?o;4}o5;e?6=9rB8n85rn6:a>5<6sA9i96sa79a94?7|@:h>7p`88e83>4}O;k?0qc97e;295~N4j<1vb:6i:182M5e=2we;4>50;3xL6d23td<5<4?:0yK7g37>51zJ0f0=zf>386=4>{I1a1>{i?0>1<7?tH2`6?xh01<0;6>3:1=vF=83;pD>l:;|l4=<<728qC?o;4}o5:e?6=9rB8n85rn6;a>5<6sA9i96sa78a94?7|@:h>7p`89e83>4}O;k?0qc96f;295~N4j<1vb:o?:182M5e=2we;l?50;3xL6d23td51zJ0f0=zf>k?6=4>{I1a1>{i?h?1<7?tH2`6?xh0i?0;6l:;|l4ed<728qC?o;4}o5bf?6=9rB8n85rn6c`>5<6sA9i96sa7`f94?7|@:h>7p`8ad83>4}O;k?0qc9nf;295~N4j<1vb:l>:182M5e=2we;o<50;3xL6d23td4?:0yK7g351zJ0f0=zf>h>6=4>{I1a1>{i?k<1<7?tH2`6?xh0j>0;6290:wE=m5:m3gg=83;pD>l:;|l4fg<728qC?o;4}o5ag?6=9rB8n85rn6`g>5<6sA9i96sa7cg94?7|@:h>7p`8bg83>4}O;k?0qc9l0;295~N4j<1vb:m>:182M5e=2we;n<50;3xL6d23td51zJ0f0=zf>i=6=4>{I1a1>{i?j=1<7?tH2`6?xh0k10;6l:;|l4gf<728qC?o;4}o5``?6=9rB8n85rn6af>5<6sA9i96sa7bd94?7|@:h>7p`8d183>4}O;k?0qc9k1;295~N4j<1vb:j=:182M5e=2we;i=50;3xL6d23td51zJ0f0=zf>n=6=4>{I1a1>{i?m=1<7?tH2`6?xh0l10;6l:;|l4``<728qC?o;4}o5gb?6=9rB8n85rn6g3>5<6sA9i96sa7d394?7|@:h>7p`8e283>4}O;k?0qc9j4;295~N4j<1vb:k::182M5e=2we;h850;3xL6d23td51zJ0f0=zf1>>6=4>{I1a1>{i0=<1<7?tH2`6?xh?<10;62:3:1=vFl:;|l;10<728qC?o;4}o:62?6=9rB8n85rn974>5<6sA9i96sa84:94?7|@:h>7p`75c83>4}O;k?0qc6:c;295~N4j<1vb5;k:182M5e=2we48k50;3xL6d23td3:=4?:0yK7g351zJ0f0=zfk?:6=4>{I1a1>{ij<81<7?tH2`6?xhe=:0;6l:;|la12<728qC?o;4}o`65<6sA9i96sab4c94?7|@:h>7p`m5d83>4}O;k?0qcl:f;295~N4j<1vbo8?:182M5e=2wen;?50;3xL6d23tdi:?4?:0yK7g351zJ0f0=zfk{I1a1>{ij??1<7?tH2`6?xhe>>0;6?uG3c78ykd103:1=vF290:wE=m5:mf3g=83;pD>l:;|la2g<728qC?o;4}o`5g?6=9rB8n85rnc4g>5<6sA9i96sab7g94?7|@:h>7p`m6g83>7}O;k?0qcl80;295~N4j<1vbo9>:182M5e=2wen:<50;0xL6d23tdi;>4?:0yK7g351zJ0f0=zfk=>6=4={I1a1>{ij><1<7?tH2`6?xhe?>0;6vF2909wE=m5:mf2g=83;pD>l:;|la3g<728qC?o;4}o`4g?6=9rB8n85rnc5g>5<6sA9i96sab6g94?7|@:h>7p`m7g83>4}O;k?0qcl70;295~N4j<1vbo6>:182M5e=2wen5<50;3xL6d23tdi4>4?:0yK7g351zJ0f0=zfk2>6=4={I1a1>{ij1<1<70;6?uG3c78ykd?03:1=vFf290:wE=m5:mf=d=83;pD>l:;|la5<6sA9i96sab9d94?7|@:h>7p`m9183>4}O;k?0qcl61;295~N4j<1vbo7=:182M5e=2wen4=50;3xL6d23tdi594?:0yK7g351zJ0f0=zfk3=6=4>{I1a1>{ij0=1<7?tH2`6?xhe110;613:1=vFl:;|la=`<728qC?o;4}o`:b?6=9rB8n85rncc3>5<6sA9i96sab`394?7|@:h>7p`ma383>4}O;k?0qcln3;295~N4j<1vboo;:182M5e=2wenl;50;3xL6d23tdim54?:0yK7g351zJ0f0=zfkkj6=4>{I1a1>{ijhh1<7?tH2`6?xheij0;6l:;|laf5<728qC?o;4}o`a5?6=9rB8n85rnc`1>5<6sA9i96sabc194?7|@:h>7p`mb583>4}O;k?0qclm5;295~N4j<1vbol9:182M5e=2weno950;3xL6d23tdin54?:0yK7g351zJ0f0=zfkhj6=4>{I1a1>{ijkh1<7?tH2`6?xhejj0;6l:;|lag5<728qC?o;4}o``5?6=9rB8n85rnca1>5<6sA9i96sabb194?7|@:h>7p`mc583>4}O;k?0qcll5;295~N4j<1vbom9:182M5e=2wenn950;3xL6d23tdio54?:0yK7g351zJ0f0=zfkij6=4>{I1a1>{ijjh1<7?tH2`6?xhekj0;6l:;|la`5<728qC?o;4}o`g5?6=9rB8n85rncf1>5<6sA9i96sabe194?7|@:h>7p`md783>4}O;k?0qclk7;295~N4j<1vboj7:182M5e=2weni750;3xL6d23tdiho4?:0yK7g351zJ0f0=zfkno6=4>{I1a1>{ijmo1<7?tH2`6?xhelo0;6l:;|laa6<728qC?o;4}o`f0?6=9rB8n85rncg6>5<6sA9i96sabd;94?7|@:h>7p`mec83>4}O;k?0qcljc;295~N4j<1vbokk:182M5e=2wenhh50;3xL6d23tdij=4?:0yK7g351zJ0f0=zfkl96=4>{I1a1>{ijo91<7?tH2`6?xhen=0;6l:;|lab=<728qC?o;4}o`ef?6=9rB8n85rncd`>5<6sA9i96sabgf94?7|@:h>7p`mfd83>4}O;k?0qclif;295~N4j<1vbn>?:182M5e=2weo=?50;3xL6d23tdh51zJ0f0=zfj:?6=4>{I1a1>{ik9<1<7?tH2`6?xhd8>0;6290:wE=m5:mg5g=83;pD>l:;|l`4g<728qC?o;4}oa3g?6=9rB8n85rnb2g>5<6sA9i96sac1g94?7|@:h>7p`l0g83>4}O;k?0qcm>0;295~N4j<1vbn?>:182M5e=2weo<<50;3xL6d23tdh=>4?:0yK7g351zJ0f0=zfok26=4>{I1a1>{inhk1<7?tH2`6?xhaik0;6l:;|lef7<728qC?o;4}oda7?6=9rB8n85rng`7>5<6sA9i96safc794?7|@:h>7p`ib783>4}O;k?0qchm7;295~N4j<1vbkl7:182M5e=2wejo750;3xL6d23tdmnl4?:0yK7g351zJ0f0=zfohh6=4>{I1a1>{inkn1<7?tH2`6?xhajl0;6l:;|leg7<728qC?o;4}od`7?6=9rB8n85rnga7>5<6sA9i96safb794?7|@:h>7p`ic783>4}O;k?0qchl7;295~N4j<1vbkm7:181M5e=2wejn750;3xL6d23tdmol4?:0yK7g351zJ0f0=zfoih6=4>{I1a1>{injn1<7?tH2`6?xhakl0;6l:;|le`7<728qC?o;4}odg7?6=9rB8n85rngf7>5<6sA9i96safe794?4|@:h>7p`id783>4}O;k?0qchk7;295~N4j<1vbkj7:182M5e=2weji750;3xL6d23tdmhl4?:0yK7g351zJ0f0=zfonh6=4>{I1a1>{inmn1<7?tH2`6?xhall0;6l:;|lea7<728qC?o;4}odf7?6=9rB8n85rngg7>5<6sA9i96safd794?7|@:h>7p`ie783>4}O;k?0qchj7;295~N4j<1vbkk7:182M5e=2wejh750;3xL6d23tdmil4?:0yK7g351zJ0f0=zfooh6=4>{I1a1>{inln1<7?tH2`6?xhaml0;6l:;|leb7<728qC?o;4}ode7?6=9rB8n85rngd7>5<6sA9i96safg794?4|@:h>7p`if783>4}O;k?0qchi7;295~N4j<1vbkh7:181M5e=2wejk750;3xL6d23tdmjl4?:0yK7g352zJ0f0=zfolh6=4>{I1a1>{inon1<7?tH2`6?xhanl0;6?uG3c78yk`an3:1=vFvFvF3:1=vFvFvFvFvFvFvFvFvFvFvFvF3:1>vF3:1=vFvFvFvFvF3:1=vFvFvFvFvFvFvFvFvFvF3:1>vFvFvFvFvFvFvFvFvFvFvFvFvFvFvFvF6?=:NWWTPR=ZH6:=7>111922?OIX\^1M1<<:9<24>462@D[YY4N<3395;733;91EC^ZT;C\BVD;::0:2<<43;KMTPR=JHI_~1=51?d87?OIX\^1_Z2<:0<27>5=G\^[YY4MABVq86<66<1J0<>15:C?54823H6:>3;4A=30:0=F48>596O314<6?D:6>7?0M1?8>49B84>9=2K7=40;;@>2:0=F4;:5;6O32082:0=F4;;596O323<4?D:5;32596O322<7?D:56=1J0>0;;@>7:1=F4<4?7L29>59B82833H63295N<8<7?DJB8<1J@H>B5:COA5Ud3HFNGKM9Ufyu>?0133`>GKM9Ufyu>?010g?DJB8Vg~t=>?02f8EIC7Wds<=>?4e9BH@6Xe|r;<=>:d:COA5Yj}q:;<=8k;@NF4Zkrp9:;<:j4AMG3[hs89:;4i5NLD2\ip~789:286OCE0a8EIC6WVey<=>>d:COA4YXg{:;<GXNZH7=?06;@]EWG:6;730MRH\B=37:<=FWOYI0<;19:C\BVD;9?427LQISC>23;?89B[CUE483546OPFR@?5;?89B[CUE4;;556OPFR@?678e3HUM_O2=3;3==>GXNZH7>>07;@]EWG:5611JSK]M<2<;?DYA[K6?255N_GQA808?3HUM_O29>99B[CUE4>437LQISC>;:==FWOYI040k;@]EWGY68VCIYKj4A^DPFZ76W@H^Ji5N_GQA[44XAK_Mh6OPFR@\56YNJ\Lo7LQISC]20ZOE]On0MRH\B^36[LDRNm1JSK]M_04\MGSAl2KTJ^LP16]JFP@c3HUM_OQ>8^KAQCbm7LaztNG\ip~789:>j6O`uuMF[hs89:;:95MABV6?GGD\8?0NLM[299AEFRu48437OOLTs>1:d=EIJ^y0>4>>99AEFRu4:4<7OOLTs]33>DFK]xT=:5MABVq[7>E58DOE:6M=5LGMf>E5=DOESBLZF29@JW@H^J95L8Lp4?F>Jz5:5;6M7Ms>2:3=D0DxT<;5L8Lp\57=DM:1H@F=4CMP0?FHS12IDA@G[TDF4?FTBI]OO>6M\6:Aoadt6n2Igil|>_^cm`5678h1H~hjftr>3:d=Dzlnbx~2>>`9@v`bn|z692l5Lrdfjpv:46h1H~hjftr>7:d=Dzlnbx~2:>`9@v`bn|z6=245LrdfjpvY79;1H~hjftr]3[Zgil9:;<<=4CsggmquX8VUjbi>?01327>EummcR>P_`lg4567:8;0Okkiuq\4ZYhz9:;<<<4CsggmquX8VUd~=>?0031?Ftbl`~xS=QPos234546:2Iyiig{s^2\[jt789:8=?5LrdfjpvY7WVey<=>?4008Gwcca}yT?189@v`bn|zU9=?5LrdfjpvY5WVkeh=>?0018Gwcca}yT>RQnne23457>3Jxnhdz|_232?Ftbl`~xS>QPos2345753Jxnhdz|_2]\kw6789;:>6M}eekwwZ5XWfx;<=>=9:Aqaaos{V>:>6M}eekwwZ2XWhdo<=>?129@v`bn|zU?SRoad12344?5LrdfjpvY1WVkeh=>?0015?Ftbl`~xS@okdrwa[Zgclzi<=>?_LzlvZTb{|f0<0PMymq[Wct}e~846M}eekwwZKflmy~nRQndeqvf5678VGscQ]erwop979WDrd~RQwos2345543Jxnhdz|_Lcg`vseWVkoh~{m0123[H~hzVXnxb{<0<\[}iu89:;?;5LrdfjpvYJimnxyoQPaefpqg6789UFtb|PRdqvhq:56VGscQ]erwop6>?329@v`bn|zUFmij|uc]\eabt}k:;<=QBxnp\V`urd}692RQwos2345513Jxnhdz|_Lcg`vseWVkoh~{m0123[H~hzVXnxb{<2<\I}iuW[oxyaz<8:Aqaaos{VGjhi}zb^]b`aurj9:;?379@v`bn|zUFmij|uc]\eabt}k:;<=QBxnp\V`urd}6?2RCwos]Qavsk|:20Okkiuq\Idbc{|hTSljkst`3456XEqeyS_k|umv?0;YJpfxTSua}012376=Dzlnbx~QBaefpqgYXimnxyo>?01]N|jtXZly~`y2;>^]{kw67899=7N|jdhvp[HgclziSRokdrwa4567WDrd~R\jstnw808XEqeyS_k|umv0<>EummcRCndeqvfZYflmy~n=>?0^O{kwYUmzgx1;1_LzlvZYg{:;<==<;Bpf`lrtWDkoh~{m_^cg`vse89:;S@v`r^Pfwpjs4<4TSua}012373=Dzlnbx~QBaefpqgYXimnxyo>?01]N|jtXZly~`y29>^O{kwYUmzgx>64CsggmquXEhnoxlP_`fgwpd789:TAua}_Sgpqir;>7UFtb|P_ymq4567;:1H~hjftr]Neabt}kUTmij|uc2345YJpfxT^h}zlu>5:ZYg{:;<=<<;Bpf`lrtWDkoh~{m_^cg`vse89:;SRa}012261=Dzlnbx~QBaefpqgYXimnxyo>?01]\kw6788;986M}eekwwZKflmy~nRQndeqvf5678VUd~=>?1307?Ftbl`~xS@okdrwa[Zgclzi<=>?_^mq4566;;>0Okkiuq\Idbc{|hTSljkst`3456XWfx;<=?;259@v`bn|zUFmij|uc]\eabt}k:;<=QPos234435<2Iyiig{s^Ob`aurjVUjhi}zb1234ZYhz9:;=;<<;Bpf`lrtWDkoh~{m_^cg`vse89:;SRa}012161=Dzlnbx~QBaefpqgYXimnxyo>?01]\kw678;;986M}eekwwZKflmy~nRQndeqvf5678VUd~=>?2307?Ftbl`~xS@okdrwa[Zgclzi<=>?_^mq4565;;>0Okkiuq\Idbc{|hTSljkst`3456XWfx;<=<;259@v`bn|zUFmij|uc]\eabt}k:;<=QPos234735<2Iyiig{s^Ob`aurjVUjhi}zb1234ZYhz9:;>;<<;Bpf`lrtWDkoh~{m_^cg`vse89:;SRa}012061=Dzlnbx~QBaefpqgYXimnxyo>?01]\kw678:;986M}eekwwZKflmy~nRQndeqvf5678VUd~=>?3307?Ftbl`~xS@okdrwa[Zgclzi<=>?_^mq4564;;>0Okkiuq\Idbc{|hTSljkst`3456XWfx;<==;259@v`bn|zUFmij|uc]\eabt}k:;<=QPos234635<2Iyiig{s^Ob`aurjVUjhi}zb1234ZYhz9:;?;<<;Bpf`lrtWDkoh~{m_^cg`vse89:;SRa}012761=Dzlnbx~QBaefpqgYXimnxyo>?01]\kw678=;986M}eekwwZKflmy~nRQndeqvf5678VUd~=>?4307?Ftbl`~xS@okdrwa[Zgclzi<=>?_^mq4563;;>0Okkiuq\Idbc{|hTSljkst`3456XWfx;<=:;259@v`bn|zUFmij|uc]\eabt}k:;<=QPos234135<2Iyiig{s^Ob`aurjVUjhi}zb1234ZYhz9:;8;<<;Bpf`lrtWDkoh~{m_^cg`vse89:;SRa}012661=Dzlnbx~QBaefpqgYXimnxyo>?01]\kw678<;9m6M}eekwwZKflmy~nRQndeqvf5678Vey<=>:_`lg4567:k1H~hjftr]Neabt}kUTmij|uc2345Yhz9:;9Road123444e3Jxnhdz|_Lcg`vseWVkoh~{m0123[jt789?Tmcj?01216d=Dzlnbx~QBaefpqgYXimnxyo>?01]lv567=Vkeh=>?13`8Gwcca}yTAljkst`\[dbc{|h;<=>Pos2340Yffm:;<o5LrdfjpvYJimnxyoQPaefpqg6789Ud~=>?5^cm`567:88i7N|jdhvp[HgclziSRokdrwa4567Wfx;<=;Paof345459?1H~hjftr]\eabt}k:;<=2>>048Gwcca}yTSljkst`3456;:7;=7N|jdhvp[Zgclzi<=>?<2<22>EummcRQndeqvf56785>5=;5LrdfjpvYXimnxyo>?01>6:400:Aqaaos{Vkeh=>?2033?Ftbl`~xSl`k012164669Geqg;97<0Hb{{ODf8@jssGLUd~=>?0d9GkprHMVey<=>?1d9GkprHMVey<=>?2d9GkprHMVey<=>?3d9GkprHMVey<=>?4d9GkprHMVey<=>?5d9GkprHMVey<=>?609F1>CAief=7HHnlm35?@@fde8>7H]30?78AV:66<1N_1<15:GP86833LYTh5JS^2\[dhc89:;?h5JS^2\[dhc89:;8h5JS^2\[dhc89:;9h5JS^2\[dhc89:;:95JS^3g?@UX9VUjbi>?01g8AVY6WVkeh=>?00g8AVY6WVkeh=>?0368AVY5l2OXS?QPaof3456b3LYT>RQnne23457b3LYT>RQnne2345433LYT?i5JS^1\[dhc89:;i6K\_2]\ekb789::i6K\_2]\ekb789:9=k5JS^Ob`aYXimn;<=>PMymq[Wct}e~7=3?i;DQ\IdbcWVkoh=>?0^O{kwYUmzgx1<11g9FWZKflmUTmij?012\I}iuW[oxyaz33?3:?@UXEhnoSRokd1234ZYffm:;<=?n;DQ\IdbcWVkoh=>?0^]bja6789;:56K\_Lcg`ZYflm:;<=QPaof34576i2OXS@okd^]b`a6789UTmcj?0132`>CTWXU:Sb|?012f?@UXYV;Tc>?013e?@UXYV;Tc>?0133b>CTWXU:Sb|?01225`=B[V[T=Ra}01236`=B[V[T=Ra}01237`=B[V[T=Ra}01230`=B[V[T=Ra}01231`=B[V[T=Ra}01232`=B[V[T=Ra}01233`=B[V[T=Ra}0123<`=B[V[T=Ra}0123=`=B[VUjhi>?01>2:`=B[VUjhi>?01>1:`=B[VUjhi>?01>0:3=BW@H^J?5Jr49Fv969=2Oy0<0;;Dp\41=BzV;?7KMCK89EGIMXAK_M>6HK3:DGG1=ALJO87KJ_3:DPF4=@;2MEH<5F2:K36>O6:2C9>6G<2:K76>O2:2C=>6G84:KBGV3>3g9JKDESWds<=>?15d8MJGD\Vg~t=>?007e?LIFK]Ufyu>?0135b>OHIJ^Taxv?01223`=NGHI_S`{w01236`=NGHI_S`{w01237`=NGHI_S`{w01230`=NGHI_S`{w01231`=NGHI_S`{w01232`=NGHI_S`{w01233`=NGHI_S`{w0123<`=NGHI_S`{w0123=3=NGHI_~;5FOCGQV`=NGKOY^R``t1235c=NGKOY^R``t1235420EBM\5:KLGV713@EH_<>:;HM@W73OHKZ?>7DALS778MJET?<1BCN]75:KLGV?13@EOCCKk;HMGKKCXg{:;<=k4INFLJ@Yhz9:;<k4INFLJ@Yhz9:;<9k4INFLJ@Yhz9:;<8k4INFLJ@Yhz9:;<;k4INFLJ@Yhz9:;<:k4INFLJ@Yhz9:;<564INFLJ@oi?2CDHB@Jr59JKI6d3@EGOHD9Ufyu>?0133a>OHD9Ufyu>?0132a>OHD9Ufyu>?0131a>OHD9Ufyu>?0130a>OHD9Ufyu>?0137a>OHD9Ufyu>?0136`>OHD9Ufyu>?010g?LIK8Vg~t=>?02f8MJJ7Wds<=>?4e9JKI6Xe|r;<=>:d:KLH5Yj}q:;<=8k;HMO4Zkrp9:;<:j4INN3[hs89:;4i5FOM2\ip~789:286G@L0a8MJJ6Wge<=>>d:KLH4Yig}:;<>0:KLVATBYVkeh=>?0033?LIUL[OZSl`k0123646?013254=NG[NYI\Qbuy234575981BC_J]EP]nq}6789;8=<5FOSFQATYj}q:;<=?;119JKWBUMXUfyu>?01024>OHZMXN]Rczx12346773@EYH_K^_lw{4567<8:0EB\KRDS\ip~789:>==5FOSFQATYj}q:;<=8>0:KLVATBYVg~t=>?0633?LIUL[OZS`{w0123<4602CD^I\JQs48MJTBY{=0EB\JQs34?LIUMXx9;6G@RDSq70=NG[]:h6G@RV3\ekb789:n7DA]W0]bja6789;n7DA]W0]bja67898n7DA]W0]bja67899n7DA]W0]bja6789>n7DA]W0]bja6789?n7DA]W0]bja6789<<7DA]e`fzb>OHZlkouRQ`r123446??;HMQadb~WVey<=>?4028MJTbimsTSb|?01260>OH[9i0EB]?_`lg4567l2CD_=Qnne23457c3@EX?00g8MJU7Wds<=>?11f8MJU7Wds<=>?2e9JKV6Xe|r;<=><>4INQ\BVKXNOn9!D`h4INQ\BVKXNOn8!D`>0:KLWZ@TEVLMh>#Fn033?LITWOYFSKHk3,Km646:<6G@S^DPIZ@Al:'Bb8??;HMP[CUJWOLo? Ga6b9JKVYA[DUMJi:l;HMP[CUJWOLo9n5FOR]EWHYANm$Ce==5FOR]EWHYANm<&Ec?>0:KLWZ@TEVLMh;#Fn333?LITWOYFSKHk6,Km7464INQ\BVKXNOnOH[VLXARHId6/Jj1773@EXSK]B_GDg3(Oi==1BCX>l;HMV4Zkrp9:;h6G@U1]nq}67898o7DAZ0^ov|5678:n0EB[?_lw{4567Pmtz34562l2CDY=Qbuy23450c3@E^OH]8i0EB[>_omw4566l2CDY>1:KLSWTBYVg~t=>?00325>OH_[XN]Rczx123444692CD[_\JQ^ov|567889:=6G@WSPFUZkrp9:;<<:>1:KLSWTBYVg~t=>?00724>OH_[XN]Rczx12347773@E\^_K^_lw{4567;8:0EBY]RDS\ip~789:?==5FOVPQATYj}q:;<=;>0:KLSWTBYVg~t=>?0733?LIPZ[OZS`{w01233467D][C0`8MVYA[DUMJi?j;HQ\BVKXNOn:!D`i;HQ\BVKXNOn:!D`>f:KP[CUJWOLo= Ga2c9JWZ@TEVLMh?k4IR]EWHYANm8&Ech4IR]EWHYANm8&Ec?i;HQ\BVKXNOn9!D`=f:KP[CUJWOLo> Ga3g9JWZ@TEVLMh?#Fn5d8MVYA[DUMJi<"Io7a?LUXNZGTCxzJWEVRD^?Q>9:NWmhcu494j7AZfmdp?558f3E^bah|310<:?IRnelx7=374LUknaw:5601GXdcjr=1==>JSadoy0906;MVji`t;=730@Ygbes>5:<=K\`gn~1919:NWmhcu41427AZfmdp?=;>?0537?IRnelx_ORmbp^gr4567=8>0@YgbesV@[fkwWl{;<=>98:NWmhcuW920@Ygbes]2=>JSadoyS<>6;MVji`tX9820@Ygbes]1<>JSadoyS>64LUknawY302F_e`k}_4:8HQojm{U=46B[ilgq[2>?0^O{kwYUmzgx1??>368HQojm{UFmijP_`fg4567WDrd~R\jstnw8479::1GXdcjr^Ob`aYXimn;<=>PMymq[Wct}e~7=3<<;MVji`tXEhnoSRokd1234ZKg{UYi~{ct=0=66=K\`gn~RCnde]\eab789:TAua}_Sgpqir;;7887AZfmdp\IdbcWVkoh=>?0^O{kwYUmzgx1:1229OPlkbzVGjhiQPaef3456XEqeyS_k|umv?1;443E^bah|PM`fg[Zgcl9:;>5CThofvZKflmUTmij?012\I}iuW[oxyaz37?00?IRnelxTAljk_^cg`5678VGscQ]erwop9>9::1GXdcjr^Ob`aYXimn;<=>PMymq[Wct}e~753<9;MVji`tXEhnoSRokd1234ZTb{|fS=Qaou234576l2F_e`k}_Lcg`ZYflm:;<=QPaof34566m2F_e`k}_Lcg`ZYflm:;<=QPaof345669m1GXdcjr^Ob`aYXimn;<=>P_`lg45669l1GXdcjr^Ob`aYXimn;<=>P_`lg456698n0@Ygbes]NeabXWhno<=>?_^cm`567:8o0@Ygbes]NeabXWhno<=>?_^cm`567:8;o7AZfmdp\IdbcWVkoh=>?0^]bja678:;n7AZfmdp\IdbcWVkoh=>?0^]bja678:;:h6B[ilgq[HgclVUjhi>?01]\ekb789>:i6B[ilgq[HgclVUjhi>?01]\ekb789>:=i5CThofvZKflmUTmij?012\[dhc89:>=h5CThofvZKflmUTmij?012\[dhc89:>=d:NWmhcuWDkohRQnde2345YXign;<=6>e:NWmhcuWDkohRQnde2345YXign;<=6>1e9OPlkbzVGjhiQPaef3456XWhdo<=>61d9OPlkbzVGjhiQPaef3456XWhdo<=>61008HQojm{UTmij?012?4;743E^bah|P_`fg456748:5=>5CThofvZYflm:;<=2>1?31?IRnelxTSljk01238486:2F_e`k}_^cg`5678585=?5CThofvZYflm:;<=2<>008HQojm{UTmij?012?0;753E^bah|P_`fg45674<4:>6B[ilgq[Zgcl9:;<181139OPlkbzVUjhi>?01>4:44f:NWmhcuWge<=>>2g9OPlkbzVddx=>?12d8HQojm{Uecy>?0066?Iifl8o0@bok1^]bja678980B==4N134?KCS_FX@=6A;;N@VB0=HJ\LN?6A]229LV65<7Bxjrmcz<>Iqm{fju?0537?TiTZlkouRmbp^gr4567=o1Zc^\jae{\kw6789;;7\a\Rdcg}Ziu89:;=<>4QnQQadb~Wfx;<=>=119RkVTbimsTc>?0116?WG;87<0^L2>0?:8VD:693:5:6\N<03=1>TF484>7_O32?78VD:46?1YM1=1179QE959:?1YM1=1379QE959<<1YM1:15:PB80823[K7:3;4R@>4:0=UI52596\N<8<0?WGJ=2XJAN]8;SCNGV6_02XJAN]?X0:8VDKD[9R946\NMBQ3\601:PBIWcflpUfyu>?01025>TFE[ojhtQbuy23455692XJA_kndx]nq}6789>:=6\NMSgb`|Yj}q:;<=;>1:PBIWcflpUfyu>?01425>TFE[ojhtQbuy2345123[KXIAj4R@QFHZkrp9:;h5]ARGO[hs89:;?h5]ARGO[hs89:;885]ARGM`>TF[LDTaxv?012f?WGTMGUfyu>?013f?WGTMGUfyu>?010f?WGTMGUfyu>?011f?WGTMGUfyu>?016f?WGTMGUfyu>?0176?WGTzo20^L]}f^L3=>TF[{lTB=?:;SCPvwb0^MAQCb_lw{45679m1YMR?Pmtz34565l2XJSk;SC\6Zkrp9:;<i5]A^0\ip~789:8h6\N_3]nq}6789>27_OP3^MAQC?k;SC\0Zkrp9:;<i5]A^6\ip~789:8h6\N_5]nq}6789>27_OP5^MAQCeS`{w0123`>TFW?013g?WGX=Vg~t=>?03f8VDY2Wds<=>?3e9QEZ3Xe|r;<=>;9:PB[3YHJ\Lh7_OP6^ov|5678m1YMR8Pmtz34566l2XJS;Qbuy23454c3[KT:Rczx12346b?00f8VDY?Wds<=>?2e9QEZ>Xe|r;<=>THEJY=7_ABCR35?WIJKZ8<7_ABCR6[<>THEJY?T<64RNO@W1^502XDAN];X2:8VJKD[=R?46\\0umQac?TT8}eYik=6;SQ3pjTbn=30^^>{oSge1<=U[9~d^hh99:PP4qiUmo=27_]?tnPfb=?TTWOYFSKHk5,Km6c=U[VLXARHId4/Jj6dTTWOYFSKHk6,Km6c=U[VLXARHId7/Jj6dTTWOYFSKHk7,Km6c=U[VLXARHId6/Jj6d*Ag?m7_]PFRO\BCb?%@d=j6\\_GQN[C@c0$Ce;k5]S^DPIZ@Al1'Bb5h4RR]EWHYANm2&Ec7:;Sgb`|084Rdcg}10?f:PfbWcvWhdo<=>?1g9QacTbyVkeh=>?03d8V``UmxUjbi>?011g?WcaZl{Tc>?01g8V``UmxUd~=>?00g8V``UmxUd~=>?03g8V``UmxUd~=>?02g8V``UmxUd~=>?05g8V``UmxUd~=>?04g8V``UmxUd~=>?07g8V``UmxUd~=>?0648V``Rzno0^hhZrf]nq}6789l0^hhZrf]nq}6789;n7_kiUse\jjr789;m7_kiUse\jjr789;:h6\jfTpd[jt789;n7_kiUse\kw6788;m7_kiUse\kw6788;;j6\jfTpd[jt789;:=k5]egWqcZiu89::=?h4RddVvbYhz9:;=<=i;SgeQwaXg{:;<2YDY_MJ9:QWmhcu49437^Zfmdp\446<[]cfiQ?_^mq4567991XXdcjr^2\[jt789;:=6][ilgq[5YXg{:;<1:QWmhcuW9UTc>?00025>USadoySUbf}UTc>?015g?Vci|VUd~=>?09c8WkbUIDIX[_l4SofQEHET_[;h7^`kR@O@WRT68j1Xbi\NMBQTV47d3Zdo^LCLSVP26g=TfmXJAN]XR3`8WkbUIDIX[_=m;RlgVDKD[^X?n6]adSCNGVQU=k1Xbi\NMBQTV3d<[gnYM@M\WS5a?VhcZHGH_Z\7b:Qm`WGJKZ]Y545\neQokrCI981Xbi]covGM[Ziu89:;=?5\neQokrCIWVey<=>?1038WkbTdf}NBRQ`r123544<[gnX`byJN^]lv56798;97^`kSmmtAKYXg{:;<<<>2:Qm`VjhLDTSb|?01300>RU]Lh0X^QISL]EBa7b3]YTJ^CPFGf2)Lha3]YTJ^CPFGf2)Lh6n2^XSK]B_GDg5(Oi:k1__RH\M^DE`7c<\ZUM_@QIFe0.Mk`<\ZUM_@QIFe0.Mk7682^XSK]B_GDg6(Oi99l0X^QISL]EBa4*Ag8m7Y]PFRO\BCb5%@d8j6Z\_GQN[C@c:$Ce8k5[S^DPIZ@Al;'Bb8h4TR]EWHYANm8&Ec8i;UQ\BVKXNOn9!D`8f:VP[CUJWOLo> Ga8g9WWZ@TEVLMh?#Fn8`8PVYA[DUDyy>l;UQ\BVKXG|~;=n5[S^DPIZIr|98?7YW_E99Wmhcu49437Ygbes>2:==Sadoy0?07;Uknaw:46>1_e`k}TB31?Qojm{^HSnc_ds34566;2^bah|[C^antZcv89:;=<=4ThofvQEXkdzTi|>?01027>Rnelx_ORmbp^gr4567;890XdcjrUA\ghvXmx:;<=:>3:Vji`tSKVif|Rk~012312=SadoyS=??;UknawY7WVkeh=>?0038PlkbzV:TSl`k0123547<\`gn~R>P_`lg4567:8;0Xdcjr^2\[dhc89:;?:5[ilgq[4773]cfiQ>_^cm`56788;0Xdcjr^3\[dhc89:;=?269WmhcuW;;;7Ygbes]1[Zgil9:;<?1038PlkbzV8TSl`k012362=SadoyS>??;UknawY4WVkeh=>?0038PlkbzV9TSl`k0123547<\`gn~R=P_`lg4567:;80Xdcjr^Ob`aYXimn;<=>PMymq[Wct}e~7=3<=;UknawYJimnTSljk0123[H~hzVXnxb{<3<16>RnelxTAljk_^cg`5678VGscQ]erwop9599j1_e`k}_Lcg`ZYflm:;<=QPaof34566l2^bah|PM`fg[Zgcl9:;3?>;UknawYXimn;<=>33?f8PlkbzVkeh=>?0d9WmhcuWhdo<=>?1b9VW@TX^@YBNAK<;WA@=>PNM^U_U]K;;WRBvf=QXHxTbbz?013g?SVFzVddx=>?10g8RlbUIDIX[_8;k0g8RlbUIDIX[_8;k3g8RlbUIDIX[_8;k2g8RlbUIDIX[_8;k5g8RlbUIDIX[_8;k4g8RlbUIDIX[_8;k7g8RlbUIDIX[_8;k6g8RlbUIDIX[_8;k908SVg<_ZUjbi>?01`8SVYffm:;<=?9;VcqtVC6l2RB@D@W-YFA$5(6(Z^^N->!1!CPGLO33QEY>;5WSUNJF2=_[]ULBI94XRV\RFE13QniSDj>0:ZgiZKfbfx]i}foo33?]bjWDcecXjrrklj3=_g5:5=>5Wsu18gkr53jy87k}m4:klfh2;oCGkprKM9Ud~=>?0332?kGCg|~GI=Q`r12346?5aAR58jDUXNZHh7cO\_GQA[LDRN>1eM^QFOCf8jDUXAFHTCO[IE`9mEVYNGKe~x;5aARmvp<=iIZe~xR@?a:lBWjssWG::i6`NSnww[kis89::j6`NSnww[kis89::=<>4n@QlqqYig}:;<>2g9mEVir|Vddx=>?12d8jDUh}}Uecy>?006e?kGTg|~Tbbz?0136b>hF[fSca{01222c=iIZe~xR``t12352`a3gKXcxzPnnv3457>?2dJcxz@E99mEjssGL;h7cO`uuMF[JDRN<1eNH\]9:lAAWTXNZHn7cLJRS]EWGYHJ\L:=6`MESP\BVDXWfx;<=>>2:lAAWTXNZHTSb|?012254=iJLXYSK]M_^mq45669;1eNH\]_GQA[Ziu89::=<<4nCGQVZ@TJVUd~=>?1331?kDBZ[UM_OQPos23445>3gHN^_QFOCg8jGCUZVCDNRGMUG58jGAXAFH<7cL]_HMAg>hEZVCDNRAMUGd8jGTXAFHTaxv?01224>hEZVCDNRczx12344773gHYSDAM_lw{4567:8:0bO\PIN@\ip~789:8==5aBS]JKGYj}q:;<=:>0:lAVZOHJVg~t=>?0433?kDUW@EIS`{w0123246hDIZUfyu>?016g?kEF[Vg~t=>?04f8jFGTWds<=>?6e9mGDUXe|r;<=>8d:l@EVYj}q:;<=6k;oABWZkrp9:;<484nEVBGNg?f:lGPDELWhdo<=>?1g9m@QGDCVkeh=>?03d8jARFKBUjbi>?011f?kBSIJATbbz?013e?kBSIJATbbz?01322>hCagFNn6`KioNF[JDRNm1eHd`CE^mq4567m2dOecBJ_np34566>2dOecAJ7:lGmkIB9k1eHd`@E^MAQCchCg|~DI4nEmvpJCXign;<=?>119m@jssGLUjbi>?00024>hCg|~DIRoad123563l5aIQN1[LDRN?1eE]B=r59mHAU?3gFO_RH\Be9mHAUXNZHTEO[I8:lO@VYNGKo0bAJ\_HMA[JDRNLh0bAJ\_HMAkprd3gFO_Road1236a=iDMYTmcj?0102`>hKLZUjbi>?030g?kJC[Vkeh=>?22f8jIBTWhdo<=>=4e9mHAUXign;<=<:d:lO@VYffm:;:j4nMFP[dhc89:94i5aLEQ\ekb78982o6`CDR]mkq6788n0bAJ\_omw45669=1e@ZK:;oNTA4ehK_LUjbi>?013g?kJPMVkeh=>?03f8jIQBWhdo<=>?329mK@>1:lLr`tkipUTmcj?01226>hH~lxgmtQPaof34566991eC{k}l`{\[jt789::=6`@vdpoe|YXg{:;<=?>1:lLr`tkipUTc>?01025>hH~lxgmtQPos23455692dDzh|cax]\kw6789>:=6`@vdpoe|YXg{:;<=;8;oPBIIQBk2dYM@BXE^MAQC11e^BCLS0`8jWIJKZUDNXH9;oPLIRC03gXDAZK>b:lQKHQBWFH^J55aRdd@hnT682dYikMckS]mkq6788;:7c\jfBnhVZhh|9:;=<:4nTFP<>hRLZUM_Oj4nTFP[CUEW@H^J55aUEQ\MJDb3g_O_RG@B^MAQCCe3g_O_RG@Bnwwg>hRLZUjbi>?00f8jPBTWhdo<=>>1e9mQAUXign;<=?=d:lV@VYffm:;<<=k;oWGWZgil9:;=9j4nTFP[dhc89::9i5aUEQ\ekb789;=h6`ZDR]bja6788=o7c[KS^cm`56791n0bXJ\_`lg45661j1eYI]Pnnv3457c3g_O_R``t123542?0e9mQRCXign;<=>>d:lVS@Yffm:;<=:4nWOB<>hQEHUBCOk4nWOB[LIEWFH^JHl4nWOB[LIEg|~o7cXBA^]bja6789o0b[CN_^cm`56788i0b[CN_^mq4567l2d]ALQPos23457c3g\FMRQ`r12347bhQEHUTc>?017g?kPJIVUd~=>?07f8jSKFWVey<=>?7e9mRHGXWfx;<=>7c:lUIDYffm:;<=j4nWOB[dhc89:;=i5aVLC\ekb789:9h6`YM@]bja67899o7cXBA^cm`5678=n0b[CN_`lg4567==1eZ]O7;oTSEZ@TJm1eZ]OPFR@\KGSA02d]\LQFOCf8jSVFW@EISDLZFb9mRUGXign;<=>k;oTSEZgil9:;<n:5O@y636?@=:3;p_4;541a96i>>7531g0=~h3800:7c:?a;48 16?2=:87p]64;63g?4>j3;88o<<9;13a6b:0yP=0<38j095o4>35`17<<48l927{Z<8883>4<62:7?e289?n?=6:22f7<=#;o91>>o4$3;`>1`53k>;>7>54c860?gasA9m=6*2:h?7&:na;29?l>42900c9;::188m17d2900c9k9:188m1d>2900c9l=:188k=2=831d8l<50;9j06d=831d84k50;9l01>=831d8>k50;9j546=831b=9o50;9j001=831d85;n626?6=3f>:47>5;h643?6=3`>8h7>5;n6bb?6=3f>>j7>5;n64=?6=3f>o=7>5;n657?6=3f>=o7>5;h6fb?6=3f>8?7>5;n0bb?6=,;3n6?oj;o0:`?6<3f8jh7>5$3;f>7gb3g82h7?4;n0ag?6=,;3n6?oj;o0:`?4<3f8in7>5$3;f>7gb3g82h7=4;n0ae?6=,;3n6?oj;o0:`?2<3f8i57>5$3;f>7gb3g82h7;4;n0a5$3;f>7gb3g82h794;n0a6?6=,;3n6?oj;o0:`?><3f8i=7>5$3;f>7gb3g82h774;n0a4?6=,;3n6?oj;o0:`?g<3f8jo7>5$3;f>7gb3g82h7l4;h6g>5<#:0o18n5a28f94>=nl3;07d:n:18'64j52:9j0<<72-82i7:l;o0:`?5<3`><6=4+28g90f=i:0n1865f4783>!4>m3>h7c<6d;78?l22290/>4k54b9m621b894?:%0:a?2d3g82h794;h60>5<#:0o18n5a28f9<>=n<;0;6)<6e;6`?k4>l3307d:>:18'64j5a:9j05<72-82i7:l;o0:`?d<3`9m6=4+28g90f=i:0n1o65f3d83>!4>m3>h7c<6d;f8?l32290/>4k54b9m65<#:0o18n5a28f955=h51m0:=65f5083>!4>m3>h7c<6d;31?>o283:1(?7j:5a8j7?c28907d:i:18'64j51598m1c=83.95h4;c:l1=a<6=21b854?:%0:a?2d3g82h7?9;:k0=0<72-82i7=64:l1=a<732c85>4?:%0:a?5><2d95i4>;:k0=7<72-82i7=64:l1=a<532h?<;4?:083>5}#;j21=8<4H526?M5a92e:9<4?::a051=83;1<7>t$2a;>6`53A>;96Fj:182`?552=h>;%1`jm7>5;n34g?6=3`>ni7>5;n0:5;n117?6=3f;>o7>5;h3:>5<#:0o1=55a28f94>=n9>0;6)<6e;3;?k4>l3;07d?9:18'64j52:9j51<72-82i7?7;o0:`?5<3`;96=4+28g95==i:0n1865f1083>!4>m3;37c<6d;78?l77290/>4k5199m621bj7>5$3;f>4>!4>m3;37c<6d;:8?lb=83.95h4>8:l1=a<>32ch6=4+28g95==i:0n1m65fb;29 7?b2820b?7k:c98md<72-82i7?7;o0:`?e<3`31<7*=9d82<>h51m0o76g=1;29 7?b2820b?7k:d98m76=83.95h4>8:l1=a5}#;j21=8<4H526?M5a92e:9<4?::a0<3=83?1<7>t$2a;>42b3A>;96F5;h;1>5<5<5290;w)=l8;354>N38<1C?k?4i070>5<h>;h367?6=3f82>7>5;|`060<72:0;6=u+3b:96<3<@=:>7E=i1:k211<722c:984?::m1=7<722wi>n>50;094?6|,:i36<8?;I631>N4n81b=8=50;9l6<4=831vn?m>:181>5<7s-9h47?90:J740=O;o;0e<;<:188k7?52900qo50z&0g=<4;2B?<85G3g38m4332900e<;::188k7?52900qo:67;297?6=8r.8o54=949K053<@:l:7d?:4;29?l72=3:17b<62;29?xd4:>0;6?4?:1y'7f>=9?:0D9>:;I1e5>o6=:0;66a=9383>>{e;;21<7<50;2x 6e?28<;7E:?5:J0b4=n9<91<75`28094?=zj:826=4=:183!5d03;=<6F;049K7c75<55;294~"4k10896F;049K7c75<5<N38<1C?k?4i077>5<6=44i075>5<5<5<5<55;294~"4k10896F;049K7c75<5<N38<1C?k?4i077>5<6=44o3;1>5<52;294~"4k10::=5G4178L6`63`;>?7>5;n0:6?6=3th8jl4?:383>5}#;j21=;>4H526?M5a92c:9>4?::m1=7<722wi?kl50;094?6|,:i36<8?;I631>N4n81b=8=50;9l6<4=831vn>hl:180>5<7s-9h47=<;I631>N4n81b=8:50;9j503=831d>4<50;9~f6`c29086=4?{%1`;96Fhj:187>5<7s-9h47=;;I631>N4n81b=8:50;9j503=831b=8850;9l6<4=831vn976:180>5<7s-9h47=<;I631>N4n81b=8:50;9j503=831d>4<50;9~f1?f29096=4?{%1`j3:1>7>50z&0g=<6>91C8=;4H2d2?l72;3:17b<62;29?xd31j0;6>4?:1y'7f>=;:1C8=;4H2d2?l72<3:17d?:5;29?j4>:3:17pl;9e83>6<729q/?n652878L1623A9m=6g>5583>>o6=<0;66a=9383>>{e;h;1<7=50;2x 6e?2:90D9>:;I1e5>o6==0;66g>5483>>i51;0;66sm3`794?5=83:p(>m7:3;6?M27=2B8j<5f14694?=n97E:?5:J0b4=n9<>1<75f14794?=n9<<1<75f14594?=h:081<75rb2c4>5<5290;w)=l8;354>N38<1C?k?4i070>5<h>;h367?6=3f82>7>5;|`0e<<72;0;6=u+3b:9536<@=:>7E=i1:k216<722e95?4?::a7dg=8381<7>t$2a;>4073A>;96F4<50;9~f6ge29086=4?{%1`;96Fol:186>5<7s-9h47=:;I631>N4n81b=8:50;9j503=831b=8850;9j501=831d>4<50;9~f6g529086=4?{%1`;96Fo<:180>5<7s-9h47<65:J740=O;o;0e<;;:188m4322900c?7=:188yg5f<3:1?7>50z&0g=<4;2B?<85G3g38m4332900e<;::188k7?52900qo?8d;297?6=8r.8o54=949K053<@:l:7d?:4;29?l72=3:17b<62;29?xd6?o0;6?4?:1y'7f>=9?:0D9>:;I1e5>o6=:0;66a=9383>>{e91:1<7;50;2x 6e?2:?0D9>:;I1e5>o6==0;66g>5483>>o6=?0;66g>5683>>i51;0;66sm19394?5=83:p(>m7:218L1623A9m=6g>5583>>o6=<0;66a=9383>>{e9181<7<50;2x 6e?28<;7E:?5:J0b4=n9<91<75`28094?=zj8286=4<:183!5d038296F;049K7c75<N38<1C?k?4i077>5<6=44o3;1>5<53;294~"4k108?6F;049K7c75<N38<1C?k?4i077>5<6=44o3;1>5<54;294~"4k1095;5G4178L6`63`;>87>5;h361?6=3`;>:7>5;n0:6?6=3ty26=4<{_;896g128??70=n7;367>{ti3:1?vPn;<1b2?72=278m54>529~wg<72:qUn635<5sWo01>o>:077?xua290?wSh4=07g>433349m;7?:4:?2<5<6==1v<>50;6xZ46<58?o6<;:;<1e3?72=27:4=4>549~w47=83>pR431349m;7?:6:?2<5<6=?1v<<50;6xZ44<58?o6<;8;<1e3?72?27:4=4>569~w42=8343434;>h7?:8:?0b=<6==16=:j5147894>628?>7p}>6;292~X6>278>54>529>50b=9<301>h7:076?870l3;>863>808211=z{8=1<78t^05897e728?870==a;360>;6=m0:9l523gc9505<582>6<;;;|q2=?6=>rT:563=c08216=:;;31=8=4=07g>43e349mn7?:3:?23`<6=<1v?>50;0xZ76<5:k>6<;;;|q15?6=:rT9=63?1<7:t^67891?028?>70:69;360>;31h0:9>5rs8394?4|V0;0197::928yv72k3:1>vP>5b9>50b=:080q~?8c;296~X6?j16=:k52808yv70l3:1>v3>7e81=7=:9191=8:4}r34b?6=:r7:;k4=939>5=5=952z?2<4<51;16=5:51468yv7?:3:1>v3>8381=7=:91>1=8;4}r3;7?6=:r7:4>4=939>5=3=952z?2<0<51;16=:k51468yv7?>3:1>v3>8781=7=:9>o1=884}r3b4752808yv4em3:1>vP=bd9>6f5=:080q~n<5280897e428??7p}=c183>7}::j:1>4<4=3a1>4333ty9o<4?:3y>6f7=:0801?m=:076?xu4::0;6?uQ331896422;397p}<2583>7}:;;>1>4<4=206>4323ty8>;4?:5y]770<5:8>6<;;;<11e?4>:27?544>549~w6402909w0==7;0:6>;4:h0:985rs20;>5<5s49947<62:?06d<6=?1v><6:1818551382>63<2`8212=z{:k;6=4={_1b4>;4i=095?5rs2c2>5<5s49j=7<62:?0e7<6==1v>o=:18185f:382>63:278m94>549~w6g22909w0=n5;0:6>;4ik0:985rs2c5>5<5s49j:7<62:?0e6<6==1v>o8:18185f?382>63:278mn4>549~w6g>2909w0=n9;0:6>;4ij0:9;5rs2cb>5<5s49jm7<62:?0ef<6=>1v>om:18185fj382>63:278m>4>549~w6`02909w0=i7;0:6>;4nl0:985rs2d;>5<5s49m47<62:?0ba<6==1v>h6:18185a1382>63:278jn4>549~w6`e2909w0=ib;0:6>;4nl0:9;5rs2d`>5<5s49mo7<62:?0ba<6=<1v>hk:18185al382>636=4:{<6:1?4f8279544>529>772=9<901?m<:076?870n3;>?6s|48494?4|5=3>6<>=;<6:3?4>:2wx84650;0x91?220:0197k:3;1?xu3100;6?u248;96<4<5=3o6<;;;|q7=d<72;q684o5280891?d28??7p};9c83>7}:<0h1>4<4=5;`>4323ty?5n4?:3y>0uQ4`c891?028??70:6b;367>{t?63>838216=zuk>m=7>5368a5?b5sA9m=6*2:h?7d7>:188m1332900c9mn:188m17e2900c9l=:188k1g52900e?li:188k12?2900e:;50;9l0=c=831b?;<50;9j020=831b8hk50;9j0g>=831b>ok50;9l0d`=831b??=50;9l6f2=831d85650;9l6d`=83.95h4=ad9m6lj50;&1=`<5il1e>4j51:9l6ge=83.95h4=ad9m6ol50;&1=`<5il1e>4j53:9l6gg=83.95h4=ad9m6o750;&1=`<5il1e>4j55:9l6g>=83.95h4=ad9m621d>o950;&1=`<5il1e>4j57:9l6g4=83.95h4=ad9m6o?50;&1=`<5il1e>4j59:9l6g6=83.95h4=ad9m6lm50;&1=`<5il1e>4j5b:9j3g<72-82i79n;o0:`?6<3`=26=4+28g93d=i:0n1=65f7983>!4>m3=j7c<6d;08?l71290/>4k5149m65<#:0o1=85a28f96>=n980;6)<6e;36?k4>l3907d??:18'64j54:9jb?6=,;3n6<;4n3;g>0=l3<07dj50;&1=`<6=2d95i48;:k`>5<#:0o1=85a28f9<>=nj3:1(?7j:078j7?c2010el4?:%0:a?723g82h7o4;h;94?"51l0:96`=9e8a?>o593:1(?7j:078j7?c2j10e?>50;&1=`<6=2d95i4k;:k2b?6=,;3n6<;4n3;g>`=h51m0m76g>d;29 7?b28?0b?7k:028?l7d290/>4k5149m62:9j5d<72-82i7?:;o0:`?7432c:?7>5$3;f>43h>;n365?6=3th8:>4?:483>5}#;j21=9k4H526?M5a92c2<7>5;h;1>5<5<4290;w)=l8;37g>N38<1C?k?4i9294?=n9981<75`2`294?=zj=2n6=4<:183!5d03;?o6F;049K7c75<4290;w)=l8;37g>N38<1C?k?4i9294?=n9981<75`2`294?=zj8:86=4<:183!5d03;?o6F;049K7c75<2290;w)=l8;37a>N38<1C?k?4i8294?=n1;0;66g70;29?l77:3:17b4?:1y'7f>=9=i0D9>:;I1e5>"6=o0;7d6?:188m4652900c?o?:188yg4cl3:1?7>50z&0g=<650;9j554=831d>l>50;9~f7b429086=4?{%1`t$2a;>42d3A>;96F5;h336?6=3f8j<7>5;|`70=<72:0;6=u+3b:951e<@=:>7E=i1:k;4?6=3`;;>7>5;n0b4?6=3th8?:4?:283>5}#;j21=9m4H526?M5a92.:9k4?;h:3>5<5<53;294~"4k10:8n5G4178L6`63-;>j7>4i9294?=n9981<75`2`294?=zj:926=4<:183!5d03;?o6F;049K7c7<,8?m6=5f8183>>o68;0;66a=a183>>{e;:k1<7=50;2x 6e?28>h7E:?5:J0b4=#94?:1y'7f>=9=i0D9>:;I1e5>"6=o0;7d6?:188m4652900c?o?:188yg53:3:1?7>50z&0g=<650;9j554=831d>l>50;9~f62029086=4?{%1`t$2a;>42d3A>;96F5;h336?6=3f8j<7>5;|`7f5<72;0;6=u+3b:9536<@=:>7E=i1:k216<722e95?4?::a0fd=8381<7>t$2a;>4073A>;96F4<50;9~f1>>29096=4?{%1`7>50z&0g=<6>91C8=;4H2d2?l72;3:17b<62;29?xd4>h0;6?4?:1y'7f>=9?:0D9>:;I1e5>o6=:0;66a=9383>>{e;?h1<7=50;2x 6e?2:90D9>:;I1e5>o6==0;66g>5483>>i51;0;66sm11794?4=83:p(>m7:043?M27=2B8j<5f14194?=h:081<75rb5`4>5<5290;w)=l8;354>N38<1C?k?4i070>5<h>;h367?6=3f82>7>5;|`023<72;0;6=u+3b:9536<@=:>7E=i1:k216<722e95?4?::a731=8391<7>t$2a;>7?23A>;96F=l:180>5<7s-9h47=<;I631>N4n81b=8:50;9j503=831d>4<50;9~f7bd29096=4?{%1`50z&0g=<51<1C8=;4H2d2?l72<3:17d?:5;29?j4>:3:17pl=d483>7<729q/?n651728L1623A9m=6g>5283>>i51;0;66sm2e494?4=83:p(>m7:043?M27=2B8j<5f14194?=h:081<75rb3f4>5<4290;w)=l8;10?M27=2B8j<5f14694?=n91<75f14794?=h:081<75rb3f:>5<3290;w)=l8;0:2>N38<1C?k?4i077>5<6=44i075>5<h>;h360?6=3`;>97>5;n0:6?6=3th9o:4?:383>5}#;j21=;>4H526?M5a92c:9>4?::m1=7<722wi>n650;094?6|,:i36<8?;I631>N4n81b=8=50;9l6<4=831vn?m6:180>5<7s-9h47=<;I631>N4n81b=8:50;9j503=831d>4<50;9~f7ef29086=4?{%1`;96F5<7s-9h47<65:J740=O;o;0e<;;:188m4322900c?7=:188yg4dk3:187>50z&0g=<51?1C8=;4H2d2?l72<3:17d?:5;29?l72>3:17b<62;29?xd34?:1y'7f>=:0?0D9>:;I1e5>o6==0;66g>5483>>i51;0;66sm45a94?5=83:p(>m7:218L1623A9m=6g>5583>>o6=<0;66a=9383>>{e<=n1<7<50;2x 6e?28<;7E:?5:J0b4=n9<91<75`28094?=zj=>n6=4<:183!5d038296F;049K7c75<h>;h367?6=3f82>7>5;|`715<72:0;6=u+3b:96<3<@=:>7E=i1:k211<722c:984?::m1=7<722wi88?50;194?6|,:i36>=4H526?M5a92c:994?::k210<722e95?4?::a004=8391<7>t$2a;>65<@=:>7E=i1:k211<722c:984?::m1=7<722wi88=50;794?6|,:i36?78;I631>N4n81b=8:50;9j503=831b=8850;9j501=831d>4<50;9~f1ec29096=4?{%1`7>50z&0g=<6>91C8=;4H2d2?l72;3:17b<62;29?xd3ko0;694?:1y'7f>=;=1C8=;4H2d2?l72<3:17d?:5;29?l72>3:17b<62;29?xd30k0;6?4?:1y'7f>=9?:0D9>:;I1e5>o6=:0;66a=9383>>{e<1i1<7<50;2x 6e?28<;7E:?5:J0b4=n9<91<75`28094?=zj=2o6=4;:183!5d039?7E:?5:J0b4=n9<>1<75f14794?=n9<<1<75`28094?=zj;o<6=4<:183!5d03987E:?5:J0b4=n9<>1<75f14794?=h:081<75rb3g;>5<5290;w)=l8;354>N38<1C?k?4i070>5<N38<1C?k?4i077>5<6=44o3;1>5<53;294~"4k109585G4178L6`63`;>87>5;h361?6=3f82>7>5;|`1b1<72:0;6=u+3b:976=O<9?0D>h>;h360?6=3`;>97>5;n0:6?6=3th9j84?:383>5}#;j21=;>4H526?M5a92c:9>4?::m1=7<722wi>k850;194?6|,:i36>=4H526?M5a92c:994?::k210<722e95?4?::a6c1=8391<7>t$2a;>7?23A>;96F>>:180>5<7s-9h47=<;I631>N4n81b=8:50;9j503=831d>4<50;9~f66529096=4?{%1`50z&0g=<4;2B?<85G3g38m4332900e<;::188k7?52900qo=?4;297?6=8r.8o54=949K053<@:l:7d?:4;29?l72=3:17b<62;29?xd48>0;6>4?:1y'7f>=;:1C8=;4H2d2?l72<3:17d?:5;29?j4>:3:17pl<0983>7<729q/?n651728L1623A9m=6g>5283>>i51;0;66sm31;94?5=83:p(>m7:218L1623A9m=6g>5583>>o6=<0;66a=9383>>{e;9k1<7=50;2x 6e?2;3>7E:?5:J0b4=n9<>1<75f14794?=h:081<75rb22g>5<4290;w)=l8;10?M27=2B8j<5f14694?=n95<53;294~"4k108?6F;049K7c75<h>;h360?6=3`;>97>5;n0:6?6=3th8=>4?:283>5}#;j21?>5G4178L6`63`;>87>5;h361?6=3f82>7>5;|`051<72;0;6=u+3b:9536<@=:>7E=i1:k216<722e95?4?::a743=8391<7>t$2a;>65<@=:>7E=i1:k211<722c:984?::m1=7<722wi?<850;194?6|,:i36?7:;I631>N4n81b=8:50;9j503=831d>4<50;9~f67>29086=4?{%1`;96F?n:181>5<7s-9h47?90:J740=O;o;0e<;<:188k7?52900qo=>b;297?6=8r.8o54<3:J740=O;o;0e<;;:188m4322900c?7=:188yg56k3:1?7>50z&0g=<51<1C8=;4H2d2?l72<3:17d?:5;29?j4>:3:17pl<1g83>6<729q/?n65329K053<@:l:7d?:4;29?l72=3:17b<62;29?xd4:90;6?4?:1y'7f>=9?:0D9>:;I1e5>o6=:0;66a=9383>>{e;;;1<7=50;2x 6e?2:90D9>:;I1e5>o6==0;66g>5483>>i51;0;66sm33094?5=83:p(>m7:3;6?M27=2B8j<5f14694?=n91<75f14794?=h:081<75rb3da>5<5290;w)=l8;354>N38<1C?k?4i070>5<N38<1C?k?4i077>5<6=44o3;1>5<53;294~"4k109585G4178L6`63`;>87>5;h361?6=3f82>7>5;|`1aa<72:0;6=u+3b:976=O<9?0D>h>;h360?6=3`;>97>5;n0:6?6=3th9ih4?:383>5}#;j21=;>4H526?M5a92c:9>4?::m1=7<722wi>hh50;194?6|,:i36>=4H526?M5a92c:994?::k210<722e95?4?::a6c6=8391<7>t$2a;>7?23A>;96F5<7s-9h47=<;I631>N4n81b=8:50;9j503=831d>4<50;9~f7d329096=4?{%1`50z&0g=<4;2B?<85G3g38m4332900e<;::188k7?52900qo=9?:0D9>:;I1e5>o6=:0;66a=9383>>{e;<:1<7<50;2x 6e?28<;7E:?5:J0b4=n9<91<75`28094?=zj:?:6=4=:183!5d03;=<6F;049K7c75<>7>52;294~"4k10::=5G4178L6`63`;>?7>5;n0:6?6=3th89>4?:383>5}#;j21=;>4H526?M5a92c:9>4?::m1=7<722wi?8:50;194?6|,:i36>=4H526?M5a92c:994?::k210<722e95?4?::a703=83>1<7>t$2a;>7?13A>;96F4<50;9~f63129086=4?{%1`=2B?<85G3g38m4332900e<;::188k7?52900qo=:7;290?6=8r.8o54<4:J740=O;o;0e<;;:188m4322900e<;9:188k7?52900qo=;f;297?6=8r.8o54=949K053<@:l:7d?:4;29?l72=3:17b<62;29?xd4<00;6>4?:1y'7f>=;:1C8=;4H2d2?l72<3:17d?:5;29?j4>:3:17pl<4`83>6<729q/?n65329K053<@:l:7d?:4;29?l72=3:17b<62;29?xd44?:1y'7f>=:0?0D9>:;I1e5>o6==0;66g>5483>>i51;0;66sm35694?3=83:p(>m7:278L1623A9m=6g>5583>>o6=<0;66g>5783>>o6=>0;66a=9383>>{e;=?1<7<50;2x 6e?28<;7E:?5:J0b4=n9<91<75`28094?=zj:>=6=4<:183!5d03987E:?5:J0b4=n9<>1<75f14794?=h:081<75rb21e>5<5290;w)=l8;354>N38<1C?k?4i070>5<N38<1C?k?4i077>5<6=44o3;1>5<53;294~"4k109585G4178L6`63`;>87>5;h361?6=3f82>7>5;|`033<72=0;6=u+3b:971=O<9?0D>h>;h360?6=3`;>97>5;h362?6=3f82>7>5;|`02a<72:0;6=u+3b:976=O<9?0D>h>;h360?6=3`;>97>5;n0:6?6=3th8:h4?:383>5}#;j21=;>4H526?M5a92c:9>4?::m1=7<722wi?;h50;094?6|,:i36<8?;I631>N4n81b=8=50;9l6<4=831vn>9?:181>5<7s-9h47?90:J740=O;o;0e<;<:188k7?52900qo=81;296?6=8r.8o54>619K053<@:l:7d?:3;29?j4>:3:17pl<7383>0<729q/?n65349K053<@:l:7d?:4;29?l72=3:17d?:6;29?l72?3:17b<62;29?xd4?:0;6>4?:1y'7f>=:0?0D9>:;I1e5>o6==0;66g>5483>>i51;0;66sm33a94?4=83:p(>m7:043?M27=2B8j<5f14194?=h:081<75rb20e>5<5290;w)=l8;354>N38<1C?k?4i070>5<h>;h367?6=3f82>7>5;|`074<72:0;6=u+3b:976=O<9?0D>h>;h360?6=3`;>97>5;n0:6?6=3th8??4?:383>5}#;j21=;>4H526?M5a92c:9>4?::m1=7<722wi?>=50;094?6|,:i36<8?;I631>N4n81b=8=50;9l6<4=831vn>=;:187>5<7s-9h47<66:J740=O;o;0e<;;:188m4322900e<;9:188k7?52900qo=<5;297?6=8r.8o54<3:J740=O;o;0e<;;:188m4322900c?7=:188yg54>3:1?7>50z&0g=<4;2B?<85G3g38m4332900e<;::188k7?52900qo==d;297?6=8r.8o54<3:J740=O;o;0e<;;:188m4322900c?7=:188yg55m3:1?7>50z&0g=<51<1C8=;4H2d2?l72<3:17d?:5;29?j4>:3:17pl;a283>7<729q/?n651728L1623A9m=6g>5283>>i51;0;66sm4`694?4=83:p(>m7:043?M27=2B8j<5f14194?=h:081<75rb5c6>5<5290;w)=l8;354>N38<1C?k?4i070>5<h>;h367?6=3f82>7>5;|`7e2<72=0;6=u+3b:96<0<@=:>7E=i1:k211<722c:984?::k213<722e95?4?::a0d>=83>1<7>t$2a;>62<@=:>7E=i1:k211<722c:984?::k213<722e95?4?::a0<7=8391<7>t$2a;>65<@=:>7E=i1:k211<722c:984?::m1=7<722wi84<50;094?6|,:i36<8?;I631>N4n81b=8=50;9l6<4=831vn97<:181>5<7s-9h47?90:J740=O;o;0e<;<:188k7?52900qo:64;290?6=8r.8o54=979K053<@:l:7d?:4;29?l72=3:17d?:6;29?j4>:3:17pl<7`83>7<729q/?n651728L1623A9m=6g>5283>>i51;0;66sm39294?4=83:p(>m7:043?M27=2B8j<5f14194?=h:081<75rb2:2>5<5290;w)=l8;354>N38<1C?k?4i070>5<h>;h367?6=3f82>7>5;|`0<6<72;0;6=u+3b:9536<@=:>7E=i1:k216<722e95?4?::a7=2=8381<7>t$2a;>4073A>;96F4<50;9~f6>229096=4?{%1`3:1>7>50z&0g=<6>91C8=;4H2d2?l72;3:17b<62;29?xd40>0;6>4?:1y'7f>=:0?0D9>:;I1e5>o6==0;66g>5483>>i51;0;66sm36`94?5=83:p(>m7:218L1623A9m=6g>5583>>o6=<0;66a=9383>>{e;>i1<7=50;2x 6e?2:90D9>:;I1e5>o6==0;66g>5483>>i51;0;66sm36f94?2=83:p(>m7:268L1623A9m=6g>5583>>o6=<0;66g>5783>>i51;0;66sm36g94?5=83:p(>m7:218L1623A9m=6g>5583>>o6=<0;66a=9383>>{e;>l1<7=50;2x 6e?2;3>7E:?5:J0b4=n9<>1<75f14794?=h:081<75rb3ae>5<2290;w)=l8;16?M27=2B8j<5f14694?=n95<53;294~"4k108?6F;049K7c75<h>;h360?6=3`;>97>5;n0:6?6=3th9hk4?:283>5}#;j21?>5G4178L6`63`;>87>5;h361?6=3f82>7>5;|`1a5<72=0;6=u+3b:971=O<9?0D>h>;h360?6=3`;>97>5;h362?6=3f82>7>5;|`1a4<72:0;6=u+3b:96<3<@=:>7E=i1:k211<722c:984?::m1=7<722wi>h<50;194?6|,:i36?7:;I631>N4n81b=8:50;9j503=831d>4<50;9~f7c429086=4?{%1`;96F5<7s-9h47<65:J740=O;o;0e<;;:188m4322900c?7=:188yv?=838pR4522g49502755=9<>0q~l50;0xZg=:;931=8:4}ra94?4|Vj16?=h51468yvb=838pRi523079502777=9<>0q~h50;0xZc=::oi1=8:4}r33>5<5sW;;70{t980;6?uQ109>74d=9<>0q~?=:181[75348i97?:4:p56<72;qU=>522d5950396s|1783>7}Y9?16?<751478yv7f2909wS?n;<0e0?72=2wx=o4?:3y]5g=:;9;1=8;4}r3`>5<5sW;h70=?7;361>{t9m0;6?uQ1e9>75b=928??7p}85;29<~X0=2789<4>529>712=9<>01>863;928216=::jl1=8:4=3g3>4333ty<47>53z\4<>;4;;0:9>5248395033z\:5>;4>:03<63=c58;4>;30l03<63;b48;4>;68:03<63<758;4>;5km03<63=de8;4>;5l:03<63<368;4>;4;103<63<388;4>;4;h03<63<3e8;4>;4<;03<63<468;4>;4559>01e=9<>01>=i:070?82>93;>86s|11694?4|58:86<>=;<331?4>:2wx>5h50;1x916128?:70=93;;1?850<3397p}=ab83>7}Y:hi01?kn:3;1?xu5im0;6?uQ2`f897`72;397p}=b183>7}Y:k:01?h8:3;1?xu5j80;6?uQ2c3897`c2;397p}=b383>6}Y:k80R?oi;<0a2?4>:2wx>o=50;0x97d42;3970{t:k>1<77?5348i97?:5:p6g3=838p1?l::3;1?84e>3;>96s|2c594?4|V;h<70=?4;0:6>{t:k21<76s|2c;94?4|V;h270=>0;0:6>{t:kk1<7382>6s|2c`94?4|V;hi70=>c;0:6>{t:ki1<76s|2cg94?0|V;hn70=:0;367>;4<=0:9;524`19505<5;im6<;9;<0f4?72=2wx>oh50;1xZ7da3499o7?:3:?076<6=:1v?m;:181[4d<279o94=a19~w7e22909w0;5kj095?5rs3a5>5<5s48h:7<62:?1gf<6=<1v?m8:18184d?382>63=c88210=z{;i36=4={<0`:279ol4>549~w7e>2909w0;5kk0:985rs3ab>5<5s48hm7<62:?1gf<6=?1v?mm:18184dj382>63=cb8211=z{;io6=4>9z?1ga<5i9168nh5147891>c28?>70;5m10:9>522g69502<5;l>6<;<;<135?72<278529>751=9<>01>>7:070?857l3;>863<0d8216=:;891=8:4=237>434349:57?:4:?05d<6=:16?;5nk0:9>522df9502<5;on6<;<;<0a7?72<279n94>529~w7eb2909w0;5l;095?5rs3ae>5<5s48hj7<62:?1`4<6==1v?j?:18184c8382>63=d08210=z{;n:6=4={<0g5?4>:279h?4>549~w7b4290;4=;0:9>523569501<5:9;6<;<;<6b0?72;279ok4>569>6`6=9<<0q~52z?1`0<51;16>i951478yv4c>3:1>v3=d781=7=::m21=8;4}r0g3?6=:r79h:4=939>6a?=9<>0q~52z?1`d<5i9168l851418yv4cj3:1?v3=d`8;4>;3<103<63=db81=7=z{;no6=4={<0g`?4f827?m84>529~w7bb2909w0;5m=095?5rs3fe>5<5s48oj7<62:?1a4<6=<1v?k?:18184b8382>63=e38210=z{;o:6=4={<0f5?4>:279i94>559~w7c52909w0;5m:0:985rs3g0>5<5s48n?7<62:?1a1<6=<1v?k9:18184b?382>63=e`8211=z{;o<6=4={<0f:279i44>549~w7c?2909w0;5mh0:985rs3g`>5<5s48nh7<62:?1b5<6==1v?kk:18184bm382>63=eg8210=z{;on6=4={<0fb?4>:279j=4>549~w7`42909w0;5n>0:995rs3d7>5<5s48m97<62:?1b3<6=<1v?h::18184a>382>63=f68210=z{;l26=4={<0ee?4>:279ji4>559~w7`f2909w0;5nj0:985rs3da>5<5s48mo7<62:?1ba<6=<1v>>?:1818579382>63<058211=z{:::6=4={<136?4>:278<>4>549~w6652909w0=?3;0:6>;48=0:985rs225>5<5s49;;7<62:?04d<6==1v>>8:1818570382>63<088210=z{::36=4={<13=?4>:278549~w66d2909w0=?d;0:6>;4990:995rs22g>5<5s49;i7<62:?04c<6=<1v>>j:181857n382>63<118210=z{:;96=4={<127?4>:278=;4>559~w6742909w0=>4;0:6>;49<0:985rs237>5<5s49:97<62:?053<6=<1v>?7:1818561382>63<1b8211=z{:;26=4={<12e?4>:278=o4>549~w67f2909w0=>b;0:6>;49j0:985rs23f>5<5s49:j7<62:?067<6==1v>?i:1818558382>63<208210=z{:8;6=4={<115?4>:278>?4>549~w6442909wS==3:?7f5<6=:1v>3;>?63=c98216=:<=n1=8=4=270>434349?57?:4:?000<6=:16??k5280897b728?870{t;;i1<77?5349887?:5:p77b=838p1>96s|33d94?4|5:8m6?7=;<100?72<2wx?>>50;0x96572;3970=<4;362>{t;:;1<77?53499h7?:4:p764=838p1>==:3;1?854=3;>86s|32194?4|5:986?7=;<101?72=2wx?>:50;0x96532;3970=<6;361>{t;:?1<77?53499h7?:5:p760=838p1>=9:3;1?855m3;>86s|32594?1|5:9<6?o?;<0g=?72=279oo4>559>006=9<>01>;9:077?85093;>?63<858216=z{:936=4:{<103?77:278?54=a19>01d=9<>01>9?:070?85?;3;>?6s|32;94?3|5:936<>=;<10=?4f827?8o4>549>73`=9<901>6>:070?xu4;h0;6:u232c96d6<5;n<6<;;;<0`=?72<27?8h4>559>702=9<>01>:n:076?84cn3;>96s|32`94?4|5:9j6<>=;<10g?4>:2wx?>j50;7x965c2;k;70=;5k?0:995245a9503<5:>;6<;;;|q07`<72;q6?>j5110896262;397p}<3g83>7}:;:l1>4<4=263>4323ty88=4?:3y>716=:0801>:>:076?xu4<;0;68u22ec9554<5:>96?o?;<0`2?72=2788<4>559>6a4=9<>0q~=;3;296~;4<;0:52z?001<51;16?9851468yv53=3:1>v3<4481=7=:;=<1=8;4}r173?6=>r78?44>039>711=:h:019:j:076?851m3;>?63<838216=::l;1=8:4}r17039>71d=:080q~=;9;296~;4<0095?5235`950252z?00d<51;16?9l51478yv53k3:15v3<4b81e5=::m21=8:4=3ab>43334>>=7?:4:?012<6=<16?9751478962328?>70;5m:0:995rs26g>5<5s49?o7??2:?00c<51;1v>:j:181853m382>63<558210=z{:?;6=4={<164?4>:278984>549~w6362909w0=:1;0:6>;4=<0:995rs271>5<5s49>>7<62:?010<6=?1v>;<:181852;382>63<568213=z{:??6=4={<160?4>:2789;4>549~w6322909w0=:5;0:6>;4=>0:995rs275>5<5s49>:7<62:?00c<6==1v>;8:181852?382>63<4g8210=z{:<96=48{_156>;4>h0:9>524c59505<5:==6<;9;<15`?72=2784=4>529>7=0=9<90q~=93;297~;4>:09m=523649502<5:9:6<;:;|q021<72:q6?;=51108960e2;3970=97;360>{t;??1<7<6<5:<<6?7=;|q023<72;q6?;852808960028?>7p}<6983>7}:;?31>4<4=24a>4333ty8:44?:3y>73g=:0801>8m:076?xu4>j0;6?u23749505<5:=86?7=;|q02a<72;q6?;j52808961428??7p}<6d83>7}:;?o1>4<4=251>4333ty8:k4?:3y>73`=:0801>9=:076?xu4?90;6?u236296<4<5:=96<;9;|q034<72;q6?:?52808961528?<7p}<7383>7}:;>81>4<4=250>4323ty8;94?:9y>722=:h:01?j::070?84d?3;>?63;4g8216=:;=o1=8=4=26b>4333498:7?:4:?1`c<6==1v>9::181850<3;;>63<7781=7=z{:=<6=4={<140??7349<47<62:p72?=838p1>97:070?850n382>6s|36c94?4|5:=j6?7=;<1;3?72<2wx?:l50;0x961e2;3970=8d;362>{t;>i1<77?53499k:3;1?850m3;>86s|36g94?4|5:=n6?7=;<14b?72<2wx?5>50;0x96>72;3970=77;361>{t;1;1<77?53496=:3;1?850j3;>86s|39194?4|5:286?7=;<14f?72=2wx?5:50;0x96>32;3970=8e;361>{t;1?1<77?534969:3;1?850k3;>96s|39594?4|5:2<6?7=;<14`?72=2wx8i?7?:5:?7e2<6=<1v9:7:181[23027?854=a19~w12f2909w0:;8;336>;3=:095?5rs56a>5<5s4>?n7<62:?716<6==1v9:l:181823k382>63;518210=z{=>o6=4={<67`?4>:27?9<4>549~w12b2909w0:;e;0:6>;3=;0:995rs56e>5<5s4>?j7<62:?717<6=<1v9;?:1818228382>63;528210=z{=?:6=4={<665?4>:27?9>4>579~w1352909w0::2;0:6>;3=:0:9:5rs577>5<5sW>>863>048216=z{===6=4={_642>;30j0:9>5rs5:;>5<5sW>3463;8881=7=z{=2j6=4={<6;=?72;27?4i4=939~w1>e2909w0:7b;0:6>;30m0:995rs5:`>5<5s4>3o7<62:?7;31=095?5rs5;2>5<5s4>2=7<62:?7=1<6=<1v97=:18182>:382>63;958211=z{=386=4={<6:7?4>:27?594>579~w1g52909wS:n2:?7e=<51;1v9o<:18182f;382>63;a68211=z{=k?6=4={<6b0?4>:27?m:4>579~w1g22909w0:n5;0:6>;3i10:995rs5c5>5<5s4>j:7<62:?7e=<6=?1v9o8:18182f?382>63;a98210=z{=km6=4={_6bb>;3j9095?5rs5`1>5<5sW>i>63;b281=7=z{=h>6=49{<6a1?4f8278:44>529>720=98k:077?850i3;>?63<848216=z{=h=6=4={<6a1?77:27?n:4=939~w1d?2909wS:m8:?7g`<6=:1v9mn:181[2di27?oo4=939~w1ed2909w0:lb;367>;3ko095?5rs5ag>5<5s4>hh7<62:?7gc<6==1v9mj:18182dm382>63;cg8213=z{=on6=4:{_6fa>;3j:0:99524bf9505<5=2i6<;<;<6:6?72;2wvn9?>:1822?>721ipD>h>;%1`5;n3bf?6=3`><:7>5;h34g?6=3`>ni7>5;h6a5;h0:5;n657?6=3f8;=7>5;n01>5<m7:071?M27=2B8j<5`14394?=zj8ih6=4::183!5d03;?i6F;049K7c7>o?83:17d??2;29?j4f83:17pl<9783>0<729q/?n6515g8L1623A9m=6*>5g83?l?72900e4<50;9j<5<722c:5}#;j21=9k4H526?M5a92c2<7>5;h;1>5<m7:06`?M27=2B8j<5f8183>>o68;0;66a=a183>>{e:1n1<7=50;2x 6e?28>h7E:?5:J0b4=n090;66g>0383>>i5i90;66sm42194?3=83:p(>m7:06f?M27=2B8j<5f9183>>o>:3:17d6?:188m4652900c?o?:188yg4529086=4?{%1`>:180>5<7s-9h47?;c:J740=O;o;0e5>50;9j554=831d>l>50;9~f4?429086=4?{%1`5<7s-9h47?;c:J740=O;o;0e5>50;9j554=831d>l>50;9~f4ge29086=4?{%1`5<7s-9h47?;c:J740=O;o;0e5>50;9j554=831d>l>50;9~f775290>6=4?{%1`7>5;n0b4?6=3th:m94?:483>5}#;j21=9k4H526?M5a92c2<7>5;h;1>5<5<2290;w)=l8;37a>N38<1C?k?4i8294?=n1;0;66g70;29?l77:3:17b4?:1y'7f>=9=i0D9>:;I1e5>"6=o0;7d6?:188m4652900c?o?:188yg5e=3:1?7>50z&0g=<650;9j554=831d>l>50;9~f6d>29086=4?{%1`t$2a;>4073A>;96F4<50;9~f10329096=4?{%1`3:1>7>50z&0g=<6>91C8=;4H2d2?l72;3:17b<62;29?xd59=0;6?4?:1y'7f>=9?:0D9>:;I1e5>o6=:0;66a=9383>>{e;;0;6>4?:1y'7f>=:0?0D9>:;I1e5>o6==0;66g>5483>>i51;0;66sm42494?4=83:p(>m7:043?M27=2B8j<5f14194?=h:081<75rb2a5>5<5290;w)=l8;354>N38<1C?k?4i070>5<N38<1C?k?4i077>5<6=44o3;1>5<=;7>53;294~"4k108?6F;049K7c75<h>;h367?6=3f82>7>5;|`72<<72;0;6=u+3b:9536<@=:>7E=i1:k216<722e95?4?::a03g=8391<7>t$2a;>65<@=:>7E=i1:k211<722c:984?::m1=7<722wi8;l50;194?6|,:i36>=4H526?M5a92c:994?::k210<722e95?4?::a5db=8391<7>t$2a;>65<@=:>7E=i1:k211<722c:984?::m1=7<722wi=lk50;094?6|,:i36<8?;I631>N4n81b=8=50;9l6<4=831vn5<7s-9h47=<;I631>N4n81b=8:50;9j503=831d>4<50;9~f6de29096=4?{%1`50z&0g=<51<1C8=;4H2d2?l72<3:17d?:5;29?j4>:3:17pl6<729q/?n65329K053<@:l:7d?:4;29?l72=3:17b<62;29?xd4jo0;6?4?:1y'7f>=9?:0D9>:;I1e5>o6=:0;66a=9383>>{e;j:1<7<50;2x 6e?28<;7E:?5:J0b4=n9<91<75`28094?=zj:i:6=4<:183!5d03987E:?5:J0b4=n9<>1<75f14794?=h:081<75rb2a1>5<4290;w)=l8;10?M27=2B8j<5f14694?=n95<N38<1C?k?4i077>5<6=44o3;1>5<53;294~"4k109585G4178L6`63`;>87>5;h361?6=3f82>7>5;|`0f2<72:0;6=u+3b:976=O<9?0D>h>;h360?6=3`;>97>5;n0:6?6=3th8n54?:283>5}#;j21>4;4H526?M5a92c:994?::k210<722e95?4?::a06>=8391<7>t$2a;>65<@=:>7E=i1:k211<722c:984?::m1=7<722wi8>750;094?6|,:i36<8?;I631>N4n81b=8=50;9l6<4=831vn9=n:180>5<7s-9h47<65:J740=O;o;0e<;;:188m4322900c?7=:188yg7dm3:1?7>50z&0g=<4;2B?<85G3g38m4332900e<;::188k7?52900qo?lf;296?6=8r.8o54>619K053<@:l:7d?:3;29?j4>:3:17pl>d183>7<729q/?n651728L1623A9m=6g>5283>>i51;0;66sm1e394?5=83:p(>m7:218L1623A9m=6g>5583>>o6=<0;66a=9383>>{e9m81<7:50;2x 6e?2;3=7E:?5:J0b4=n9<>1<75f14794?=n9<<1<75`28094?=zj8h:6=4<:183!5d03987E:?5:J0b4=n9<>1<75f14794?=h:081<75rb0`4>5<5290;w)=l8;354>N38<1C?k?4i070>5<h>;h367?6=3f82>7>5;|`2f<<72:0;6=u+3b:976=O<9?0D>h>;h360?6=3`;>97>5;n0:6?6=3th:nl4?:283>5}#;j21?>5G4178L6`63`;>87>5;h361?6=3f82>7>5;|`2fg<72;0;6=u+3b:9536<@=:>7E=i1:k216<722e95?4?::a5ge=8381<7>t$2a;>4073A>;96F4<50;9~f4dc29096=4?{%1`50z&0g=<51<1C8=;4H2d2?l72<3:17d?:5;29?j4>:3:17pl>b383>6<729q/?n65329K053<@:l:7d?:4;29?l72=3:17b<62;29?xd6j:0;6>4?:1y'7f>=;:1C8=;4H2d2?l72<3:17d?:5;29?j4>:3:17pl>b583>6<729q/?n652878L1623A9m=6g>5583>>o6=<0;66a=9383>>{e9k?1<7:50;2x 6e?2:>0D9>:;I1e5>o6==0;66g>5483>>o6=?0;66a=9383>>{e9k<1<7=50;2x 6e?2;3>7E:?5:J0b4=n9<>1<75f14794?=h:081<75rb33;>5<4290;w)=l8;10?M27=2B8j<5f14694?=n95<52;294~"4k10::=5G4178L6`63`;>?7>5;n0:6?6=3th9><4?:383>5}#;j21=;>4H526?M5a92c:9>4?::m1=7<722wi>?<50;094?6|,:i36<8?;I631>N4n81b=8=50;9l6<4=831vn?<<:181>5<7s-9h47?90:J740=O;o;0e<;<:188k7?52900qo<=4;296?6=8r.8o54>619K053<@:l:7d?:3;29?j4>:3:17pl=2483>7<729q/?n651728L1623A9m=6g>5283>>i51;0;66sm23494?5=83:p(>m7:3;6?M27=2B8j<5f14694?=n91<75f14794?=h:081<75rb33b>5<3290;w)=l8;17?M27=2B8j<5f14694?=n95<4290;w)=l8;10?M27=2B8j<5f14694?=n95<N38<1C?k?4i077>5<6=44i075>5<h>;h360?6=3`;>97>5;n0:6?6=3th:o;4?:283>5}#;j21?>5G4178L6`63`;>87>5;h361?6=3f82>7>5;|`2g2<72:0;6=u+3b:96<3<@=:>7E=i1:k211<722c:984?::m1=7<722wi=n650;094?6|,:i36<8?;I631>N4n81b=8=50;9l6<4=831vn5<7s-9h47?90:J740=O;o;0e<;<:188k7?52900qo?la;297?6=8r.8o54<3:J740=O;o;0e<;;:188m4322900c?7=:188yg7dj3:187>50z&0g=<4<2B?<85G3g38m4332900e<;::188m4312900c?7=:188yg7>=3:1?7>50z&0g=<4;2B?<85G3g38m4332900e<;::188k7?52900qo?6c;296?6=8r.8o54>619K053<@:l:7d?:3;29?j4>:3:17pl>9e83>7<729q/?n651728L1623A9m=6g>5283>>i51;0;66sm18g94?5=83:p(>m7:3;6?M27=2B8j<5f14694?=n95<52;294~"4k10::=5G4178L6`63`;>?7>5;n0:6?6=3th:m<4?:383>5}#;j21=;>4H526?M5a92c:9>4?::m1=7<722wi=l<50;194?6|,:i36>=4H526?M5a92c:994?::k210<722e95?4?::a5d5=8381<7>t$2a;>4073A>;96F4<50;9~f4?1290?6=4?{%1`;96F4<50;9~f4?0290?6=4?{%1`;96F4<50;9~f4??29086=4?{%1`;96F5<7s-9h47<65:J740=O;o;0e<;;:188m4322900c?7=:188yg7>i3:1?7>50z&0g=<4;2B?<85G3g38m4332900e<;::188k7?52900qo?6b;290?6=8r.8o54=979K053<@:l:7d?:4;29?l72=3:17d?:6;29?j4>:3:17pl=0283>6<729q/?n65329K053<@:l:7d?:4;29?l72=3:17b<62;29?xd58h0;6?4?:1y'7f>=9?:0D9>:;I1e5>o6=:0;66a=9383>>{e:9h1<7<50;2x 6e?28<;7E:?5:J0b4=n9<91<75`28094?=zj;:h6=4<:183!5d038296F;049K7c75<h>;h367?6=3f82>7>5;|`14`<72;0;6=u+3b:9536<@=:>7E=i1:k216<722e95?4?::a65`=8381<7>t$2a;>4073A>;96F4<50;9~f77729086=4?{%1`;96F:181>5<7s-9h47?90:J740=O;o;0e<;<:188k7?52900qo50z&0g=<51<1C8=;4H2d2?l72<3:17d?:5;29?j4>:3:17pl=0983>6<729q/?n65329K053<@:l:7d?:4;29?l72=3:17b<62;29?xd5800;694?:1y'7f>=:0<0D9>:;I1e5>o6==0;66g>5483>>o6=?0;66a=9383>>{e;021<7<50;2x 6e?28<;7E:?5:J0b4=n9<91<75`28094?=zj:326=4=:183!5d03;=<6F;049K7c75<52;294~"4k10::=5G4178L6`63`;>?7>5;n0:6?6=3th85o4?:383>5}#;j21=;>4H526?M5a92c:9>4?::m1=7<722wi?4m50;194?6|,:i36>=4H526?M5a92c:994?::k210<722e95?4?::a7t$2a;>7?23A>;96F7j:187>5<7s-9h47=;;I631>N4n81b=8:50;9j503=831b=8850;9l6<4=831vn>7i:180>5<7s-9h47<65:J740=O;o;0e<;;:188m4322900c?7=:188yv452909wS<=;<01>7g73ty8<7>5fz\04>;4838j<63>a`8216=:;kn1=8:4=0ae>43434;i57?:4:?2fg<6=:16>?<51418977>28??70?l8;367>;6i80:9>521`09503<5;:m6<;<;<024?72=2785l4>529~w67=838p1>>5919>77<51;1v:;50;cxZ23<58ih6<>=;<1:2?77:27??;4>529>5db=9lj:076?82413;>?63>d18216=:9j<1=8:4=2;a>4343ty2=7>512y]=4=:9ji14=523849<5=:;903<6367;:3?84?l32;70:<3;:3?84521:01?>>:92894?421:01??9:92894ge21:01<7=:928977521:01l6:928yv?02909w078:3c3?85528??7p}68;296~;>?3;;>63;6?<0:5<2s4;<97f;367>{t9><1<796s|16a94?3|V8=h70:97;360>;6im0:99523cg9502<5:3n6<;:;|q2=4<72;qU=4?4=0;1>4653ty:5?4?:3y>5<4=:h:01;61?0:985rs0;7>5<5s4;2?7??2:?2=g<51;1v<7::18187>=382>63>9c8210=z{83=6=4={<3:2?4>:27:544>559~w4?02909w0?67;0:6>;6100:985rs0;;>5<5s4;247<62:?2=g<6=?1v<76:18187>1382>63>9`8211=z{83j6=4={<3:e?4>:27:5o4>559~w4?d2909w0?6c;0:6>;61?0:995rs0;g>5<5s4;2h7<62:?2=3<6=?1v<7j:18187>m382>63>968210=z{83m6=4={<3:b?4>:27:5:4>559~w4g72909w0?n0;0:6>;61>0:9;5rs0c2>5<5s4;j=7<62:?2=d<6=<1v63>998211=z{8k86=4={<3b7?4>:27:554>549~w4g32909w0?n4;0b4>;6i;0:995rs0c6>5<5s4;j87??2:?2e3<51;1v559>5f0=97k:077?xu6i00;6?uQ1`;894gf2;397p}>ac83>6}Y9hh0186s|1`a94?1|58km6?7=;<3ae?72<279=l4>549>5?63=0b8210=z{8ko6=4={<3b`?4>:27:mk4>559~w4gb2909w0?ne;0:6>;6io0:985rs0`3>5<5s4;jn7??2:?2f3<51;1v:18187e9382>63>b28211=z{8h96=4={<3a6?4>:27:n94>549~w4d42909w0?m3;0:6>;6j?0:995rs0`7>5<5s4;i87<62:?2f0<6=<1v63>b78210=z{8h<6=4={<3a3?4>:27:nh4>559~w4d?2909w0?m8;0:6>;6jl0:985rs0`:>5<5s4;i57<62:?2f1<6==1v63>b38211=z{8hi6=4={<3af?4>:27:n?4>549~w4dd2909w0?mc;0:6>;6j<0:995rs0`g>5<5s4;ih7<62:?2f0<6=?1v63>b28210=z{8i?6=4<{<3`0?4f827:n44>549>677=9<90q~?l5;296~;6k?095?521b`950352z?2g2<51;16=no51468yv7d?3:1>v3>c981=7=:9jk1=8;4}r3`5fd=9<<0q~?l9;296~;6kh095?521b`950252z\2gf=:9ji1>l>4}r3``?6=:r7:on460:?2`7<51;1v63>d38210=z{8im6=4={<3`b?4>:27:h<4>549~w4b72909w0?k0;0:6>;6l;0:9;5rs0f2>5<5s4;o=7<62:?2`7<6==1v?>>:187[479279<<4=a19>655=9<>01?>;:076?xu58;0;6?u22139554<5;:26?7=;|q146<72;q6>==52808976>28?>7p}=0583>7}::9>1>4<4=324>4333ty9<84?:3y>653=:0801?>8:076?xu58?0;6?u221496<4<5;:26<;9;|q142<72;q6>=952808976?28??7p}=0983>7}::921>4<4=32:>4333ty965g=:0801?>;:077?xu58k0;6?u221`96<4<5;:?6<;9;|q14f<72;q6>=m52808976228?>7p}=0e83>7}::9n1>4<4=326>4333ty965c=:0801?>::075?xu58o0;6?u221d96<4<5;:36<;:;|q155<72;q6><>52808976128??7p}=1083>7}::8;1>4<4=325>4323ty9=?4?:3y>644=:h:01???:077?xu59:0;6?u22009554<5;;?6?7=;|q153<72:qU><84=335>7g7348:47?:4:p641=838p1??9:021?846m382>6s|20:94?4|5;;36?7=;<02f?72<2wx><750;0x977>2;3970<>c;360>{t:8k1<77?5348:o7?:5:p64d=838p1??m:3;1?846m3;>86s|20a94?4|5;;h6?7=;<02`?72=2wx>e;361>{t:8l1<77?53489:7?:4:p676=838p1?3;>96s|23394?4|5;8:6?7=;<02=?72=2wx>?<50;0x97452;3970<>a;360>{t:;91<77?5348:m7?:6:p672=838p1?<;:3;1?846l3;>86s|23794?4|5;8>6?7=;<02`?72>2wx>?850;0x97412;3970<>b;361>{t:1n1<7;t=229554<5;2o6?o?;<026??734;j877?;<11>4323ty94h4?:2y>6=b=99801=}:<9<1=8?4=0a`><4<5:3=64<4=229=7=:<:915?522009=7=:9h>15?521b69=7=z{;336=4={_0:<>;3>00:9>5rs2;5>5<1s492:7;6k00:9>5rs2;4>5<5s492:77?;<1:b?4>:2wx?4650;0x96??2;3970=6c;360>{t;031<77?53492o7?:5:p77n:3;1?85>m3;>:6s|38`94?4|5:3i6?7=;<1:b?72=2wx?4m50;0x96?d2;3970=6d;361>{t;0n1<77?53492i7?:4:p77j:3;1?85>n3;>86s|3c794?77s49i975141896d028??70?m8;367>;6jj0:9>522329505<5;8?6<;<;<3`3?72=27:584>549>5d6=9<901963=0d8216=::8;1=8=4=2;:>4343ty8n;4?:3y>7g3=99801>l7:3;1?xu4j>0;6?u23c596<4<5:h36<;:;|q0f<<728:p1?<5110896d>2;k;70=l7;360>;4jm0:98523b39502<5:h36<;;;<3a5?72=27:ni4>529>64>=9863>9b8216=:90o1=8:4=32a>434348;o7?:4:?0==<6=:1v>ln:18185e13;;>63:278o<4>549~w6dc2909w0=md;0:6>;4k:0:995rs2`f>5<5s49ii7<62:?0g7<6==1v>li:18185en382>63:278o94>549~w6e62909w0=l1;0:6>;4jj0:995rs2a1>5<5s49h>7<62:?0g6<6=<1v>m<:18185d;382>63:278nn4>549~w6e22909w0=l6;0:6>;4k>0:985rs53a>5<5sW>:n63;698216=z{=986=4={_607>;3;:09m=5rs516>5<5s4>8?7??2:?773<51;1v9=8:181824;33;70:{t<:21<77?534>8m7?:4:p06?=838p19=6:3;1?824i3;>96s|47194?4|V=<870:94;0:6>{t43434>=n7<62:p031=838p1988:3;1?821j3;>86s|47:94?4|5=<36?7=;<65e?72<2wx8;750;0x910>2;3970:9a;361>{t7?534>=n7?:5:p020=839pR999;<3b2?72;27:5k4>529~w1d?2908wS:m8:?151<6=:16>=j51418yv2bm3:19vP;ed9>5f2=99801988:076?87ei3;>963=228216=zuk>;j7>51082f?42sA9m=6*6d33f>n97>5;h3b>87>5;h3b=?6=3`>:n7>5;n56>5<j6=44o2de>5<5<5<5<h1<7*=9d84e>h51m0;76a89;29 7?b2>k0b?7k:098k2>=83.95h48a:l1=a<532h53;294~"4k10:8n5G4178L6`63`2;6=44i021>5<5<4290;w)=l8;37g>N38<1C?k?4i9294?=n9981<75`2`294?=zj>i1<7=50;2x 6e?28>h7E:?5:J0b4=n090;66g>0383>>i5i90;66sm44694?5=83:p(>m7:06`?M27=2B8j<5f8183>>o68;0;66a=a183>>{e;ol1<7=50;2x 6e?28>h7E:?5:J0b4=n090;66g>0383>>i5i90;66sm3883>6<729q/?n6515a8L1623A9m=6*>5g83?l>72900e<>=:188k7g72900qo:j5;290?6=8r.8o54>4g9K053<@:l:7d6?:188m4652900e?7i:188k7g72900qo99:181>5<7s-9h47?90:J740=O;o;0e<;<:188k7?52900qo98:180>5<7s-9h47<65:J740=O;o;0e<;;:188m4322900c?7=:188yg5c29096=4?{%1`7>50z&0g=<6>91C8=;4H2d2?l72;3:17b<62;29?xd3mm0;6?4?:1y'7f>=9?:0D9>:;I1e5>o6=:0;66a=9383>>{e;h0;6?4?:1y'7f>=9?:0D9>:;I1e5>o6=:0;66a=9383>>{e<:;I1e5>o6==0;66g>5483>>i51;0;66sm44`94?4=83:p(>m7:043?M27=2B8j<5f14194?=h:081<75rb57`>5<4290;w)=l8;10?M27=2B8j<5f14694?=n91<75f14794?=n9<<1<75`28094?=zj=::6=4::183!5d039>7E:?5:J0b4=n9<>1<75f14794?=n9<<1<75f14594?=h:081<75rb27:>5<4290;w)=l8;10?M27=2B8j<5f14694?=n91<75f14794?=h:081<75rb27a>5<4290;w)=l8;10?M27=2B8j<5f14694?=n95<h7>53;294~"4k109585G4178L6`63`;>87>5;h361?6=3f82>7>5;|`01`<72:0;6=u+3b:976=O<9?0D>h>;h360?6=3`;>97>5;n0:6?6=3th89k4?:283>5}#;j21>4;4H526?M5a92c:994?::k210<722e95?4?::a736=8391<7>t$2a;>65<@=:>7E=i1:k211<722c:984?::m1=7<722wi?;?50;194?6|,:i36?7:;I631>N4n81b=8:50;9j503=831d>4<50;9~w6?=838p1>752`28921=9<>0q~=m:18185>21:01>o52808yv5d2909w0=6:021?85c2;397p}85;292~X0=27:27?9l4>559>0`d=9>:077?xu0>3:1>v386;0:6>;0?3;>96s|7b83>1}Y?116;i4>039>3f<5i9168=?51478yv1?290?wS96;<5f>46534=o6?o?;<635?72>2wx;44?:2y]3g=:?l09m=52413950158z\20d=:?l03<638d;:3?81d21:019;;:92896`a21:019k::92896g=9<90q~?:c;297~X6=j1688l5141891ce28?=7p}>a983>6}Y9h201>;m:077?852k3;>?6s|1`;94?4|V8k270=:b;361>{t9ji1<786s|2`394?5|V;k:70=:9;360>;4=l0:995rs3`f>5<5sW8ii63<5`8210=z{;i?6=4={_0`0>;4=h0:995rs200>5<5sW99?63<588210=z{:?36=4<{<66e?72=27?io4>559>737=:080q~=:9;296~;4=0095?5234f9503m7>52z?01d<51;16?;>51468yv52j3:1>v3<5c81=7=:;70c=9i7>52z?01`<51;16?8h51478yv52n3:1>v3<5g81=7=:;?:1=8;4}r154?6=:r78:=4=939>737=9v34<4}r62f?6=<1=8=4=2f9505>87>52z\711=:<<>1>l>4}r66=?6=:r7?9h4>529>00e=:080q~::a;296~;3=h095?5244a9502>n7>52z?71g<51;1688m51478yv22l3:1>v3;558247=:<4<4}r6f1?6=:rT?i8524d796d6nm7>52z?7aa<6=:168hl52808yv2bk3:1>v3;e48247=:4<4}|`755<728<1n44l5zJ0b4=#;j21=:74$31:>6d33f;<:7>5;h6f1?6=3f>9=7>5;h660?6=3f;=>7>5;h324?6=3`>jm7>5;n3;3?6=3`2=6=44i0ca>5<>i3j10;66g=1483>>o59?0;66g;3383>>i3=o0;66a;6b83>>i5:3:17b:k0;29?g27>3:1=7>50z&0g=<6=;1C8=;4H2d2?j7293:17pl;d183>0<729q/?n6515g8L1623A9m=6g60;29?l?52900e5>50;9j554=831d>l>50;9~f44f29086=4?{%1`5<7s-9h47?;c:J740=O;o;0e5>50;9j554=831d>l>50;9~f44529086=4?{%1`5<7s-9h47?;c:J740=O;o;0e5>50;9j554=831d>l>50;9~f74=8391<7>t$2a;>42d3A>;96FN4n81b4=4?::k247<722e9m=4?::a5a5=8391<7>t$2a;>42d3A>;96F?950;194?6|,:i36<:l;I631>N4n81b4=4?::k247<722e9m=4?::a5g`=8391<7>t$2a;>42d3A>;96F5}#;j21=9m4H526?M5a92c3<7>5;h336?6=3f8j<7>5;|`7f=<72:0;6=u+3b:951e<@=:>7E=i1:k;4?6=3`;;>7>5;n0b4?6=3th?;;4?:283>5}#;j21=9m4H526?M5a92c3<7>5;h336?6=3f8j<7>5;|`764<72:0;6=u+3b:951e<@=:>7E=i1:k;4?6=3`;;>7>5;n0b4?6=3th?9k4?:283>5}#;j21=9m4H526?M5a92c3<7>5;h336?6=3f8j<7>5;|`200<72:0;6=u+3b:951e<@=:>7E=i1:k;4?6=3`;;>7>5;n0b4?6=3th8j94?:283>5}#;j21=9m4H526?M5a92c3<7>5;h336?6=3f8j<7>5;|`233<72=0;6=u+3b:951b<@=:>7E=i1:k:6?6=3`2;6=44i021>5<h>;h;1>5<5<4290;w)=l8;37g>N38<1C?k?4$07e>5=n090;66g>0383>>i5i90;66sm1d194?5=83:p(>m7:06`?M27=2B8j<5+14d94>o?83:17d??2;29?j4f83:17pl>e583>6<729q/?n6515a8L1623A9m=6*>5g83?l>72900e<>=:188k7g72900qo?j9;297?6=8r.8o54>4b9K053<@:l:7)?:f;28m=6=831b==<50;9l6d6=831vn:180>5<7s-9h47?;c:J740=O;o;0(<;i:19j<5<722c:N4n81/=8h50:k;4?6=3`;;>7>5;n0b4?6=3th:j>4?:283>5}#;j21=9m4H526?M5a92.:9k4?;h:3>5<5<53;294~"4k10:8n5G4178L6`63-;>j7?4i9294?=n9981<75`2`294?=zj89;6=4<:080M5a92.8o54=3c9j550=831b==950;9l7=>=831i8=l50;194?6|,:i369>;;I631>N4n81b=8:50;9j503=831d>4<50;9~w4612909wS??6:?74g<6=<1v<>8:181[77?27?559~w6>?2909wS=78:?74g<51;1vqo?=f;297?7=;rB8j<5+3b:966d5<5<4290;w)=l8;630>N38<1C?k?4i077>5<6=44o3;1>5<52z\243=:<9h1=8;4}r333?6=:rT:<:5241`950252z\0<==:<9h1>4<4}|`26`<72:0:6>uG3g38 6e?2;9i7d??6;29?l77?3:17b=78;29?g27j3:1?7>50z&0g=<38=1C8=;4H2d2?l72<3:17d?:5;29?j4>:3:17p}>0783>7}Y99<019>m:076?xu68>0;6?uQ1158916e28??7p}<8983>7}Y;12019>m:3;1?x{e5<7>52;294~"4k10::=5G4178L6`63`;>?7>5;n0:6?6=3th:o>4?:283>5}#;j21?>5G4178L6`63`;>87>5;h361?6=3f82>7>5;|`727<72:0;6=u+3b:96<3<@=:>7E=i1:k211<722c:984?::m1=7<722wi?k850;094?6|,:i36<8?;I631>N4n81b=8=50;9l6<4=831vn<97:181>5<7s-9h47?90:J740=O;o;0e<;<:188k7?52900qo:77;296?6=8r.8o54>619K053<@:l:7d?:3;29?j4>:3:17pl;c883>7<729q/?n651728L1623A9m=6g>5283>>i51;0;66sm4e794?4=83:p(>m7:043?M27=2B8j<5f14194?=h:081<75rb00`>5<5290;w)=l8;354>N38<1C?k?4i070>5<N38<1C?k?4i077>5<6=44o3;1>5<52;294~"4k10::=5G4178L6`63`;>?7>5;n0:6?6=3th:>44?:283>5}#;j21?>5G4178L6`63`;>87>5;h361?6=3f82>7>5;|`261<72;0;6=u+3b:9536<@=:>7E=i1:k216<722e95?4?::a573=8391<7>t$2a;>65<@=:>7E=i1:k211<722c:984?::m1=7<722wi=N4n81b=8=50;9l6<4=831vn<==:180>5<7s-9h47=<;I631>N4n81b=8:50;9j503=831d>4<50;9~f45329086=4?{%1`;96F5<7s-9h47?90:J740=O;o;0e<;<:188k7?52900qo?k5;296?6=8r.8o54>619K053<@:l:7d?:3;29?j4>:3:17pl>d783>7<729q/?n651728L1623A9m=6g>5283>>i51;0;66sm1e594?2=83:p(>m7:268L1623A9m=6g>5583>>o6=<0;66g>5783>>i51;0;66sm1ga94?5=83:p(>m7:3;6?M27=2B8j<5f14694?=n95<53;294~"4k109585G4178L6`63`;>87>5;h361?6=3f82>7>5;|`2bc<72:0;6=u+3b:976=O<9?0D>h>;h360?6=3`;>97>5;n0:6?6=3th9<=4?:283>5}#;j21>4;4H526?M5a92c:994?::k210<722e95?4?::a5c3=8391<7>t$2a;>65<@=:>7E=i1:k211<722c:984?::m1=7<722wi=k850;094?6|,:i36<8?;I631>N4n81b=8=50;9l6<4=831vn5<7s-9h47?90:J740=O;o;0e<;<:188k7?52900qo?i8;297?6=8r.8o54<3:J740=O;o;0e<;;:188m4322900c?7=:188yg7a13:1?7>50z&0g=<4;2B?<85G3g38m4332900e<;::188k7?52900qo?jb;296?6=8r.8o54>619K053<@:l:7d?:3;29?j4>:3:17pl>eb83>7<729q/?n651728L1623A9m=6g>5283>>i51;0;66sm1df94?5=83:p(>m7:218L1623A9m=6g>5583>>o6=<0;66a=9383>>{e9lo1<7=50;2x 6e?2;3>7E:?5:J0b4=n9<>1<75f14794?=h:081<75rb0ge>5<4290;w)=l8;10?M27=2B8j<5f14694?=n95<h>;h360?6=3`;>97>5;n0:6?6=3th:i:4?:383>5}#;j21=;>4H526?M5a92c:9>4?::m1=7<722wi=h650;694?6|,:i36>:4H526?M5a92c:994?::k210<722c:9;4?::m1=7<722wi8h>50;794?6|,:i36>;4H526?M5a92c:994?::k210<722c:9;4?::k212<722e95?4?::a0`7=8381<7>t$2a;>4073A>;96F4<50;9~f1c529096=4?{%1`50z&0g=<4;2B?<85G3g38m4332900e<;::188k7?52900qo:j4;297?6=8r.8o54<3:J740=O;o;0e<;;:188m4322900c?7=:188yg77i3:197>50z&0g=<51>1C8=;4H2d2?l72<3:17d?:5;29?l72>3:17d?:7;29?j4>:3:17pl>0c83>6<729q/?n65329K053<@:l:7d?:4;29?l72=3:17b<62;29?xd68j0;6?4?:1y'7f>=9?:0D9>:;I1e5>o6=:0;66a=9383>>{e99n1<7=50;2x 6e?2:90D9>:;I1e5>o6==0;66g>5483>>i51;0;66sm11g94?5=83:p(>m7:218L1623A9m=6g>5583>>o6=<0;66a=9383>>{e99l1<7=50;2x 6e?2;3>7E:?5:J0b4=n9<>1<75f14794?=h:081<75rb55g>5<4290;w)=l8;0:1>N38<1C?k?4i077>5<6=44o3;1>5<52;294~"4k10::=5G4178L6`63`;>?7>5;n0:6?6=3th?;k4?:283>5}#;j21?>5G4178L6`63`;>87>5;h361?6=3f82>7>5;|`7<5<72;0;6=u+3b:9536<@=:>7E=i1:k216<722e95?4?::a0=7=8391<7>t$2a;>65<@=:>7E=i1:k211<722c:984?::m1=7<722wi85<50;194?6|,:i36>=4H526?M5a92c:994?::k210<722e95?4?::a0=5=8391<7>t$2a;>7?23A>;96F5<7s-9h47<66:J740=O;o;0e<;;:188m4322900e<;9:188k7?52900qo:75;297?6=8r.8o54<3:J740=O;o;0e<;;:188m4322900c?7=:188yg2en3:1?7>50z&0g=<51<1C8=;4H2d2?l72<3:17d?:5;29?j4>:3:17pl;c183>7<729q/?n651728L1623A9m=6g>5283>>i51;0;66sm4b394?5=83:p(>m7:218L1623A9m=6g>5583>>o6=<0;66a=9383>>{e1<75f14794?=h:081<75rb5a7>5<4290;w)=l8;10?M27=2B8j<5f14694?=n96=4<:183!5d038296F;049K7c75<h>;h360?6=3`;>97>5;h362?6=3f82>7>5;|`7g2<72:0;6=u+3b:976=O<9?0D>h>;h360?6=3`;>97>5;n0:6?6=3th?h:4?:483>5}#;j21?85G4178L6`63`;>87>5;h361?6=3`;>:7>5;h363?6=3f82>7>5;|`7`=<72;0;6=u+3b:9536<@=:>7E=i1:k216<722e95?4?::a0a?=8381<7>t$2a;>4073A>;96F4<50;9~f1bf29096=4?{%1`7>50z&0g=<6>91C8=;4H2d2?l72;3:17b<62;29?xd3lj0;6>4?:1y'7f>=;:1C8=;4H2d2?l72<3:17d?:5;29?j4>:3:17pl;de83>6<729q/?n652878L1623A9m=6g>5583>>o6=<0;66a=9383>>{e0D9>:;I1e5>o6==0;66g>5483>>o6=?0;66a=9383>>{e9131<7<50;2x 6e?28<;7E:?5:J0b4=n9<91<75`28094?=zj82j6=4=:183!5d03;=<6F;049K7c75<52;294~"4k10::=5G4178L6`63`;>?7>5;n0:6?6=3th:4n4?:383>5}#;j21=;>4H526?M5a92c:9>4?::m1=7<722wi=5j50;094?6|,:i36<8?;I631>N4n81b=8=50;9l6<4=831vn<6j:181>5<7s-9h47?90:J740=O;o;0e<;<:188k7?52900qo?7f;292?6=8r.8o54<6:J740=O;o;0e<;;:188m4322900e<;9:188m4302900e<;7:188k7?52900qo?60;290?6=8r.8o54=979K053<@:l:7d?:4;29?l72=3:17d?:6;29?j4>:3:17pl>d883>7<729q/?n651728L1623A9m=6g>5283>>i51;0;66sm1e`94?4=83:p(>m7:043?M27=2B8j<5f14194?=h:081<75rb0f`>5<5290;w)=l8;354>N38<1C?k?4i070>5<h>;h367?6=3f82>7>5;|`2``<72;0;6=u+3b:9536<@=:>7E=i1:k216<722e95?4?::a5a`=8381<7>t$2a;>4073A>;96F4<50;9~f4c729096=4?{%1`50z&0g=<4;2B?<85G3g38m4332900e<;::188k7?52900qo?j2;292900e<;n:188k7?52900qo?ka;290?6=8r.8o54=979K053<@:l:7d?:4;29?l72=3:17d?:6;29?j4>:3:17pl=6;291?6=8r.8o54=969K053<@:l:7d?:4;29?l72=3:17d?:6;29?l72?3:17b<62;29?xd503:1?7>50z&0g=<4;2B?<85G3g38m4332900e<;::188k7?52900qo<6:181>5<7s-9h47?90:J740=O;o;0e<;<:188k7?52900qo5<7s-9h47?90:J740=O;o;0e<;<:188k7?52900qo5<7s-9h47?90:J740=O;o;0e<;<:188k7?52900qo5<7s-9h47?90:J740=O;o;0e<;<:188k7?52900qo5<7s-9h47=<;I631>N4n81b=8:50;9j503=831d>4<50;9~f7c=83?1<7>t$2a;>63<@=:>7E=i1:k211<722c:984?::k213<722c:9:4?::m1=7<722wi>k4?:283>5}#;j21>4;4H526?M5a92c:994?::k210<722e95?4?::a62<72:0;6=u+3b:96<3<@=:>7E=i1:k211<722c:984?::m1=7<722wi=;:50;194?6|,:i36?7:;I631>N4n81b=8:50;9j503=831d>4<50;9~f40c29096=4?{%1`7>50z&0g=<6>91C8=;4H2d2?l72;3:17b<62;29?xd6>o0;6>4?:1y'7f>=;:1C8=;4H2d2?l72<3:17d?:5;29?j4>:3:17pl>7183>7<729q/?n651728L1623A9m=6g>5283>>i51;0;66sm16394?4=83:p(>m7:043?M27=2B8j<5f14194?=h:081<75rb051>5<5290;w)=l8;354>N38<1C?k?4i070>5<h>;h367?6=3f82>7>5;|`231<72;0;6=u+3b:9536<@=:>7E=i1:k216<722e95?4?::a533=8391<7>t$2a;>65<@=:>7E=i1:k211<722c:984?::m1=7<722wi=;850;194?6|,:i36>=4H526?M5a92c:994?::k210<722e95?4?::a531=83<1<7>t$2a;>60<@=:>7E=i1:k211<722c:984?::k213<722c:9:4?::k21=<722e95?4?::a53>=8391<7>t$2a;>7?23A>;96F5<7s-9h47<65:J740=O;o;0e<;;:188m4322900c?7=:188yg71i3:1?7>50z&0g=<4;2B?<85G3g38m4332900e<;::188k7?52900qo?9b;297?6=8r.8o54=949K053<@:l:7d?:4;29?l72=3:17b<62;29?xd6>j0;694?:1y'7f>=:0<0D9>:;I1e5>o6==0;66g>5483>>o6=?0;66a=9383>>{e<;?1<7=50;2x 6e?2;3>7E:?5:J0b4=n9<>1<75f14794?=h:081<75rb504>5<5290;w)=l8;354>N38<1C?k?4i070>5<h>;h367?6=3f82>7>5;|`76<<72;0;6=u+3b:9536<@=:>7E=i1:k216<722e95?4?::a07g=8381<7>t$2a;>4073A>;96F4<50;9~f14e29096=4?{%1`50z&0g=<51<1C8=;4H2d2?l72<3:17d?:5;29?j4>:3:17pl;2e83>6<729q/?n65329K053<@:l:7d?:4;29?l72=3:17b<62;29?xd3:l0;694?:1y'7f>=;=1C8=;4H2d2?l72<3:17d?:5;29?l72>3:17b<62;29?xd3:?0;6>4?:1y'7f>=;:1C8=;4H2d2?l72<3:17d?:5;29?j4>:3:17pl;7183>6<729q/?n65329K053<@:l:7d?:4;29?l72=3:17b<62;29?xd3?80;6>4?:1y'7f>=:0?0D9>:;I1e5>o6==0;66g>5483>>i51;0;66sm46094?5=83:p(>m7:218L1623A9m=6g>5583>>o6=<0;66a=9383>>{e9:<1<7<50;2x 6e?28<;7E:?5:J0b4=n9<91<75`28094?=zj8926=4<:183!5d03987E:?5:J0b4=n9<>1<75f14794?=h:081<75rb01b>5<5290;w)=l8;354>N38<1C?k?4i070>5<h>;h367?6=3f82>7>5;|`27f<72;0;6=u+3b:9536<@=:>7E=i1:k216<722e95?4?::a56b=8381<7>t$2a;>4073A>;96F4<50;9~f45b29086=4?{%1`;96F5<7s-9h47=<;I631>N4n81b=8:50;9j503=831d>4<50;9~f42729086=4?{%1`=2B?<85G3g38m4332900e<;::188k7?52900qo?<7;290?6=8r.8o54<4:J740=O;o;0e<;;:188m4322900e<;9:188k7?52900qo?<8;297?6=8r.8o54=949K053<@:l:7d?:4;29?l72=3:17b<62;29?xd69j0;6?4?:1y'7f>=9?:0D9>:;I1e5>o6=:0;66a=9383>>{e98n1<7:50;2x 6e?2;3=7E:?5:J0b4=n9<>1<75f14794?=n9<<1<75`28094?=zj8;n6=4=:183!5d03;=<6F;049K7c75<53;294~"4k108?6F;049K7c75<N38<1C?k?4i077>5<6=44o3;1>5<53;294~"4k109585G4178L6`63`;>87>5;h361?6=3f82>7>5;|q16?6=;rT9>63=2;0b4>;5m3;>86s|2483>7}::;0:5<5s4836?7=;<0g>4333ty957>52z?1=?4>:279h7?:5:p6d<72;q6>l4=939>6`<6=<1v?l50;0x97d=:0801?k51448yv4d2909w063=f;360>{t:l0;6?u22d81=7=::o0:985rs3d94?4|5;l1>4<4=359503963>fe8216=:9o?1=8;4=3:9502<58;68103<63>e28;4>;6m=03<63>e88;4>;6n803<63>f38;4>;6n:03<63>f`8;4>;3::0:9>5rs9494?2|V1<019j?:9289=d=99801;6l<0:9>521ga9503<58l<6<;<;<3fa?72<27:i:4>529>55e=9<90196?:070?82d:3;>?63>698211=:<;?1=8;4}r3355b=9<>0199>:077?xu6800;6?u211:9554<58:m6?7=;|q24d<72;q6==o52808946c28?>7p}>0c83>7}:99h1>4<4=02f>4333ty:55e=:0801<>j:076?xu68m0;6?u211f96<4<58:m6<;;;|q24`<72;q6==k52808946a28?>7p}>1183>1}Y98:01<:::021?874>3;>?63>1b8216=z{8;?6=4n{<32h4>079>564=9863;d98216=:9131=8=4=01:>43334;8m7?:3:?25`<6=:1v32;70?=2;:3?876i382>6s|10`94?4|58;36<>=;<315?4>:2wx=f;361>{t98n1<77?534;9<7?:4:p54c=838p196s|10d94?4|58;m6?7=;<315?72<2wx=?>50;0x94472;3970?=1;361>{t98?1<76t=001>7g734;9i7??7:?277<6==168h?5141891be28?870?7b;367>;6;m0:9>5210f950252z?267<68;16=?;52808yv75<3:1>v3>2581=7=:9;?1=8;4}r322?6=1r7:>;4=a19>57`=99=01<=;:077?82b83;>;63;d68210=:43434;8o7?:3:?25a<6=<1v<<8:181875>3;;>63>2881=7=z{8836=4={<31:27:>44>549~w4702903w0?=a;0b4>;6;90:<:524d09505<5=nj6<;<;<3;b?72=27:?44>549>56d=9<9017p}>3083>6}:9;l1==84=011>7?534;887?:5:p565=838p1<=?:025?874<382>6s|12794?2|588h6<;<;<3194>529>56>=:080q~?<6;296~;6;?095?5212g950352z?272<51;16=>651478yv7413:1>v3>3881=7=:9=:1=8:4}r30e?6=:r7:?l4=939>56`=9<>0q~?52z?27f<51;16=>951468yv74l3:1>v3>3e81=7=:9:=1=884}r30a?6=:r7:?h4=939>56>=9<>0q~?52z?205<51;16=>951478yv7393:1>v3>2d80<==:9;?1=8:4}r376?6=:r7:>k4<899>57?=9<>0q~?;3;296~;6;908455213f950253z?200<5i916=>k51468947a28??7p}>6383>0}Y9?801<8=:3c3?82b83;>963;d68213=:9?=1=8;4}r357?6=:r7::?4>039>53e=:080q~?94;296~;6>=095?5217a950352z?220<51;16=;651478yv71>3:1>v3>6781=7=:9?31=8:4}r353?6=:r7:::4=939>53?=91095?5217c950352z?22<<51;16=;m51448yv71i3:1>v3>6`81=7=:9?h1=8;4}r35f?6=:r7::o4=939>53e=9<>0q~?9d;296~;6>m095?52177950252z?22`<51;16=;;51478yv71n3:1>v3>6g81=7=:9?<1=8:4}r344?6=:r7:;=4=939>530=97>52z?237<51;16=;951448yv70;3:1>v3>7281=7=:9?=1=894}r340?6=:r7:;94=939>531=9<20q~?86;291~X6??16=:852`2894ce28?870?j6;360>;6>m0:9>5rs054>5<5s4;<:7??2:?23=<51;1v<68:186[7??27:4:4=a19>5`e=9<901?6s|19:94?4|582<6<>=;<3:4?4>:2wx=5750;0x94>>2;3970?7f;360>{t91k1<77?534;3j7?:6:p5=d=838p1<6m:3;1?87?n3;>;6s|19a94?4|582h6?7=;<3;b?7202wx=5j50;0x94>c2;3970?60;360>{t91o1<77?534;2<7?:6:p5=`=838p1<6i:3;1?87>83;>96s|1`594?4|V8k<70:75;360>{t9hh1<76s|1cd94?2|58hm6?o?;<3`6?72;27?;k4>559>0f7=9<>0q~?l0;29<~;6k:095?521e49505<58lh6<;;;<3e2?72;27957?:3:?235<6=:168?;51468911728??7p}>c083>7}:9j;1>4<4=0a0>4333ty:o?4?:3y>5f4=:0801u21e196d6<58o96<;;;<644?72=2wx=i:50;0x94b02;3970?k9;367>{t9m?1<77?534;o;7?:4:p5a0=838p1:6s|1e:94?4|58n86<>=;<3ge?4>:2wx=i750;0x94b>2;3970?j1;361>{t9mh1<77?534;n>7?:5:p5ae=838p1:6s|1ef94?4|58no6?7=;<3f6?72?2wx=ik50;0x94bb2;3970?j2;36=>{t9ml1<77?534;n>7?:8:p5`6=838p1m6s|1d394?4|58o:6?7=;<3ge?72<2wx=h<50;0x94c52;3970?ka;362>{t9l91<7;t=0g0>7g7348;<7?:4:?2`d<6=<16>o4>529>522=9<90q~?j4;291~;6m:0:43434;6s|1d494?4|58o=6?7=;<3f{t9l31<7mt=0g:>7g734>=>7?:4:?2ac<6==16=h651478946f28?<70:73;360>;3k<0:99521ed9505<5;<1=8:4=051>43434;=m7?:4:?76g<6=:1v63>f181=7=z{8oi6=4={<3ff?4>:27:ii4>559~w4cd2909w0?jc;0:6>;6mm0:985rs0gg>5<5s4;nh7<62:?2a`<6=<1v63>eg8210=z{8om6=4={<3fb?4>:27:j=4>549~w4`6290jw0?i1;0b4>;3>;0:98521g29502<58:j6<;9;<64`?72<27?nk4>559>5ab=9<901?851478940e28??70:=a;367>{t9o81<77t=0d2>46534;m>770?kc;367>;5>3;>;63>658211=:<;21=8=4}r3e7?6=1r7:j?4>039>5c5=:h:01<>n:077?82?93;>863;c28211=:9mh1=8=4=349500<58{t9o?1<77?534;m57?:4:p5c0=838p186s|1g594?4|58l<6?7=;<3e{t9ok1<7jt=0db>7g734;mj7?:4:?2b0<6==16==l51468911a28?>70:l1;361>;6m90:9>521d39502<5;21=8;4=3a9505<58:4>529~w4`e2909w0?ia;336>;589095?5rs0d`>5<5s4;mo7<62:?2b`<6==1v63>fd8210=z{8ln6=4={<3ea?4>:27:jk4>549~w4`a2909w0?if;0:6>;5890:985rs336>5<5sW8:963;c68211=z{;;=6=4={_022>;5:>0:5<4s489;7v3;078214=:5<4s49m87v34<4}r615?6=<5243396d6<58==64<4=0:4><49>7>55z?76463;2781=7=z{=8>6=4={<611?4>:27?>n4>559~w1402909w0:=7;0:6>;3:j0:985rs50;>5<5s4>947<62:?76`<6==1v9<6:1818251382>63;2e8211=z{=8j6=4={<61e?4>:27?>i4>549~w14e2909w0:=b;0:6>;3:?0:985rs50`>5<5s4>9o7<62:?76`<6=<1v963;2d8213=z{=8n6=4={<61a?4>:27?>;4>559~w1552909wS:<2:?23=<6=:1v9;;:181[22<27:4i4>529~w13a2909wS::f:?71c<5i91v98>:181822n3;;>63;6381=7=z{=;3>m095?5rs54e>5<5s4>=h7?:3:?737<51;1v99?:1818208382>63;708210=z{==:6=4={<645?4>:27?;?4>549~w1112908wS:86:?733<5i9168:k51418yv20k3:1>v3;868216=:<1?1>4<4}r64`?6=:r7?;i4=939>0=2=952z?73c<51;1685<51468yv2?83:1>v3;8181=7=:<181=8;4}r6;5?6=:r7?4<4=939>0=5=93?7>52z?7<6<51;1685:51468yv2?<3:1>v3;8581=7=:<1?1=8;4}r6;2?6=:r7?;;4>039>0=1=:080q~:na;296~X3ih16=5k51418yv2e03:1?vP;b99>0g>=:h:019m?:070?xu3jl0;6?u24b;9505<5=i<6?7=;|q7fc<72;q68oh5280891e128?>7p};c183>7}:4<4=5a0>4323ty?o<4?:3y>0f7=:08019m;:077?xu3k;0;6?u24b096<4<5=i?6<;:;|q7g6<72;q68n=5280891e228?>7p};c583>7}:1>4<4=5a5>4313ty?o84?:3y>0f3=:08019m9:077?xu3k?0;6?u24b496<4<5=i<6<;:;|q7g=<72;q68o65110891e>2;397p};d183>6}Y?6s|4e694?4|5=n;6<>=;<6g1?4>:2wx8i850;0x91b720:019jj:3;1?xu3l>0;6?u24e596<4<5=no6<;;;|q7`=<72;q68i65280891bd28??7p};d883>7}:4<4=5f`>4323ty?hl4?:3y>0ag=:08019jj:077?xu3lk0;6?u24e`96<4<5=nn6<;9;|q7`f<72;q68im5280891bc28?>7p};de83>7}:4<4=5ff>4323ty?hk4?:3y>0a3=9<9019k;:3;1?xu3m90;6?u24d296<4<5=o?6<;;;|q7a4<72;q68h?5280891c428??7p};e383>7}:4<4=5g0>4323ty?i>4?:3y>0`5=:08019k;:076?xu3m<0;6?uQ4d7896`128?87psm41f94?e=k;0h5vF=1:1/>>753c68m<7=831b?l>50;9j30<722e??h4?::k255<722c?;>4?::k;2?6=3f9=>7>5;n6ba?6=3f5<5<6290;w)=l8;366>N38<1C?k?4o072>5<>o?83:17d??2;29?j4f83:17plm8;291?6=8r.8o54>4d9K053<@:l:7d7?:188m<4=831b4=4?::k247<722e9m=4?::af0<72<0;6=u+3b:951c<@=:>7E=i1:k:4?6=3`396=44i9294?=n9981<75`2`294?=zjho1<7;50;2x 6e?28>n7E:?5:J0b4=n190;66g62;29?l>72900e<>=:188k7g72900qoom:186>5<7s-9h47?;e:J740=O;o;0e4>50;9j=7<722c3<7>5;h336?6=3f8j<7>5;|`b4?6==3:1h>;h;3>5<>o68;0;66a=a183>>{e;1h1<7;50;2x 6e?28>n7E:?5:J0b4=n190;66g62;29?l>72900e<>=:188k7g72900qo=j1;291?6=8r.8o54>4d9K053<@:l:7)?:f;28m<6=831b5?4?::k;4?6=3`;;>7>5;n0b4?6=3th8hi4?:483>5}#;j21=9k4H526?M5a92.:9k4?;h;3>5<>o68;0;66a=a183>>{e;m31<7;50;2x 6e?28>n7E:?5:J0b4=#950;9j554=831d>l>50;9~f6b3290>6=4?{%1`5<h>;h;3>5<>o68;0;66a=a183>>{ej80;684?:1y'7f>=9=o0D9>:;I1e5>o>83:17d7=:188m=6=831b==<50;9l6d6=831vn<:6:180>5<7s-9h47?;c:J740=O;o;0e5>50;9j554=831d>l>50;9~f42?29086=4?{%1`N4n81b4=4?::k247<722e9m=4?::a<`<72:0;6=u+3b:951e<@=:>7E=i1:k;4?6=3`;;>7>5;n0b4?6=3th??h4?:283>5}#;j21=9m4H526?M5a92c3<7>5;h336?6=3f8j<7>5;|`7e`<72:0;6=u+3b:951e<@=:>7E=i1:k;4?6=3`;;>7>5;n0b4?6=3th=h7>53;294~"4k10:8n5G4178L6`63`2;6=44i021>5<h>;h:3>5<5<<97>53;294~"4k10:8n5G4178L6`63`2;6=44i021>5<h>;h;3>5<>o68;0;66a=a183>>{e:<;1<7;50;2x 6e?28>n7E:?5:J0b4=n190;66g62;29?l>72900e<>=:188k7g72900qo<:4;291?6=8r.8o54>4d9K053<@:l:7d7?:188m<4=831b4=4?::k247<722e9m=4?::a603=83?1<7>t$2a;>42b3A>;96F7>5;h:3>5<5<:7>55;294~"4k10:8h5G4178L6`63`3;6=44i8094?=n090;66g>0383>>i5i90;66sm24594?3=83:p(>m7:06f?M27=2B8j<5f9183>>o>:3:17d6?:188m4652900c?o?:188yg4203:197>50z&0g=<68750;794?6|,:i36<:j;I631>N4n81b5=4?::k:6?6=3`2;6=44i021>5<h>;h;3>5<>o68;0;66a=a183>>{e:n7E:?5:J0b4=n190;66g62;29?l>72900e<>=:188k7g72900qo<:2;291?6=8r.8o54>4d9K053<@:l:7d7?:188m<4=831b4=4?::k247<722e9m=4?::a605=83?1<7>t$2a;>42b3A>;96F7>5;h:3>5<5<55;294~"4k10:8h5G4178L6`63`3;6=44i8094?=n090;66g>0383>>i5i90;66sm2`394?3=83:p(>m7:06f?M27=2B8j<5f9183>>o>:3:17d6?:188m4652900c?o?:188yg45i3:1?7>50z&0g=<650;9j554=831d>l>50;9~f74b29086=4?{%1`uG3g38 6e?2;9i7d??6;29?l77?3:17b=78;29?g27j3:1?7>50z&0g=<38=1C8=;4H2d2?l72<3:17d?:5;29?j4>:3:17p}>0783>7}Y99<019>m:076?xu68>0;6?uQ1158916e28??7p}<8983>7}Y;12019>m:3;1?x{ejl0;6>4>:2yK7c7<,:i36?=m;h332?6=3`;;;7>5;n1;;n7>53;294~"4k10?<95G4178L6`63`;>87>5;h361?6=3f82>7>5;|q243<72;qU==84=52a>4323ty:<:4?:3y]551<5=:i6<;;;|q0<=<72;qU?564=52a>7?53twio?4?:282>6}O;o;0(>m7:31a?l77>3:17d??7;29?j5?03:17o:?b;297?6=8r.8o54;059K053<@:l:7d?:4;29?l72=3:17b<62;29?xu68?0;6?uQ1148916e28?>7p}>0683>7}Y99=019>m:077?xu4010;6?uQ39:8916e2;397psmc083>6<62:qC?k?4$2a;>75e3`;;:7>5;h333?6=3f9347>5;c63f?6=;3:1h>;h360?6=3`;>97>5;n0:6?6=3ty:<;4?:3y]550<5=:i6<;:;|q242<72;qU==94=52a>4333ty8454?:3y]7=><5=:i6?7=;|ag0<72:0:6>uG3g38 6e?2;9i7d??6;29?l77?3:17b=78;29?g27j3:1?7>50z&0g=<38=1C8=;4H2d2?l72<3:17d?:5;29?j4>:3:17p}>0783>7}Y99<019>m:076?xu68>0;6?uQ1158916e28??7p}<8983>7}Y;12019>m:3;1?x{ek=0;6>4>:2yK7c7<,:i36?=m;h332?6=3`;;;7>5;n1;;n7>53;294~"4k10?<95G4178L6`63`;>87>5;h361?6=3f82>7>5;|q243<72;qU==84=52a>4323ty:<:4?:3y]551<5=:i6<;;;|q0<=<72;qU?564=52a>7?53twio54?:282>6}O;o;0(>m7:31a?l77>3:17d??7;29?j5?03:17o:?b;297?6=8r.8o54;059K053<@:l:7d?:4;29?l72=3:17b<62;29?xu68?0;6?uQ1148916e28?>7p}>0683>7}Y99=019>m:077?xu4010;6?uQ39:8916e2;397psmc683>6<62:qC?k?4$2a;>75e3`;;:7>5;h333?6=3f9347>5;c63f?6=;3:1h>;h360?6=3`;>97>5;n0:6?6=3ty:<;4?:3y]550<5=:i6<;:;|q242<72;qU==94=52a>4333ty8454?:3y]7=><5=:i6?7=;|agg<72:0:6>uG3g38 6e?2;9i7d??6;29?l77?3:17b=78;29?g27j3:1?7>50z&0g=<38=1C8=;4H2d2?l72<3:17d?:5;29?j4>:3:17p}>0783>7}Y99<019>m:076?xu68>0;6?uQ1158916e28??7p}<8983>7}Y;12019>m:3;1?x{ekh0;6>4>:2yK7c7<,:i36?=m;h332?6=3`;;;7>5;n1;;n7>53;294~"4k10?<95G4178L6`63`;>87>5;h361?6=3f82>7>5;|q243<72;qU==84=52a>4323ty:<:4?:3y]551<5=:i6<;;;|q0<=<72;qU?564=52a>7?53twioh4?:282>6}O;o;0(>m7:31a?l77>3:17d??7;29?j5?03:17o:?b;297?6=8r.8o54;059K053<@:l:7d?:4;29?l72=3:17b<62;29?xu68?0;6?uQ1148916e28?>7p}>0683>7}Y99=019>m:077?xu4010;6?uQ39:8916e2;397psmce83>6<62:qC?k?4$2a;>75e3`;;:7>5;h333?6=3f9347>5;c63f?6=;3:1h>;h360?6=3`;>97>5;n0:6?6=3ty:<;4?:3y]550<5=:i6<;:;|q242<72;qU==94=52a>4333ty8454?:3y]7=><5=:i6?7=;|a7`1=8391=7=tH2d2?!5d0388n6g>0783>>o68>0;66a<8983>>d38k0;6>4?:1y'7f>=<9>0D9>:;I1e5>o6==0;66g>5483>>i51;0;66s|11494?4|V8:=70:?b;361>{t99=1<786s|39:94?4|V:2370:?b;0:6>{zj:o=6=4<:080M5a92.8o54=3c9j550=831b==950;9l7=>=831i8=l50;194?6|,:i369>;;I631>N4n81b=8:50;9j503=831d>4<50;9~w4612909wS??6:?74g<6=<1v<>8:181[77?27?559~w6>?2909wS=78:?74g<51;1vqo=j5;297?7=;rB8j<5+3b:966d5<5<4290;w)=l8;630>N38<1C?k?4i077>5<6=44o3;1>5<52z\243=:<9h1=8;4}r333?6=:rT:<:5241`950252z\0<==:<9h1>4<4}|`11a<72:0:6>uG3g38 6e?2;9i7d??6;29?l77?3:17b=78;29?g27j3:1?7>50z&0g=<38=1C8=;4H2d2?l72<3:17d?:5;29?j4>:3:17p}>0783>7}Y99<019>m:076?xu68>0;6?uQ1158916e28??7p}<8983>7}Y;12019>m:3;1?x{e:5}#;j218=:4H526?M5a92c:994?::k210<722e95?4?::p550=838pR<>9;<63f?72=2wx==950;0xZ46034>;n7?:4:p7=>=838pR>67;<63f?4>:2wvn?89:180>4<4sA9m=6*m7:527?M27=2B8j<5f14694?=n9;38k0:985rs024>5<5sW;;;63;0c8211=z{:236=4={_1;<>;38k095?5r}c051?6=;3;1?vF=::h0e<>9:188m4602900c>67:188f16e29086=4?{%1`vP>069>05d=9<>0q~=78;296~X401168=l52808yxd5>=0;6>4>:2yK7c7<,:i36?=m;h332?6=3`;;;7>5;n1;;n7>53;294~"4k10?<95G4178L6`63`;>87>5;h361?6=3f82>7>5;|q243<72;qU==84=52a>4323ty:<:4?:3y]551<5=:i6<;;;|q0<=<72;qU?564=52a>7?53twi>;=50;195?5|@:l:7)=l8;00f>o68?0;66g>0683>>i4010;66l;0c83>6<729q/?n654168L1623A9m=6g>5583>>o6=<0;66a=9383>>{t99<1<796s|11594?4|V8:<70:?b;360>{t;121<76srb341>5<42808wE=i1:&0g=<5;k1b==850;9j551=831d?5650;9a05d=8391<7>t$2a;>1633A>;96F9:181[77>27?549~w4602909wS??7:?74g<6==1v>67:181[5?027?>l4i025>5<5<;86F;049K7c75<52z\242=:<9h1=8:4}r1;6}O;o;0(>m7:31a?l77>3:17d??7;29?j5?03:17o:?b;297?6=8r.8o54;059K053<@:l:7d?:4;29?l72=3:17b<62;29?xu68?0;6?uQ1148916e28?>7p}>0683>7}Y99=019>m:077?xu4010;6?uQ39:8916e2;397psm24d94?5=939pD>h>;%1`7E=i1:k211<722c:984?::m1=7<722wx==850;0xZ46134>;n7?:5:p551=838pR<>8;<63f?72<2wx?5650;0xZ6>?34>;n7<62:~f73b29086<4<{I1e5>"4k109?o5f11494?=n99=1<75`39:94?=e<9h1<7=50;2x 6e?2=:?7E:?5:J0b4=n9<>1<75f14794?=h:081<75rs025>5<5sW;;:63;0c8210=z{8:<6=4={_333>;38k0:995rs2:;>5<5sW93463;0c81=7=zuk236=4=:183!5d03;=<6F;049K7c75<1<75f14794?=h:081<75rb031>5<5290;w)=l8;354>N38<1C?k?4i070>5<N38<1C?k?4i077>5<6=44o3;1>5<53;294~"4k108?6F;049K7c75<N38<1C?k?4i077>5<6=44o3;1>5<5<5<1<75f14794?=h:081<75rb3c:>5<5290;w)=l8;354>N38<1C?k?4i070>5<h>;h367?6=3f82>7>5;|`1eg<72:0;6=u+3b:96<3<@=:>7E=i1:k211<722c:984?::m1=7<722wi==?50;094?6|,:i36<8?;I631>N4n81b=8=50;9l6<4=831vn>oi:180>5<7s-9h47<65:J740=O;o;0e<;;:188m4322900c?7=:188yg5?m3:1>7>50z&0g=<6>91C8=;4H2d2?l72;3:17b<62;29?xd40o0;6>4?:1y'7f>=:0?0D9>:;I1e5>o6==0;66g>5483>>i51;0;66sm27;94?5=83:p(>m7:218L1623A9m=6g>5583>>o6=<0;66a=9383>>{e:?h1<7=50;2x 6e?2:90D9>:;I1e5>o6==0;66g>5483>>i51;0;66sm27f94?5=83:p(>m7:218L1623A9m=6g>5583>>o6=<0;66a=9383>>{e:?l1<7=50;2x 6e?2:90D9>:;I1e5>o6==0;66g>5483>>i51;0;66sm26394?5=83:p(>m7:218L1623A9m=6g>5583>>o6=<0;66a=9383>>{e:>91<7=50;2x 6e?2:90D9>:;I1e5>o6==0;66g>5483>>i51;0;66sm26794?5=83:p(>m7:218L1623A9m=6g>5583>>o6=<0;66a=9383>>{e:>=1<7=50;2x 6e?2:90D9>:;I1e5>o6==0;66g>5483>>i51;0;66sm26;94?5=83:p(>m7:218L1623A9m=6g>5583>>o6=<0;66a=9383>>{e:>h1<7=50;2x 6e?2:90D9>:;I1e5>o6==0;66g>5483>>i51;0;66sm27594?4=83:p(>m7:043?M27=2B8j<5f14194?=h:081<75rb2g0>5<5290;w)=l8;354>N38<1C?k?4i070>5<N38<1C?k?4i077>5<6=44o3;1>5<52;294~"4k10::=5G4178L6`63`;>?7>5;n0:6?6=3th8i=4?:283>5}#;j21?>5G4178L6`63`;>87>5;h361?6=3f82>7>5;|`0`g<72;0;6=u+3b:9536<@=:>7E=i1:k216<722e95?4?::a7ae=8391<7>t$2a;>65<@=:>7E=i1:k211<722c:984?::m1=7<722wi?i850;094?6|,:i36<8?;I631>N4n81b=8=50;9l6<4=831vn>j8:181>5<7s-9h47?90:J740=O;o;0e<;<:188k7?52900qo=k8;297?6=8r.8o54<3:J740=O;o;0e<;;:188m4322900c?7=:188yg5b13:1?7>50z&0g=<4;2B?<85G3g38m4332900e<;::188k7?52900qo=jb;297?6=8r.8o54<3:J740=O;o;0e<;;:188m4322900c?7=:188ygca29096=4?{%1`;96F=4H526?M5a92c:994?::k210<722e95?4?::ab1<72;0;6=u+3b:9536<@=:>7E=i1:k216<722e95?4?::ab0<72:0;6=u+3b:976=O<9?0D>h>;h360?6=3`;>97>5;n0:6?6=3thih7>53;294~"4k108?6F;049K7c75<5<4290;w)=l8;10?M27=2B8j<5f14694?=n9:;I1e5>o6==0;66g>5483>>i51;0;66smb183>6<729q/?n65329K053<@:l:7d?:4;29?l72=3:17b<62;29?xdfl3:1?7>50z&0g=<4;2B?<85G3g38m4332900e<;::188k7?52900qoo=:181>5<7s-9h47?90:J740=O;o;0e<;<:188k7?52900qoo<:180>5<7s-9h47=<;I631>N4n81b=8:50;9j503=831d>4<50;9~fg5=8381<7>t$2a;>4073A>;96F4<50;9~fg2=8391<7>t$2a;>7?23A>;96F5<7s-9h47?90:J740=O;o;0e<;<:188k7?52900qo<<7;297?6=8r.8o54<3:J740=O;o;0e<;;:188m4322900c?7=:188yg4513:187>50z&0g=<4<2B?<85G3g38m4332900e<;::188m4312900c?7=:188yg5dn3:1?7>50z&0g=<4;2B?<85G3g38m4332900e<;::188k7?52900qo=k0;296?6=8r.8o54>619K053<@:l:7d?:3;29?j4>:3:17pl7<729q/?n651728L1623A9m=6g>5283>>i51;0;66sm3e094?5=83:p(>m7:218L1623A9m=6g>5583>>o6=<0;66a=9383>>{e;m91<7=50;2x 6e?2:90D9>:;I1e5>o6==0;66g>5483>>i51;0;66sm25c94??=83:p(>m7:2:8L1623A9m=6g>5583>>o6=<0;66g>5783>>o6=>0;66g>5983>>o6=00;66g>5`83>>o6=k0;66a=9383>>{e:=h1<7=50;2x 6e?2:90D9>:;I1e5>o6==0;66g>5483>>i51;0;66sm25a94?4=83:p(>m7:043?M27=2B8j<5f14194?=h:081<75rb36g>5<4290;w)=l8;10?M27=2B8j<5f14694?=n9n6=4<:183!5d03987E:?5:J0b4=n9<>1<75f14794?=h:081<75rb36e>5<4290;w)=l8;10?M27=2B8j<5f14694?=n95<52;294~"4k10::=5G4178L6`63`;>?7>5;n0:6?6=3th9m84?:383>5}#;j21=;>4H526?M5a92c:9>4?::m1=7<722wi>l850;094?6|,:i36<8?;I631>N4n81b=8=50;9l6<4=831vn?o8:186>5<7s-9h47=:;I631>N4n81b=8:50;9j503=831b=8850;9j501=831d>4<50;9~f`b=83>1<7>t$2a;>7?13A>;96F4<50;9~f75729096=4?{%1`7>50z&0g=<6>91C8=;4H2d2?l72;3:17b<62;29?xd5;;0;6>4?:1y'7f>=;:1C8=;4H2d2?l72<3:17d?:5;29?j4>:3:17pl=3283>6<729q/?n65329K053<@:l:7d?:4;29?l72=3:17b<62;29?xd5;=0;6>4?:1y'7f>=:0?0D9>:;I1e5>o6==0;66g>5483>>i51;0;66sm23a94?2=83:p(>m7:268L1623A9m=6g>5583>>o6=<0;66g>5783>>i51;0;66sm23f94?5=83:p(>m7:3;6?M27=2B8j<5f14694?=n91<75f14794?=h:081<75rb3:b>5<5290;w)=l8;354>N38<1C?k?4i070>5<N38<1C?k?4i077>5<6=44o3;1>5<53;294~"4k108?6F;049K7c75<5<5290;w)=l8;354>N38<1C?k?4i070>5<5<5290;w)=l8;354>N38<1C?k?4i070>5<5<4290;w)=l8;10?M27=2B8j<5f14694?=n981<7=50;2x 6e?2:90D9>:;I1e5>o6==0;66g>5483>>i51;0;66sm7283>6<729q/?n652878L1623A9m=6g>5583>>o6=<0;66a=9383>>{e?=0;6>4?:1y'7f>=;:1C8=;4H2d2?l72<3:17d?:5;29?j4>:3:17pl;4083>6<729q/?n65329K053<@:l:7d?:4;29?l72=3:17b<62;29?xd3<;0;6?4?:1y'7f>=9?:0D9>:;I1e5>o6=:0;66a=9383>>{e<=91<7<50;2x 6e?28<;7E:?5:J0b4=n9<91<75`28094?=zj=>?6=4<:183!5d03987E:?5:J0b4=n9<>1<75f14794?=h:081<75rb566>5<4290;w)=l8;0:1>N38<1C?k?4i077>5<6=44o3;1>5<?:7>53;294~"4k108?6F;049K7c75<h>;h360?6=3`;>97>5;n0:6?6=3th8n<4?:283>5}#;j21>4;4H526?M5a92c:994?::k210<722e95?4?::a7g4=8381<7>t$2a;>4073A>;96F4<50;9~f6d429086=4?{%1`=2B?<85G3g38m4332900e<;::188k7?52900qoo::180>5<7s-9h47=<;I631>N4n81b=8:50;9j503=831d>4<50;9~fd0=8381<7>t$2a;>4073A>;96F4<50;9~fd1=8381<7>t$2a;>4073A>;96F4<50;9~fd>=8381<7>t$2a;>4073A>;96F4<50;9~fd?=8391<7>t$2a;>65<@=:>7E=i1:k211<722c:984?::m1=7<722wiml4?:583>5}#;j21>484H526?M5a92c:994?::k210<722c:9;4?::m1=7<722wih<4?:383>5}#;j21=;>4H526?M5a92c:9>4?::m1=7<722wih?4?:383>5}#;j21=;>4H526?M5a92c:9>4?::m1=7<722wih>4?:283>5}#;j21>4;4H526?M5a92c:994?::k210<722e95?4?::a`1<72:0;6=u+3b:976=O<9?0D>h>;h360?6=3`;>97>5;n0:6?6=3tho97>53;294~"4k108?6F;049K7c75<5<4290;w)=l8;0:1>N38<1C?k?4i077>5<6=44o3;1>5<5<5<5<5<4290;w)=l8;10?M27=2B8j<5f14694?=n9:;I1e5>o6==0;66g>5483>>i51;0;66smde83>6<729q/?n652878L1623A9m=6g>5583>>o6=<0;66a=9383>>{elo0;6?4?:1y'7f>=9?:0D9>:;I1e5>o6=:0;66a=9383>>{em90;6?4?:1y'7f>=9?:0D9>:;I1e5>o6=:0;66a=9383>>{em80;6>4?:1y'7f>=:0?0D9>:;I1e5>o6==0;66g>5483>>i51;0;66sme383>6<729q/?n65329K053<@:l:7d?:4;29?l72=3:17b<62;29?xdb;3:1?7>50z&0g=<4;2B?<85G3g38m4332900e<;::188k7?52900qok;:180>5<7s-9h47<65:J740=O;o;0e<;;:188m4322900c?7=:188ygc129096=4?{%1`=2B?<85G3g38m4332900e<;::188k7?52900qok6:180>5<7s-9h47=<;I631>N4n81b=8:50;9j503=831d>4<50;9~f`g=8391<7>t$2a;>65<@=:>7E=i1:k211<722c:984?::m1=7<722wiio4?:283>5}#;j21>4;4H526?M5a92c:994?::k210<722e95?4?::p2a<72:qU:i526e81e5=:?80:995rs7g94?4|5?n1==<4=6696<47?534=:6<;:;|q44?6=:r7<<7<62:?46?72=2wx;<4?:3y>34<51;16;>4>559~w24=838p1:<52808925=9lo51418yv>12909wS69;<:f>4653ty3;7>54z?;=?4>:27mh7?:3:?b1?72<27j:7?:3:p<=<72;q6454=939><<<6=<1v5m50;1x9=`=998015k52`289=>=9<90q~6k:1818>a2;k;7066:077?xu>93:1>:uQ909>fg=6<5ho14=52ac8;4>;f832;70=7b;:3?85b932;70=kd;:3?85c132;70=k4;:3?85fl32;70l>:928942>21:01<:7:9289=`=09164h470:?77`7348?476?;<641?>7348><76?;<065?>7348>876?;<061?>7348>:76?;<063?>7348>476?;<06=?>7348>m76?;<06f?>7348>>76?;<067?>7349hh76?;<0b5?>73489m76?;<01a?>73ty257>54z?b4?4f827ii7??7:?b6?72;27o97?:4:pe4<72;q6m=4>039>e6<51;1vl<50;0x9d4=:0801l=51478yvg32903w0o?:8289gd=1916n5460:?a1??734h:64>4=`g9=5=:ik02<63na;0:6>{ti<0;6?u2a481=7=:ih0:985rs`494?4|5h<1>4<4=`;95027?534k26<;:;|qb2wxm44?:3y>e<<51;16ml4>559~wp1ll52`289g`=99<01i=514689a2=9<>0q~ol:1818ge28:970ok:3;1?xu>j3:1?v3ne;0b4>;d:3;;:63kc;360>{tio0;6?u2ad8247=:j9095?5rs8a94?2|5k;1>l>4=b79550<5;k86<;<;4333tyi>7>52z?a5?77:27i87<62:pf6<72;q6n>4=939>f1<6=<1v4j50;6x9g3=:h:01n65114897g328?870kn:077?xue>3:1>v3m5;336>;e?382>6s|9d83>0}:j109m=52cc8243=:n90:9952f38211=::h?1=8=4}r`:>5<5s4h36<>=;<`b>7?53ty2j7>53z?af?4f827hi7??6:?1e3<6=:1vom50;0x9gd=99801oj52808yve72909w0li:2:;?8db28:=7p}l3;296~;d:393463l1;332>{tk?0;6?u2c480<==:k=0:<;5rsb;94?4|5j21?564=b595506>?34ij6<>9;|q`b?6=:r7hi7=78:?``?77>2wxh=4?:5y>g4<68>16h;4=939>`d<6==16ho4>559~wa7=838p1i?528089a5=9v3k3;0:6>;c=3;>96s|d583>7}:l=095?52d78210=z{m?1<75<3s4i?6<>8;7?534o:6<;;;4333tyo47>52z?g:27om7?:5:p`<<72;q6h44=939>`g<6=<1vio50;0x9ag=:0801im51478yvbe2909w0jm:3;1?8bc28?>7p}kc;296~;ck382>63kd;360>{tll0;69u2c68242=:m=095?52e98211=:m00:995rsed94?4|5ml1>4<4=d395037?534o96<;:;|qf5?6=:r7n=7<62:?f7?72=2wxi?4?:3y>a7<51;16i94>549~w`5=838p1h=528089`2=9<>0q~k::1878ef28:<70h=:076?8`228??70km:3;1?xub>3:1>v3j6;0:6>;b03;>96s|e683>7}:m>095?52e88210=z{l21<75<5s4o26?7=;4323tynm7>52z?fe?4>:27nn7?:4:paf<72;q6oi4>069>aa<51;1vhk50;0x9c6=:0801hj51448yvca2909w0ki:3;1?8`728?>7p}i1;296~;a:382>63jd;360>{tn:0;6?u2f481=7=:mm0:985rsg694?4|5o>1>4<4=g795036>?34ko6<;:;|qe3?6=:r7h=7=78:?a4?72=2wxj54?:3y>g1<40116n94>559~wc?=838p1n9539:89g1=9v3ld;1;<>;el3;>96s|fb83>f}:no095?521139505<5ll1=8=4=g69505<5m;1=8=4=e09505<5m21=8=4=e;9505<5ml1=8=4=d29505<5l<1=8=4=d595057?534lm6<;;;|qea?6=:r7mi7<62:?eb?72=2wx==>50;5x9g`=99=01n<511589f3=99=01n6511589fd=99=01nk5115894662;397p}>1183>7}Y98:01<:7:021?xu6980;64u23bf9=5=:;m>15=523d39=5=:;mn15=523e;9=5=:9891>4<4=2d3>432348957?:4:?1<<<6=<1v63>128210=z{8>=6=4<{<37=?77:27:854=a19>544=9<90q~?;7;296~;6<009m=52101950254z?16<<51;16>>?51418975528??70<=c;360>{t:;k1<79t=30b>7g73488;7?:4:?175<6=:16>?j51468922=9<>019:>:077?823:3;>?6s|23`94?4|5;8j6<>=;<01`?4>:2wx>?m50;0x974d2;3970<=d;361>{t:;o1<79t=30f>7g73488:7?:3:?176<6==16>?m5144893`=9<901:<51468912128??7p}=2g83>7}::;o1==<4=317>7?53ty9?=4?:3y>666=:0801?==:076?xu5;80;6?u222396<4<5;986<;:;|q177<72;q6>><52808975328??7p}=3283>7}:::91>4<4=317>4323ty9?84?:3y>660=:0801?=8:076?xu5;10;6?u24`g9554<5;9<6?7=;|q10=<72;q6>9652`28974>28?=7p}=4883>7}::=21==<4=36e>7?53ty98l4?:3y>61g=:0801?:i:077?xu5n6<;;;|q10f<72;q6>9m52808972c28?>7p}=4e83>7}::=n1>4<4=36f>4323ty98h4?:3y>61c=:0801?:i:076?xu5;j0;68u224296d6<5;?n6<>9;<05=?72=279::4>529>61e=9<90q~<>j50;6x97352;k;70<:c;333>;5?k0:995225`950253z?116<5i916>8j51158972c28??7p}=4183>1}::<>1>l>4=37e>460348=n7?:4:?10d<6=<1v?:>:187842=38j<63=618242=::?n1=8:4=36b>4303ty98?4?:5y>600=:h:01?8>:024?841n3;>863=4`8213=z{;>86=4;{<063?4f8279:?4>069>627=9<>01?:n:07;?xu5<=0;69u224:96d6<5;<86<>8;<047?72<2798l4>5`9~w722290?w0<:9;0b4>;5>=0:<:522679502<5;>j6<;6;|q103<72=q6>8o52`28970228:<70<87;360>;55<3s48>n716>:751468972e28?>7p}=6983>6}::7?5348=n7?:5:p63g=839p1?8?:025?841j382>63=6e8210=z{;279:i4=939>63`=9;0:<;5227d96<4<5;=:6<;:;|q135<72:q6>;=5114897162;3970<83;361>{t:>81<7=t=347>461348=1=8;4}r042?6=;r79:;4>079>621=:0801?96:076?xu5?10;6>u224a9550<5;=26?7=;<04f?72=2wx>:o50;0x973c28:=70<8b;0:6>{t:>i1<7465348=;7<62:p62b=838p1?;=:021?842k39346s|26g94?4|5;?86<>=;<06`?5?02wx>:h50;0x973628:970<:e;1;<>{t:1:1<7465348>j7=78:p6=7=838p1?;::021?841839346s|29094?4|5;?=6<>=;<055?5?02wx>5=50;0x973028:970<92;1;<>{t:1>1<7465348=?7=78:p6=3=838p1?;6:021?841<39346s|29494?4|5;?j6<>=;<051?5?02wx>5950;0x973e28:970<96;1;<>{t:121<7jt=373><6<5;?:64>4=377><6<5;?>64>4=375><6<5;?<64>4=37;><6<5;?264>4=37b><6<5;?i64>4=371><6<5;?864>4=3:`>7?53ty9444?:3y>6=?=:0801?6l:077?xu50h0;6?u229c96<4<5;2i6<;:;|q15l5280897>d28?>7p}=a083>6}Y:h;01?o>:3c3?84f13;>?6s|2`094?4|5;k:6<>=;<0b3?4>:2wx>l=50;0x97g42;3970{t:h>1<77?5348j;7?:5:p6d3=838p1?o::3;1?84f?3;>:6s|2`494?4|5;k=6?7=;<0b3?72?2wx>l650;0x97g620:01?om:3;1?xu5i00;6?u22`;96<4<5;ki6<;;;|q1ed<72;q6>lo5280897ge28?>7p}<8`83>0}:;1h1>l>4=2ce>4333493i7?:3:?1<<<6==16?o<51418yv5?k3:1:v3<8c8247=:;0;1>4<4=2ce>4323493j7?:4:?1v3<8c8:4>;40o095?5rs2:f>5<5s493i7<62:?07?:182g~;38?0:9<52bc8:6>;e033970l::8089dc=1;16mo462:?b4??53493n77=;<1f5??5349oh77=;<1g=??5349o877=;<1b`??534h:64<4=373><4<5;?:64<4=377><4<5;?>64<4=375><4<5;?<64<4=37;><4<5;?264<4=37b><4<5;?i64<4=371><4<5;?864<4=2ag><4<5;k:64<4}r1b4?6=:rT8m=52383950359z?0ea<5i916ni4>559>fd<6==16n:4>559>f5<6==16mi4>559>e6<6==16n>4>529>e=<6=:1v>oj:18185fl3;;>63l>:3;1?85e;3;>86s|3c094?4|5:h96?7=;<1a7?72=2wx?nj50;6x96ec2;k;70=i0;360>;5:00:985229`950252z?0ga<68;16?i=52808yv5dn3:1>v37a4=9<>0q~=k1;296~;4l8095?523e095037>52z?0`7<51;16?i=51478yv5d13:19v3434349n57?:5:?0gc<6==1v>j::18185c<3;;>63:278h54>559~w6b02909w0=k7;0:6>;4l10:985rs2ab>5<3s49o5716?h75146896b728?87p}7}:;m31==<4=2f`>7?53ty8ho4?:3y>7ad=:0801>jl:076?xu4kk0;69u23ef96d6<5:o=6<>8;<1ff?72<278h<4>529~w6bb2909w0=kd;336>;4m9095?5rs2fe>5<5s49oj7<62:?0a5<6=<1v>ml:187[51:278i<4=a19>7`1=99=01>mi:076?xu4m;0;6?u23d39554<5:o?6?7=;|q0a6<72;q6?h=5280896c328?>7p}6}:;l<1==84=2g:>7?5349nn7?:5:p7`g=838p1>k8:025?85bj382>6s|3da94?4|5:o>6>67;<1gg?72<2wx?hj50;0x96c12:2370=j0;360>{t;lo1<76>?349n87?:4:p7``=83h?:3;1?85b;3;>?63434349i=7?:5:p7c`=83>pR>hi;43434k>6<;:;4343ty??h4?:4y]06c<5=9n6?o?;<53>43434>?=7?:5:?700<6==1v9:?:181824m3;;>63;4681=7=z{=>:6=4={<675?4>:27?8:4>559~w1252909w0:;2;0:6>;3<=0:995rs560>5<5s4>??7<62:?701<6=<1v9:;:181823<382>63;448210=z{=>>6=4={<671?4>:27?8;4>549~w1212909w0:;6;0:6>;3<>0:985rs550>5<5sW>6=4<{<641?4f8279>n4>549>015=9<90q~:ne;296~X3il168lk52`28yxd3900;6?4?:1y'7f>=9?:0D9>:;I1e5>o6=:0;66a=9383>>{e<::1<7<50;2x 6e?28<;7E:?5:J0b4=n9<91<75`28094?=zj=;>6=4=:183!5d03;=<6F;049K7c75<::7>52;294~"4k10::=5G4178L6`63`;>?7>5;n0:6?6=3th?=:4?:283>5}#;j21?>5G4178L6`63`;>87>5;h361?6=3f82>7>5;|`7ea<72;0;6=u+3b:9536<@=:>7E=i1:k216<722e95?4?::a04b=8381<7>t$2a;>43b3A>;96F4<50;9~f1df29096=4?{%1`7>50z&0g=<6=l1C8=;4H2d2?l72;3:17b<62;29?xd6<=0;6?4?:1y'7f>=9:;I1e5>o6=:0;66a=9383>>{e9=h1<7<50;2x 6e?28?n7E:?5:J0b4=n9<91<75`28094?=zj=?36=4=:183!5d03;>i6F;049K7c75<<87>52;294~"4k10:9h5G4178L6`63`;>?7>5;n0:6?6=3th?i44?:383>5}#;j21=8k4H526?M5a92c:9>4?::m1=7<722wi4l4?:383>5}#;j21=8k4H526?M5a92c:9>4?::m1=7<722wi4?4?:383>5}#;j21=8k4H526?M5a92c:9>4?::m1=7<722wi8:650;094?6|,:i36<;j;I631>N4n81b=8=50;9l6<4=831vn9h?:181>5<7s-9h47?:e:J740=O;o;0e<;<:188k7?52900qo8;:181>5<7s-9h47?:e:J740=O;o;0e<;<:188k7?52900qo8<:181>5<7s-9h47?:e:J740=O;o;0e<;<:188k7?52900qo8=:181>5<7s-9h47?:e:J740=O;o;0e<;<:188k7?52900qo8>:181>5<7s-9h47?:e:J740=O;o;0e<;<:188k7?52900qo;i:181>5<7s-9h47?:e:J740=O;o;0e<;<:188k7?52900qo;j:181>5<7s-9h47?:e:J740=O;o;0e<;<:188k7?52900qo;k:181>5<7s-9h47?:e:J740=O;o;0e<;<:188k7?52900qo;l:181>5<7s-9h47?:e:J740=O;o;0e<;<:188k7?52900qo;m:181>5<7s-9h47?:e:J740=O;o;0e<;<:188k7?52900qo;n:181>5<7s-9h47?:e:J740=O;o;0e<;<:188k7?52900qo;6:181>5<7s-9h47?:e:J740=O;o;0e<;<:188k7?52900qo;7:181>5<7s-9h47?:e:J740=O;o;0e<;<:188k7?52900qo;8:181>5<7s-9h47?:e:J740=O;o;0e<;<:188k7?52900qo;9:181>5<7s-9h47?:e:J740=O;o;0e<;<:188k7?52900qo8l:181>5<7s-9h47?:e:J740=O;o;0e<;<:188k7?52900qo8m:181>5<7s-9h47?:e:J740=O;o;0e<;<:188k7?52900qo8n:181>5<7s-9h47?:e:J740=O;o;0e<;<:188k7?52900qo86:181>5<7s-9h47?:e:J740=O;o;0e<;<:188k7?52900qo87:181>5<7s-9h47?:e:J740=O;o;0e<;<:188k7?52900qo88:181>5<7s-9h47?:e:J740=O;o;0e<;<:188k7?52900qo89:181>5<7s-9h47?:e:J740=O;o;0e<;<:188k7?52900qo8::181>5<7s-9h47?:e:J740=O;o;0e<;<:188k7?52900qo8?:181>5<7s-9h47?:e:J740=O;o;0e<;<:188k7?52900qo:nb;290?6=8r.8o54>7c9K053<@:l:7d?:3;29?l7683:17b<62;29?j7193:17pl;5783>7<729q/?n652818L1623A9m=6g>5283>>i51;0;66sm4d594?4=83:p(>m7:3;0?M27=2B8j<5f14194?=h:081<75rb5`7>5<5290;w)=l8;0:7>N38<1C?k?4i070>5<5<5290;w)=l8;0:7>N38<1C?k?4i070>5<h>;h367?6=3f82>7>5;|`7=c<72;0;6=u+3b:96<5<@=:>7E=i1:k216<722e95?4?::a01?=8381<7>t$2a;>7?43A>;96F4<50;9~f15a29096=4?{%1`;2B?<85G3g38m4342900c?7=:188yg26n3:1?7>50z&0g=<51=1C8=;4H2d2?l72;3:17d?>0;29?j4>:3:17pl;3083>7<729q/?n652818L1623A9m=6g>5283>>i51;0;66sm4`394?4=83:p(>m7:3;0?M27=2B8j<5f14194?=h:081<75rb5``>5<4290;w)=l8;0:0>N38<1C?k?4i070>5<5<3j7>52;294~"4k1095>5G4178L6`63`;>?7>5;n0:6?6=3th?=>4?:383>5}#;j21>4=4H526?M5a92c:9>4?::m1=7<722wi8N4n81b=8=50;9l6<4=831vn9l>:181>5<7s-9h47<63:J740=O;o;0e<;<:188k7?52900qo:90;296?6=8r.8o54=929K053<@:l:7d?:3;29?j4>:3:17pl;7`83>6<729q/?n652868L1623A9m=6g>5283>>o6990;66a=9383>>{e5<5290;w)=l8;0:7>N38<1C?k?4i070>5<h>;h367?6=3f82>7>5;|`771<72;0;6=u+3b:96<5<@=:>7E=i1:k216<722e95?4?::a6c7=8381<7>t$2a;>7?43A>;96F4<50;9~f7ce29096=4?{%1`;2B?<85G3g38m4342900c?7=:188yg56l3:1>7>50z&0g=<51:1C8=;4H2d2?l72;3:17b<62;29?xd49>0;6?4?:1y'7f>=:090D9>:;I1e5>o6=:0;66a=9383>>{e;8;1<7<50;2x 6e?2;387E:?5:J0b4=n9<91<75`28094?=zj::i6=4=:183!5d0382?6F;049K7c75<52;294~"4k1095>5G4178L6`63`;>?7>5;n0:6?6=3th9jk4?:383>5}#;j21>4=4H526?M5a92c:9>4?::m1=7<722wi>kk50;094?6|,:i36?7<;I631>N4n81b=8=50;9l6<4=831vn?h7:181>5<7s-9h47<63:J740=O;o;0e<;<:188k7?52900qo:3:17pl=e483>7<729q/?n652818L1623A9m=6g>5283>>i51;0;66s|2283>7}:<8:1>?524079505::7?:3:p75<72;q6804?=9<90q~=j:181[5b34?=6<;<;|q0b?6=:rT8j63:7;367>{t<90;6?uQ419>1=<6=:1v9?50;0xZ17<5<31=8=4}r61>5<5sW>970;n:070?xu3;3:1>vP;3:?6f?72;2wx894?:3y]01=:=j0:9>5rs5794?4|V=?018j51418yv212909wS:9;<7f>4343ty?;7>52z\73>;2n3;>?6s|4983>7}Y<116:=4>529~w1?=838pR974=739505j6=4={_6b?80528?87p};b;296~X3j27=?7?:3:p0a<72;qU8i52658216=z{=o1<7<6397;367>{t=80;6?uQ509>2=<6=:1v8<50;0xZ04<5?31=8=4}r70>5<5sW?8708n:070?xu2<3:1>vP:4:?5f?72;2wx984?:3y]10=:>j0:9>5rs4494?5|5=:n64524g39=>;2>382>6s|5683>6}:<9o1m63;f08b?8302;397p}:8;297~;38l0i70:i1;`890>=:080q~;6:180827m3i019h>:b9>1<<51;1v8o50;1x916b2m168k?5d:?6e?4>:2wx9o4?:2y>05c=m27?j<4j;<7a>7?53ty>o7>53z?74`m=7h4=4a96<4m=7??;<7g>7?53ty>i7>53z?74`<6927?j<4>1:?6a?4>:2wx9k4?:2y>05c=9;168k?5139>1c<51;1v;>50;0x91`628901;>52808yv062908w0:?e;37?82a93;?708>:3;1?xu1:3:1?v3;0d822>;3n80::6392;0:6>{t>:0;6?u241g952=:>:095?5rs7694?4|5=:n6<74=7696<46=4={<6e5?7f34<>6?7=;|q52?6=:r7?j<4>b:?52?4>:2wx::4?:3y>0c7=9j16::4=939~w3>=838p19h>:0f893>=:080q~86:18182a93;n7086:3;1?xu1i3:1>v3;f082b>;1i382>6s|6c83>6}:<9o1>=524g3965=:>k095?5rs7a94?5|5=:n6??4=5d2>77<5?i1>4<4}r4g>5<0s4>:<78k;<63`?0c34>8<7?:3:?75c<699168om51028911f28;;70:k2;324>{t?<0;68u241g930=:21<72><5=:m6:64}r5:>5<5s4>m=796;<63b?1>3ty52z?7b4<0j27?=7<5181>4<4}r:7>5<5sW2?706::3;1?xu?=3:1>v3;0681=d=:0<0:9>5rs9494?4|V1<015o51418yv>f2908w0:>0;:5?827l32=706n:3;1?xu6990;6?uQ1028942328?87p}>4583>6}:<8:1=<>4=52g>47734;?87<62:p51g=838pR<:n;<37f?72;2wx=9l50;4x916b20;019h>:838917620;019>i:06b?827l33:70?;b;0:6>{t943d34>;j7?:c:p534=838p19?>:041?82683;=>6s|16494?4|5=;:6<99;<624?70>2wx=:m50;0x916b28=h70:>1;34g>{t91=1<74?634>:<7?77:p5<5=838p19?>:0;0?82683;j;6s|1`:94?5|5=:n6a99~w4g>2909w0:>1;3b=>;38o0:m45rs0ca>5<5s4>:=7?nb:?755<6ik1v1;022>;39909=;5rs3:e>5<5s4>;:7?:1:?7eg<6=:1v?7?:18082fj382>63;0d87ed=:<8:18lo4}r0:047=:020q~52z\1ef=::l?1>4<4}r0b`?6=:rT9mi522d`96<452z\1ec=::o;1>4<4}r0a4?6=:rT9n=522g096<452z\1f4=::o21>4<4}r0a6?6=:rT9n?522gg96<452z\1f2=::ol1>4<4}r0a52z\1f<=:;9h1>4<4}r0ae?6=:rT9nl5230396<452z\1fg=:;8=1>4<4}r0ag?6=:rT9nn5230f96<452z?74`<5jl168k?52cg8yv4en3:1?v3;0d81fc=:oh4=52e>7db3ty9o94?:3y>0c7=:j>019>i:3a7?xu5m<0;6?u24g396de<5;o>6<;<;|q1ag<72;q68k?52`f897ce28?87p}=f083>7}:lh4=3d2>4343ty9j?4?:3y>0c7=:k:01?h=:070?xu5n10;6?u24g396g7<5;l36<;<;|q1b`<72;q68k?52c0897`b28?87p}=fg83>7}:o94=3de>4343ty8<84?:3y>0c7=:k201>>::070?xu48k0;6?u24g396g?<5::i6<;<;|q054<72;q68k?52cc8967628?87p}<1683>7}:ol4=234>4343ty8=i4?:3y>0c7=:ki01>?k:070?xu4::0;6?u241g9775<5=l:6><<;|q063<72;q68=k53348916a2:887p}<6383>7}:6053ty8m=4?:3y>05c=;h:019>k:2c3?xu4no0;6?u241d97c`<5=:o6>hi;|q757<72;qU8<<4=530>7?53ty?=>4?:3y>041=:08019?<:070?xu39=0;6?u240796<4<5=;<6<;;;|q750<72;q68<852808917028?>7p};1983>7}Y<82019?n:3;1?xu39h0;6?u240;96<4<5=;j6<;<;|q75f<72;qU84343ty?=i4?:5y>0c7=<8h019?>:53a?827n3>:n63;1e81=7=z{=;n6=4={_62a>;39o095?5rs503>5<5s4>:<7:=1:?75c<6=:1v9;3;80:9>5rs510>5<5sW>8?63;3581=7=z{=9?6=4={<625?24;27??94>529~w15e2909wS:8>63;3b81=7=z{=9n6=4={_60a>;3;o095?5rs51e>5<5s4>;h7:2909w0:i1;67<>;3<00:9>5rs576>5<5sW>>963;5781=7=z{=?=6=4<{<6e5?22<27?000=9<90q~::7;296~X3=>1688651418yv2203:1>v3;118711=:<<21>4<4}r66b?6=:rT?9k5247296<4=<7>52z?755<3=o168;>51418yv21;3:1>vP;629>033=:080q~:95;296~;3980?:>524779505=o7>52z\72f=:4<4}r65a?6=:r7?==4;6b9>03c=9<90q~:83;296~X3?:168::51418yv20<3:1>v3;0e8736=:<>>1>4<4}r643?6=:rT?;:5246:9505<47>53z?7b4<3??1687}Y<>30199n:3;1?xu3?k0;6?u24029020<5==j6<;<;|q7<`<72;qU85k4=5:e>7?53ty?4k4?:3y>0c7=<1o0196i:070?xu31l0;6?uQ48g891?a2;397p};9g83>7}:4343ty?m=4?:3y]0d6<5=k:6?7=;|q7e4<72;q68k?54bc891g628?87p};a383>7}Y4063ty?mn4?:3y>0db=:08019om:033?xu3il0;6?u241f90dc<5=ko6<;<;|q7ec<72;qU8lh4=5`2>7?53ty?n<4?:3y>0c7=:070?xu3j;0;6?uQ4c0891d32;397p};b583>7}:4343ty?n44?:3y]0g?<5=hj6<;<;|q7fd<72:q68k?54c:891762=h370:ma;0:6>{t6s|4cf94?4|5=;;69l7;<6ag?72;2wx8i?50;0xZ1b634>o>7<62:p0a5=838p19??:5f3?82c:3;>?6s|4d494?4|V=o=70:j7;0:6>{t1c234>n;7?:3:p0`>=838pR9k7;<6f=?72;2wx8h750;0x91772=o>70:j9;0:6>{t?6s|4g294?2|5=:n69kj;<6e5?2bm27?=<4;ed9>0c6=:080qp`>18f94?4|@:l:7p`>18g94?4|@:l:7p`>18d94?4|@:l:7p`>1`294?7|@:l:7p`>1`394?7|@:l:7p`>1`094?7|@:l:7p`>1`194?7|@:l:7p`>1`694?7|@:l:7p`>1`794?7|@:l:7p`>1`494?7|@:l:7p`>1`594?7|@:l:7p`>1`:94?7|@:l:7p`>1`;94?7|@:l:7p`>1`c94?7|@:l:7p`>1``94?7|@:l:7p`>1`a94?7|@:l:7p`>1`f94?7|@:l:7p`>1`g94?7|@:l:7p`>1`d94?7|@:l:7p`>1c294?7|@:l:7p`>1c394?7|@:l:7p`>1c094?7|@:l:7p`>1c194?7|@:l:7p`>1c694?7|@:l:7p`>1c794?7|@:l:7p`>1c494?7|@:l:7p`>1c594?5|@:l:7p`>1c:94?5|@:l:7p`>1c;94?5|@:l:7p`>1cc94?5|@:l:7p`>1c`94?5|@:l:7p`>1ca94?5|@:l:7p`>1cf94?5|@:l:7p`>1cg94?5|@:l:7p`>1cd94?5|@:l:7p`>1b294?5|@:l:7p`>1b394?4|@:l:7p`>1b094?5|@:l:7p`>1b194?5|@:l:7p`>1b694?4|@:l:7p`>1b794?4|@:l:7p`>1b494?4|@:l:7p`>1b594?4|@:l:7p`>1b:94?4|@:l:7p`>1b;94?4|@:l:7p`>1bc94?4|@:l:7p`>1b`94?4|@:l:7p`>1ba94?5|@:l:7p`>1bf94?5|@:l:7p`>1bg94?5|@:l:7p`>1bd94?0|@:l:7p`>1e294?5|@:l:7p`>1e394?4|@:l:7p`>1e094?4|@:l:7p`>1e194?7|@:l:7p`>1e694?4|@:l:7p`>1e794?7|@:l:7p`>1e494?7|@:l:7p`>1e594?7|@:l:7p`>1e:94?5|@:l:7p`>1e;94?7|@:l:7p`>1ec94?5|@:l:7p`>1e`94?7|@:l:7p`>1ea94?0|@:l:7p`>1ef94?5|@:l:7p`>1eg94?5|@:l:7p`>1ed94?5|@:l:7p`>1d294?5|@:l:7p`>1d394?5|@:l:7p`>1d094?5|@:l:7p`>1d194?2|@:l:7p`>1d694?5|@:l:7p`>1d794?5|@:l:7p`>1d494?5|@:l:7p`>1d594?5|@:l:7p`>1d:94?5|@:l:7p`>1d;94?7|@:l:7p`>1dc94?5|@:l:7p`>1d`94?5|@:l:7p`>1da94?5|@:l:7p`>1df94?7|@:l:7p`>1dg94?7|@:l:7p`>1dd94?7|@:l:7p`>1g294?7|@:l:7p`>1g394?7|@:l:7p`>1g094?7|@:l:7p`>1g194?7|@:l:7p`>1g694?7|@:l:7p`>1g794?7|@:l:7p`>1g494?7|@:l:7p`>1g594?7|@:l:7p`>1g:94?7|@:l:7p`>1g;94?5|@:l:7p`>1gc94?2|@:l:7p`>1g`94?5|@:l:7p`>1ga94?4|@:l:7p`>1gf94?4|@:l:7p`>1gg94?5|@:l:7p`>1gd94?4|@:l:7p`>21294?4|@:l:7p`>21094?4|@:l:7p`>21194?4|@:l:7p`>21694?4|@:l:7p`>21794?4|@:l:7p`>21494?4|@:l:7p`>21594?4|@:l:7p`>21:94?5|@:l:7p`>21;94?5|@:l:7p`>21c94?2|@:l:7p`>21`94?5|@:l:7p`>21a94?5|@:l:7p`>21f94?7|@:l:7p`>21g94?7|@:l:7p`>21d94?7|@:l:7p`>20294?7|@:l:7p`>20394?7|@:l:7p`>20094?7|@:l:7p`>20194?7|@:l:7p`>20694?2|@:l:7p`>20794?7|@:l:7p`>20494?4|@:l:7p`>20594?7|@:l:7p`>20:94?7|@:l:7p`>20;94?7|@:l:7p`>20c94?4|@:l:7p`>20`94?7|@:l:7p`>20a94?4|@:l:7p`>20f94?7|@:l:7p`>20g94?4|@:l:7p`>20d94?7|@:l:7p`>23294?4|@:l:7p`>23394?7|@:l:7p`>23094?5|@:l:7p`>23194?7|@:l:7p`>23694?4|@:l:7p`>23794?7|@:l:7p`>23494?4|@:l:7p`>23594?7|@:l:7p`>23:94?4|@:l:7p`>23;94?7|@:l:7p`>23c94?4|@:l:7p`>23`94?7|@:l:7p`>23a94?4|@:l:7p`>23f94?7|@:l:7p`>23g94?5|@:l:7p`>23d94?7|@:l:7p`>22294?4|@:l:7p`>22394?7|@:l:7p`>22094?4|@:l:7p`>22194?7|@:l:7p`>22694?4|@:l:7p`>22794?7|@:l:7p`>22494?4|@:l:7p`>22594?7|@:l:7p`>22:94?4|@:l:7p`>22;94?7|@:l:7p`>22c94?7|@:l:7p`>22`94?4|@:l:7p`>22a94?7|@:l:7p`>22f94?4|@:l:7p`>22g94?7|@:l:7p`>22d94?4|@:l:7p`>25294?7|@:l:7p`>25394?5|@:l:7p`>25094?7|@:l:7p`>25194?4|@:l:7p`>25694?7|@:l:7p`>25794?4|@:l:7p`>25494?7|@:l:7p`>25594?4|@:l:7p`>25:94?7|@:l:7p`>25;94?4|@:l:7p`>25c94?7|@:l:7p`>25`94?2|@:l:7p`>5083>4}O;o;0qc?:2;295~N4n81vb<;<:182M5a92we=8:50;3xL6`63td:984?:0yK7c7:7>51zJ0b4=zf8?<6=4>{I1e5>{i9<21<7?tH2d2?xh6=00;6h>;|l227<728qC?k?4}o350?6=9rB8j<5rn046>5<6sA9m=6sa17494?7|@:l:7p`>6983>4}O;o;0qc?99;295~N4n81vb<8n:182M5a92we=;l50;3xL6`63td::n4?:0yK7c751zJ0b4=zf8{I1e5>{i9?l1<7?tH2d2?xh6?90;6h>;|l231<728qC?k?4}o341?6=9rB8j<5rn055>5<6sA9m=6sa16594?7|@:l:7p`>7983>4}O;o;0qc?89;295~N4n81vb<9n:182M5a92we=:l50;3xL6`63td:;n4?:0yK7c751zJ0b4=zf8=n6=4>{I1e5>{i9>l1<7?tH2d2?xh6090;6h>;|l73=<728qC?k?4}o64=?6=9rB8j<5rn55b>5<6sA9m=6sa49694?7|@:l:7p`;8483>4}O;o;0qc:76;295~N4n81vb968:182M5a92we85650;3xL6`63td?444?:0yK7c73m7>51zJ0b4=zf=2i6=4>{I1e5>{i<1i1<7?tH2d2?xh30m0;6a290:wE=i1:m0<6=83;pD>h>;|l7=4<728qC?k?4}o6:6?6=9rB8j<5rn5;0>5<6sA9m=6sa48694?7|@:l:7p`;9483>4}O;o;0qc:66;295~N4n81vb978:182M5a92we84650;3xL6`63td?544?:0yK7c72m7>51zJ0b4=zf=3i6=4>{I1e5>{i<0i1<7?tH2d2?xh31m0;6m3:1=vFh>;|l7e4<728qC?k?4}o6b6?6=9rB8j<5rn5c0>5<6sA9m=6sa4`694?7|@:l:7p`;a483>4}O;o;0qc:n6;295~N4n81vb9o8:182M5a92we8l650;3xL6`63td?m44?:0yK7c7jm7>51zJ0b4=zf=ki6=4>{I1e5>{ih>;|l7f4<728qC?k?4}o6a6?6=9rB8j<5rn5`0>5<6sA9m=6sa4c694?7|@:l:7p`;b483>4}O;o;0qc:m6;295~N4n81vb9l8:182M5a92we8o650;3xL6`63td?n44?:0yK7c7im7>51zJ0b4=zf=hi6=4>{I1e5>{ih>;|l7g7<728qC?k?4}o6`7?6=9rB8j<5rn5a7>5<6sA9m=6sa4b794?7|@:l:7p`;c783>4}O;o;0qc:l7;295~N4n81vb9m7:182M5a92we8n750;3xL6`63td?ol4?:0yK7c7hn7>51zJ0b4=zf=ih6=4>{I1e5>{ih>;|l7`7<728qC?k?4}o6g7?6=9rB8j<5rn5f7>5<6sA9m=6sa4e794?7|@:l:7p`;d783>4}O;o;0qc:k7;295~N4n81vb9j7:182M5a92we8i750;3xL6`63td?hl4?:0yK7c7on7>51zJ0b4=zf=nh6=4>{I1e5>{ih>;|l7a7<728qC?k?4}o6f7?6=9rB8j<5rn5g7>5<6sA9m=6sa4d494?7|@:l:7p`;e683>4}O;o;0qc:j8;295~N4n81vb9k6:182M5a92we8ho50;3xL6`63td?io4?:0yK7c7no7>51zJ0b4=zf=oo6=4>{I1e5>{ih>;|l7b6<728qC?k?4}o6e0?6=9rB8j<5rn5d6>5<6sA9m=6sa4g494?7|@:l:7p`;f683>4}O;o;0qc:i8;295~N4n81vb9h6:182M5a92we8ko50;3xL6`63td?jo4?:0yK7c7mo7>51zJ0b4=zf=lo6=4>{I1e5>{ih>;|l646<728qC?k?4}o732?6=9rB8j<5rn424>5<6sA9m=6sa51:94?7|@:l:7p`:0883>4}O;o;0qc;?a;295~N4n81vb8>m:182M5a92we9=m50;3xL6`63td>51zJ0b4=zf<;96=4>{I1e5>{i=891<7?tH2d2?xh29=0;63:1=vF=83;pD>h>;|l65<<728qC?k?4}o72f?6=9rB8j<5rn43`>5<6sA9m=6sa50f94?7|@:l:7p`:1d83>4}O;o;0qc;>f;295~N4n81vb8<=:182M5a92we9?=50;3xL6`63td>>;4?:0yK7c751zJ0b4=zf<836=4>{I1e5>{i?;o1<7?tH2d2?xh0;80;6=83;pD>h>;|l47d<728qC?k?4}o50f?6=9rB8j<5rn61`>5<6sA9m=6sa72f94?7|@:l:7p`83d83>4}O;o;0qc951zJ0b4=zf>>?6=4>{I1e5>{i?=?1<7?tH2d2?xh0h>;|l40d<728qC?k?4}o57a?6=9rB8j<5rn66e>5<6sA9m=6sa74294?7|@:l:7p`85083>4}O;o;0qc9:2;295~N4n81vb:;<:182M5a92we;8:50;3xL6`63td<984?:0yK7c7:7>51zJ0b4=zf>?<6=4>{I1e5>{i?<21<7?tH2d2?xh0=00;6h>;|l41a<728qC?k?4}o56a?6=9rB8j<5rn67e>5<6sA9m=6sa77294?7|@:l:7p`86083>4}O;o;0qc992;295~N4n81vb:8<:182M5a92we;;:50;3xL6`63td<:;4?:0yK7c751zJ0b4=zf><36=4>{I1e5>{i??31<7?tH2d2?xh0>h0;6h>;|l42c<728qC?k?4}o544?6=9rB8j<5rn652>5<6sA9m=6sa76094?7|@:l:7p`87283>4}O;o;0qc984;295~N4n81vb:9::182M5a92we;:850;3xL6`63td<;:4?:0yK7c751zJ0b4=zf>=26=4>{I1e5>{i?>k1<7?tH2d2?xh0?k0;6h>;|l4<5<728qC?k?4}o5;5?6=9rB8j<5rn6:1>5<6sA9m=6sa79194?7|@:l:7p`88583>4}O;o;0qc975;295~N4n81vb:69:182M5a92we;5950;3xL6`63td<454?:0yK7c751zJ0b4=zf>2j6=4>{I1e5>{i?1h1<7?tH2d2?xh00j0;6b290:wE=i1:m3=`=83;pD>h>;|l4=4<728qC?k?4}o5:6?6=9rB8j<5rn6;0>5<6sA9m=6sa78694?7|@:l:7p`89483>4}O;o;0qc966;295~N4n81vb:78:182M5a92we;4650;3xL6`63td<544?:0yK7c751zJ0b4=zf>3i6=4>{I1e5>{i?0i1<7?tH2d2?xh01m0;6m3:1=vFh>;|l4e4<728qC?k?4}o5b6?6=9rB8j<5rn6c0>5<6sA9m=6sa7`694?7|@:l:7p`8a483>4}O;o;0qc9n6;295~N4n81vb:o8:182M5a92we;l650;3xL6`63td51zJ0b4=zf>ko6=4>{I1e5>{i?ho1<7?tH2d2?xh0j90;6h>;|l4f1<728qC?k?4}o:07?6=9rB8j<5rn917>5<6sA9m=6sa82794?7|@:l:7p`73683>4}O;o;0qc6;4;295~N4n81vb5:::182M5a92we49850;3xL6`63td38:4?:0yK7c751zJ0b4=zf1>26=4>{I1e5>{i0=k1<7?tH2d2?xh?3k3:1=vFh>;|l;17<728qC?k?4}o:67?6=9rB8j<5rn977>5<6sA9m=6sa84494?7|@:l:7p`75683>4}O;o;0qcl;7;295~N4n81vbo:7:182M5a92wen9750;3xL6`63tdi8l4?:0yK7c751zJ0b4=zfk>h6=4>{I1e5>{ij=n1<7?tH2d2?xheh>;|la10<728qC?k?4}o`62?6=9rB8j<5rnc74>5<6sA9m=6sab4:94?7|@:l:7p`m5883>4}O;o;0qcl:a;295~N4n81vbo;m:182M5a92wen8j50;0xL6`63tdi9h4?:0yK7c7j7>51zJ0b4=zfk<;6=4>{I1e5>{ij?;1<7?tH2d2?xhe>;0;6h>;|la23<728qC?k?4}o`53?6=9rB8j<5rnc4;>5<5sA9m=6sab7;94?7|@:l:7p`m6`83>4}O;o;0qcl9b;296~N4n81vbo8l:182M5a92wen;j50;3xL6`63tdi:h4?:3yK7c752zJ0b4=zfk=;6=4>{I1e5>{ij>;1<7?tH2d2?xhe?;0;6h>;|la33<728qC?k?4}o`43?6=9rB8j<5rnc5;>5<6sA9m=6sab6;94?7|@:l:7p`m7`83>4}O;o;0qcl8b;296~N4n81vbo9l:181M5a92wen:j50;0xL6`63tdi;h4?:0yK7c751zJ0b4=zfk2:6=4>{I1e5>{ij181<7?tH2d2?xhe0:0;62290:wE=i1:mf=0=83;pD>h>;|la<2<728qC?k?4}o`;5<6sA9m=6sab9c94?7|@:l:7p`m8c83>4}O;o;0qcl7c;295~N4n81vbo6k:182M5a92wen5k50;3xL6`63tdi4k4?:0yK7c751zJ0b4=zfk396=4>{I1e5>{ij0>1<7?tH2d2?xhe1<0;6>3:1=vF=83;pD>h>;|la=<<728qC?k?4}o`:e?6=9rB8j<5rnc;a>5<6sA9m=6sab8g94?7|@:l:7p`m9g83>4}O;o;0qcln0;295~N4n81vboo>:182M5a92wenl<50;3xL6`63tdim>4?:0yK7c751zJ0b4=zfkk>6=4>{I1e5>{ijh<1<7?tH2d2?xhei>0;6290:wE=i1:mfdg=83;pD>h>;|laeg<728qC?k?4}o`bg?6=9rB8j<5rnccg>5<6sA9m=6sab`g94?7|@:l:7p`mag83>4}O;o;0qclm0;295~N4n81vbol>:182M5a92weno<50;3xL6`63tdin>4?:0yK7c751zJ0b4=zfkh>6=4>{I1e5>{ijk<1<7?tH2d2?xhej>0;6290:wE=i1:mfgg=83;pD>h>;|lafg<728qC?k?4}o`ag?6=9rB8j<5rnc`g>5<6sA9m=6sabcg94?7|@:l:7p`mbg83>4}O;o;0qcll0;295~N4n81vbom>:182M5a92wenn<50;3xL6`63tdio>4?:0yK7c751zJ0b4=zfki>6=4>{I1e5>{ijj<1<7?tH2d2?xhek>0;6290:wE=i1:mffe=83;pD>h>;|laga<728qC?k?4}o``a?6=9rB8j<5rncae>5<6sA9m=6sabe394?7|@:l:7p`md383>4}O;o;0qclk3;295~N4n81vboj;:182M5a92weni;50;3xL6`63tdih;4?:0yK7c751zJ0b4=zfkn36=4>{I1e5>{ijm31<7?tH2d2?xhelh0;6h>;|laa7<728qC?k?4}o`f7?6=9rB8j<5rncg6>5<6sA9m=6sabd494?7|@:l:7p`me683>4}O;o;0qclj8;295~N4n81vbok6:182M5a92wenho50;3xL6`63tdiio4?:0yK7c751zJ0b4=zfkoo6=4>{I1e5>{ijlo1<7?tH2d2?xhen80;6h>;|lab0<728qC?k?4}o`e2?6=9rB8j<5rncd4>5<6sA9m=6sabg:94?7|@:l:7p`mf883>4}O;o;0qclia;295~N4n81vbohl:182M5a92wenkj50;3xL6`63tdijh4?:0yK7c751zJ0b4=zfj:;6=4>{I1e5>{ik9;1<7?tH2d2?xhd8;0;6h>;|l`43<728qC?k?4}oa33?6=9rB8j<5rnb2;>5<6sA9m=6sac1;94?7|@:l:7p`ie`83>4}O;o;0qchjb;295~N4n81vbkkl:182M5a92wejhj50;3xL6`63tdmih4?:0yK7c751zJ0b4=zfol96=4>{I1e5>{ino91<7?tH2d2?xhan=0;6=83;pD>h>;|leb<<728qC?k?4}odee?6=9rB8j<5rngda>5<6sA9m=6safga94?7|@:l:7p`ife83>4}O;o;0qchie;295~N4n81vbkhi:182M5a92we==>?:181M5a92we==>>:182M5a92we==>=:181M5a92we==><:182M5a92we==>;:181M5a92we==>::182M5a92we==>9:182M5a92we==>8:181M5a92we==>7:182M5a92we==>6:181M5a92we==>n:182M5a92we==>m:181M5a92we==>l:182M5a92we==>k:180M5a92we==>j:180M5a92we==>i:180M5a92we==??:180M5a92we==?>:180M5a92we==?=:180M5a92we==?<:181M5a92we==?;:182M5a92we==?::182M5a92we==?9:182M5a92we==?8:182M5a92we==?7:182M5a92we==?6:181M5a92we==?n:182M5a92we==?m:182M5a92we==?l:182M5a92we==?k:182M5a92we==?j:182M5a92we==?i:181M5a92we==:182M5a92we==<=:182M5a92we==<<:182M5a92we==<;:182M5a92we==<::181M5a92we==<9:182M5a92we==<8:182M5a92we==<7:182M5a92we==<6:182M5a92we==:181M5a92we====:181M5a92we===<:181M5a92we===;:181M5a92we===::181M5a92we===9:181M5a92we===8:182M5a92we===7:182M5a92we===6:182M5a92we===n:184M5a92we===l:182M5a92we===k:182M5a92we===j:182M5a92we===i:182M5a92we==:?:182M5a92we==:>:182M5a92we==:=:182M5a92we==:<:182M5a92we==:;:182M5a92we==:::182M5a92we==:9:182M5a92we==:8:182M5a92we==:7:182M5a92we==:6:182M5a92we==:n:182M5a92we==:m:182M5a92we==:l:182M5a92we==:k:182M5a92we==:j:182M5a92we==:i:182M5a92we==;?:182M5a92we==;>:182M5a92we==;=:182M5a92we==;<:181M5a92we==;;:181M5a92we==;::181M5a92we==;9:181M5a92we==;8:181M5a92we==;7:181M5a92we==;6:181M5a92we==;n:181M5a92we==;m:181M5a92we==;l:181M5a92we==;k:181M5a92we==;j:181M5a92we==;i:181M5a92we==8?:181M5a92we==8>:181M5a92we==8=:181M5a92we==8<:181M5a92we==8;:181M5a92we==8::181M5a92we==89:181M5a92we==88:181M5a92we==87:181M5a92we==86:182M5a92we==8n:181M5a92we==8m:181M5a92we==8l:181M5a92we==8k:181M5a92we==8j:181M5a92we==8i:181M5a92we==9?:181M5a92we==9>:181M5a92we==9=:181M5a92we==9<:181M5a92we==9;:181M5a92we==9::182M5a92we==99:182M5a92we==98:182M5a92we==97:182M5a92we==9n:182M5a92we==9m:182M5a92we==9l:182M5a92we==9k:182M5a92we==9j:182M5a92we==9i:182M5a92we==6?:182M5a92we==6>:182M5a92we==6=:182M5a92we==6<:182M5a92we==6;:182M5a92we==6::182M5a92we==69:182M5a92we==67:182M5a92we==66:182M5a92we==6n:182M5a92we==6m:182M5a92we==6l:182M5a92we==6k:182M5a92we==6j:182M5a92we==6i:182M5a92we==7?:182M5a92we==7>:182M5a92we==7=:182M5a92we==7<:181M5a92we==7;:182M5a92we==7::182M5a92we==79:182M5a92we==78:181M5a92we==77:182M5a92we==76:182M5a92we==7n:181M5a92we==7m:182M5a92we==7l:182M5a92we==7j:182M5a92we==7i:182M5a92we==o?:181M5a92we==o>:181M5a92we==o=:181M5a92we==o<:181M5a92we==o;:181M5a92we==o::182M5a92we==o7:182M5a92we==o6:182M5a92we==on:182M5a92we==om:182M5a92we==ol:182M5a92we==ok:182M5a92we==oj:182M5a92we==l?:182M5a92wvqpNOCz36f2<6j=>o9nmr@A@x4xFGXrwKL \ No newline at end of file diff --git a/cpld/XC95144XL/WarpSE.ngd b/cpld/XC95144XL/WarpSE.ngd index 7a167d0..752a752 100644 --- a/cpld/XC95144XL/WarpSE.ngd +++ b/cpld/XC95144XL/WarpSE.ngd @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6e -$4455=23-;B8<;4$0K770=#9@?>96*>N506?!7I<=?0(<@;8008 Wcqazcdb7.>.37:$!><.V;B8 X9G>9S964&^3M01Y302,T=C:7_53844=69;1:>7AZTQWW>WG;980;2<>4178JJUSS2H69?76111915?OIX\^1M1<>:0<20>442@D[YY4N_GQA875=97l0?7GAPTV9WR:4284>7L2>0?78E9766<1J0<<15:C?56823H6:83;4A=36:0=F48<596O316<6?D:607?0M1?6>59B84823H69<394A=02>4823H69=3;4A=01:2=F4;9143;4A=00:1=F4;4?7L2<>59B81833H6>295N<7<7?D:06=1J050;;@>::1=FDL:>7LBJ0L78EIC7[j1J@H>Pmtz3456c3HFNGKM9Ufyu>?016g?DJB8Vg~t=>?04f8EIC7Wds<=>?6e9BH@6Xe|r;<=>8d:COA5Yj}q:;<=6k;@NF4Zkrp9:;<4:4AMG2g>GKM8UTc>?00f8EIC6WVey<=>>129BJA2GIL1>0M^|id:CPvcYDdbUBB[?8;@QqbZH7k2KX~kQaou2344b19:C\BVD;98427LQISC>26;?89B[CUE48>556OPFR@?508>3HUM_O2>6?;8EZ@TJ5;<245N_GQA84>912KTJ^L318<;?DYA[K6:245N_GQA876912KTJ^L320<:?DYA[K69>3l4A^DPF94428427LQISC>17;>GXNZH79364A^DPF90902KTJ^L37?:8EZ@TJ52546OPFR@?=;bGXNZHT=?QFBTDg?DYA[KU:?RGMUGf8EZ@TJV;?SDLZFe9B[CUEW8?TEO[Id:C\BVDX9?UBNXHk;@]EWGY6?VCIYKj4A^DPFZ7?W@H^Ji5N_GQA[4?XAK_Mo6OPFR@\5ZOE]On0MRH\B^03[LDRNm1JSK]M_33\MGSAl2KTJ^LP23]JFP@c3HUM_OQ=3^KAQCeGXNZHT?RGMUGa8EZ@TJV>TEO[Ic:C\BVDX=VCIYKm4A^DPFZ0XAK_Mo6OPFR@\3ZOE]Oi0MRH\B^:\MGSAk2KTJ^LP9^KAQC0=f:ClqqIBWds<=>?3g9BkprHMVg~t=>?05d8EjssGLUfyu>?017e?Dir|FOTaxv?01250>DFK]?0NLM[149AEFR5=2HJOY|<;CWE55=E]@FOSYO]GDVBVFN?3K_XSD@IO09@0>E6>D30O<8B_H@VB3=D:9GNBo5L21OFJZOE]O<0O?;BEO`8G73JMGUDNXH<;B:N<>E?EVCIYK:4C9Oq6>EB;2IGG>5LLS18GKR>3JEFADZ[EE58GWCF\LN97N]<;BVO2>Ekmhx:j6Mce`p2[Zgil9:;<<5Ke:FQGZURKJOX_K[C6:FWEFMum2N_MNE}_omw4566n2N_MNE}_omw45669>1Omyo30?58@drf484=7IaztNGg?Air|FOTc>?01g8@jssGLUd~=>?00g8@jssGLUd~=>?03g8@jssGLUd~=>?02g8@jssGLUd~=>?05g8@jssGLUd~=>?04g8@jssGLUd~=>?0738A0=BNhfg:6KIamn22>CAief996K\<1<6?@U;97?0I^2=>49FW959<2OXS=k4ER]3[5Yig}:;<=h4ER]3[5Yig}:;<=?k;DQ\4ZYffm:;<=k4ER]3[Zgil9:;<k4ER]3[Zgil9:;<9k4ER]3[Zgil9:;<8k4ER]3[Zgil9:;<;:4ER]2`>CTW8UTmcj?012f?@UX9VUjbi>?013f?@UX9VUjbi>?0107?@UX:m1N_Rj4ER]0[Zgil9:;?_LzlvZTb{|f0<0>f:GP[HgclVUjhi>?01]N|jtXZly~`y2=>0d8AVYJimnTSljk0123[H~hzVXnxb{<2<2=>CTWDkohRQnde2345YXign;<=>>a:GP[HgclVUjhi>?01]\ekb789::=45JS^Ob`aYXimn;<=>P_`lg45669h1N_RCnde]\eab789:TSl`k01225a=B[V[T=Ra}0123a>CTWXU:Sb|?0122b>CTWXU:Sb|?01224c=B[V[T=Ra}012354c7H|30?78Aw:66=1N~R>;;Dp\51=AKEA27KMCK^KAQC4@WOHCEEY64FSMN[PEA;2LXN<5H3:EM@4=N:2C;>6G>2:K16>O4:2C?>6G:2:K56>O0<2CJO^;4I@AP51=NJ\L97D@7;HLEAWTBL01BBDZ\T@VF7>OI^l1BBR@HD^CM@ZDDL;1BC85FO@AW`>OHIJ^Taxv?012f?LIFK]Ufyu>?013e?LIFK]Ufyu>?0133b>OHIJ^Taxv?01225c=NGHI_S`{w012357`n7DANCU]nq}6789?n7DANCU]nq}67892CDNXHJ6:KLGJKW<2CDO^;4INAP53=NGJY:<;5FOBQ253=NGJY:>;5FOBQ270=NGJY996G@CR16?LID[=?0EBM\549JKFU1=2CDO^9:;HM@W=3OHLFDNSb|?0122a>OHLFDNSb|?0121a>OHLFDNSb|?0120a>OHLFDNSb|?0127a>OHLFDNSb|?0126a>OHLFDNSb|?0125a>OHLFDNSb|?0124a>OHLFDNSb|?012;<>OHLFDNec94INFLJ@t33@EGPmtz34564l2CD@=Qbuy23452c3@EGOHD9Ufyu>?01:g?LIK8Vg~t=>?0868MJJ6k2CD@6G@NQPfeaXWfx;<=>>139JKKVUmhnrSRa}01236442:KLJUTbimsTSb|?01262>OHX^IX56G@PVPfeaf3@E[[_kndx34?LIUL[OZj6G@REPFUZgil9:;<<>4INPGV@WXign;<=>>119JKWBUMXUjbi>?01024>OHZMXN]Road12346`;HMQ@WCVWds<=>?1032?LIUL[OZS`{w012357763@EYH_K^_lw{45679:;:7DA]DSGR[hs89:;=9??;HMQ@WCVWds<=>?2028MJTCZL[Taxv?012055=NG[NYI\Qbuy23452682CD^I\JQ^ov|5678<;;7DA]DSGR[hs89:;:<>4INPGV@WXe|r;<=>8119JKWBUMXUfyu>?01:24>OHZMXN]Rczx1234<>_`lg45679l1BC_Y>_`lg4567:l1BC_Y>_`lg4567;l1BC_Y>_`lg4567_`lg4567=l1BC_Y>_`lg4567>l1BC_Y>_`lg4567?=1BC^>l;HMP4Zgil9:;OH[9Ufyu>?017g?LIT8Vg~t=>?07f8MJU7Wds<=>?7e9JKV6Xe|r;<=>7d:KLW5Yj}q:;<=7l;HMP[CUJWOLo=n5FOR]EWHYANm8m7DA\_GQN[C@c:$Ce==5FOR]EWHYANm8&Ec?>0:KLWZ@TEVLMh?#Fn333?LITWOYFSKHk2,Km7f=NGZUM_@QIFe1e?LITWOYFSKHk3,Km55=NGZUM_@QIFe1.Mk7682CD_RH\M^DE`6+Nf;;;7DA\_GQN[C@c;$Ce?<>4INQ\BVKXNOn8!D`;119JKVYA[DUMJi="Io724>OH[VLXARHId2/Jj3eOH[VLXARHId4a8MJUXNZGTJKj9f:KLWZ@TEVLMh;#Fn028MJUXNZGTJKj9-Hl255=NGZUM_@QIFe4.Mk4682CD_RH\M^DE`3+Nf:;;7DA\_GQN[C@c>$Ce8<>4INQ\BVKXNOn=!D`:c:KLWZ@TEVLMh:h4INQ\BVKXNOn0:KLWZ@TEVLMh:#Fn033?LITWOYFSKHk7,Km646:<6G@S^DPIZ@Al>'Bb884INVZT@2Pmtz345668l1BCX>Pmtz345669l1BCX>Pmtz34566:l1BCX>Pmtz34566;l1BCX>Pmtz34566Pmtz34566=m1BCX>Pmtz34565l2CDY=Qbuy23455c3@E^OH]9Ufyu>?015g?LIR8Vg~t=>?09f8MJS7Wds<=>?959JKP7d3@E^=R``t1235a=NG\;Tbbz?01321>OH]MY<7DAXRSGRb>OH_[XN]Rczx123446109JKRTUMXUfyu>?013154=NG^XYI\Qbuy234574981BCZ\]EP]nq}6789;?=<5FOVPQATYj}q:;<=?:119JKRTUMXUfyu>?01024>OH_[XN]Rczx12346773@E\^_K^_lw{4567<8:0EBY]RDS\ip~789:>==5FOVPQATYj}q:;<=8>0:KLSWTBYVg~t=>?0633?LIPZ[OZS`{w0123<4602CD[_\JQs68MVRD=2CXXN?m;HQ\BVKXNOn:i6G\_GQN[C@c9$Cej6G\_GQN[C@c9$Ce=k5FS^DPIZ@Al8'Bb?l4IR]EWHYANm8n7D]PFRO\BCb5%@dm7D]PFRO\BCb5%@d:j6G\_GQN[C@c:$Ce>k5FS^DPIZ@Al;'Bb>h4IR]EWHYANm8&Ec:i;HQ\BVKXNOn9!D`:b:KP[CUJWF25;?>89OPlkbz58556B[ilgq868>3E^bah|34?;8HQojm{6>245CThofv90912F_e`k}<6<:?IRnelx74374LUknaw:>611GXdcjrUA27>JSadoyXNQlmq]fu56788>0@YgbesV@[fkwWl{;<=>>159OPlkbz]ITo`~Pep234546<2F_e`k}TB]`iuYby9:;<>?;;MVji`tSKVif|Rk~0123042=95CThofvQEXkdzTi|>?014;?IRnelxT<<>4LUknawY7Wds<=>?109OPlkbzV:Taxv?012254=K\`gn~R>Pmtz34565981GXdcjr^2\ip~789:8=<5CThofvZ6Xe|r;<=>;109OPlkbzV:Taxv?012654=K\`gn~R>Pmtz34561981GXdcjr^2\ip~789:<46B[ilgq[4?0008HQojm{U:5CThofvZ77WVkeh=>?0332?IRnelxT==QPos2345753E^bah|P11]\kw6789;27AZfmdp\54753E^bah|P10]\ekb789::?6B[ilgq[47XWhdo<=>?1018HQojm{U:=RQnne234546;2F_e`k}_0]`iuYby9:;<<:4LUknawY6Wjg{Sh?012250=K\`gn~R?Pclr\at6789;;=85CThofvZ7XkdzTi|>?013250=K\`gn~R?Pclr\at6789;9=85CThofvZ7XkdzTi|>?013050=K\`gn~R?Pclr\at6789;?=85CThofvZ7XkdzTi|>?013651=K\`gn~R?Pclr\at67898:86B[ilgq[4YdeyUn}=>?0237?IRnelxT=Rmbp^gr4567<8>0@Ygbes]2[fkwWl{;<=>:159OPlkbzV;To`~Pep234506<2F_e`k}_0]`iuYby9:;<:?;;MVji`tX9Vif|Rk~0123<42_bos[`w789:2==5CThofvZ7Xe|r;<=>>1:NWmhcuW8Ufyu>?01325>JSadoyS1:NWmhcuW8Ufyu>?01725>JSadoyS0:NWmhcuW8Uecy>?0032?IRnelxT=R``t12354763E^bah|P1^llp5679;;:7AZfmdp\5Zhh|9:;=>?>;MVji`tX9Vddx=>?1532?IRnelxT=R``t12350763E^bah|P1^llp5679?20@Ygbes]154=K\`gn~R?03:8HQojm{U8=<5CThofvZ5XWhdo<=>?139OPlkbzV9TSl`k0123544?03:8HQojm{U>=<5CThofvZ3XWhdo<=>?139OPlkbzV?TSl`k0123544?03:8HQojm{U<=<5CThofvZ1XWhdo<=>?139OPlkbzV=TSl`k0123544?03:8HQojm{U2=<5CThofvZ?XWhdo<=>?139OPlkbzV3TSl`k0123544?00a8IdbcWVkoh=>?0^O{kwYUmzgx1?11e9NeabXWhno<=>>_LzlvZTb{|f0<>11e9NeabXWhno<=>>_LzlvZTb{|f0>_LzlvZTb{|f0?0>c:Ob`aYXimn;<=?PMymq[Wct}e~7?3?l;Lcg`ZYflm:;<?0058IdbcWVkoh=>?1^]bja6789;::6Cnde]\eab789;TSl`k012252=JimnTSljk0122[Zgil9:;==1048IdbcWVkoh=>?1^]bja678:;<7@okd^]b`a6788UTmcj?011253=JimnTSljk0122[Zgil9:;8<94M`fg[Zgcl9:;=RQnne234176>2GjhiQPaef3457XWhdo<=>:169NeabXWhno<=>>_^cm`567=8;=7@okd^]b`a6788UTmcj?01423>KflmUTmij?013\[dhc89:==<84M`fg[Zgcl9:;=RQnne2342703DkohRQnde2344YXign;<=9>179NeabXWhno<=>>_^cm`56708=0Aljk_^cg`5679VUjbi>?09322>KflmUTmij?013\[dhc89:2=:5Baef\[dbc89::SRoad123=4eKnffx]i}foo08J55HHKEC[=6A;;N@VB0=HJ\LN?6AAE39LV6=HZ;90C_=<;NP77>IR\81[o6^!21305574WE90\<><;Q327>V6::1[=>=4P060?U72;2Z::>5_1618T4>43Y;2>6^=3:R146=W:;90\?=<;Q077>V5=:1[>:=4P3:0?U4>:2Z8?6^<029S7759=;Q60?U27;2Z?=>5_4318T1543Y>=?6^:029S175V1;2Z=<>5_6018T3543YV0::1[;>=4P660?U11;2Z<;>5_7918T2?53Y287]6?3:R;56=W0;90\5;<;Q:57>V??:1[44<4P818T<643Y3:?6^6229S=6587]7:3:R:26=W1>90\LJ;;QCQPd=WAGUIY^GKXc9SMKYE]ZDJAH=4PSG2?T>?0028UjUUmhnrSb|?012254=VgZXnmiwPos234577981Zc^\jae{\kw6789;:=<5^oRPfeaXg{:;<=?=109RkVTbimsTc>?013055=VgZXnmiwPos23454682[d__kndx]lv5678:;;7\a\Rdcg}Ziu89:;8<>4QnQQadb~Wfx;<=>:119RkVTbimsTc>?01424>Wh[[ojhtQ`r12342773XeX^hoky^mq456708:0]b]]e`fz[jt789:296\N<1<5?WG;99437_O31083:3=UI5;:285]A=3=1>TF4;4>7_O33?48VD:468<0^L2<>348VD:46:<0^L2<>578VD:36<1YM1;15:PB83823[K7;3;4R@>;:0=UI535?6\NM49QEHET?2XJAN]?X99QEHET8Q;37_OBCR2[6==UIDIX<109QEHTbimsTaxv?012754=UIDXnmiwPmtz34562981YM@\jae{\ip~789:==<5]ALPfeaXe|r;<=>85:PBW@Jc3[KXIAQnne2345cn7_O\EO]nq}6789?>7_O\rg:8VDUunVD;56\NSsd\J5723[KX~j4R@QqvZkrp9:;h5]ARpq[hs89:;?h5]ARpq[hs89:;8h5]ARpq[hs89:;945]A^2\KGSAk2XJS=Qbuy2345bTFW9Ufyu>?011g?WGX8Vg~t=>?05c8VDY68VEIYKj4R@]24Zkrp9:;h5]A^33[hs89:;?h5]A^33[hs89:;8l5]A^32[JDRN01YMR?POCWEg>TFW8Ufyu>?01f8VDY6Wds<=>?1e9QEZ7Xe|r;<=>=d:PB[4Yj}q:;<==k;SC\5Zkrp9:;<974R@]1[JDRNj1YMRRczx12344bTFW;Ufyu>?016:?WGX;VEIYK74R@]7[JDRNj1YMR:Pmtz3456c3[KT8Rczx12344bTaxv?0120`>TFW=Ufyu>?016:?WGX=VEIYKm4R@]6[hs89:;h6\N_4]nq}6789;o7_OP5^ov|5678;n0^LQ:_lw{4567;m1YMR;Pmtz3456312XJS;Q@BTD`?WGX>Vg~t=>?0e9QEZ0Xe|r;<=>>d:PB[3Yj}q:;<=j4R@]5[hs89:;845]A^5\KGSAk2XJS:Qbuy2345bTFW>Ufyu>?011g?WGX?Vg~t=>?05;8VDY?WFH^Jn5]A^:\ip~789:o7_OP8^ov|56788n0^LQ7_lw{4567:m1YMR6Pmtz34564l2XJS5Qbuy23452>3[KT5RAMUGa8VDY>Wds<=>?d:PB[THEJY?T?64RNO@W1^402XDAN];X548VJKD[<<0^BCLS748VJKD[>20^^>{oSge=>TT8}eYik?n;SQ3pjTbn8:j7_]?tnPfb47f3[Y;xb\jf00b?WU7|fXnj<=6;SQ3pjTbn;30^^>{oSge7<=U[9~d^hh;9:PP4qiUmo?27_]?tnPfb3?!D`=f:PP[CUJWOLo9 Ga3c9QWZ@TEVLMh;k4RR]EWHYANm<&Ech4RR]EWHYANm<&Ec?i;SQ\BVKXNOn=!D`=f:PP[CUJWOLo: Ga3c9QWZ@TEVLMh:k4RR]EWHYANm=&Ech4RR]EWHYANm=&Ec?i;SQ\BVKXNOn0:PP[CUJWOLo4 Ga11d8VVYA[DUMJi6"Io0e?WUXNZGTJKj7-Hl0b>TTWOYFSKHk8,Km0c=U[VLXARHId9/Jj0`1YikJ`nd33?WcaLfdnSRoad1234474RddGkkcXWfx;<=>=119QacBhflUTc>?01124>TbnMeeiRQ`r12341773[omHb`j_^mq4567=?1Yik\jq99QacTby@dn7_kiRds\ekb789:m7_kiRds\ekb789::j6\jfSgr[dhc89:;>k5]egPfuZgil9:;<>h4RddQatYffm:;<=:i;SgeV`wXign;<=>:e:PfbWcvWge<=>?f:PfbWcvWge<=>?179QacSuo>1Yik[}g058V``Rzn837_kiUseJja=Umo_ykRa}0123a>Tbn\xlSb|?0122a>Tbn\xlSb|?0121a>Tbn\xlSb|?01202>Tb{|f?6]JT49PS979i2Y\0<0PICWE1>UP4;4j7^Y32?]JFP@03Z]7?7?15:QT868f3Z]7?3QFBTD7?Vci|j1XiczP_np3456c3ZoexRQ`r12344b<[ldSRa}01236a=Tmg~TSb|?0120`>Ubf}UTc>?016g?Vci|VUd~=>?04f8W`hsWVey<=>?6e9PakrXWfx;<=>8d:QfjqYXg{:;<=6n;RlgVDKD[^Xi7^`kR@O@WRT6k2Yeh_OBCRUQ55e<[gnYM@M\WS32g>Uil[KFO^Y]13a8WkbUIDIX[_?o6]adSCNGVQU9?h0_cj]ALAPSW4e3Zdo^LCLSVP0f>Uil[KFO^Y]4c9PjaTFEJY\^8l4SofQEHET_[i;UQ\BVKXNOn9!D`=f:VP[CUJWOLo> Ga3g9WWZ@TEVLMh?#Fn5d8PVYA[DUMJi<"Io7e?QUXNZGTJKj=-Hl5b>RTWOYFSKHk2,Km3c=S[VLXARHId3/Jj=`<\ZUM_@QIFe0.Mk?e3]YTJ^CPOtv3g>RTWOYFSB{{00a8PVYA[DUDyy>=4:VZT@><\`gn~1>18:Vji`t;9720Xdcjr=0=<>Rnelx7?394ThofvQE6:2^bah|[C^antZcv89:;=>5[ilgqPFYdeyUn}=>?0030?Qojm{^HSnc_ds345659:1_e`k}TB]`iuYby9:;<>?<;UknawRDWjg{Sh?012756=SadoyXNQlmq]fu5678<=0Xdcjr^224>RnelxT;UknawY7WVkeh=>?0258PlkbzV;:<6Zfmdp\5ZYffm:;<=?>;UknawY6WVkeh=>?0032?Qojm{U:SRoad123471<\`gn~R<>0:Vji`tX:VUjbi>?0132?Qojm{U9SRoad12344763]cfiQ=_^cm`5678;=0Xdcjr^124>RnelxT?RQnne2345763]cfiQ<_^cm`56788;:7Ygbes]0[Zgil9:;RnelxTAljk_^cg`5678VGscQ]erwop949:;1_e`k}_Lcg`ZYflm:;<=QBxnp\V`urd}682?01]\ekb789::=n5[ilgq[HgclVUjhi>?01]\ekb789;:h6Zfmdp\IdbcWVkoh=>?0^]bja6788;:=6Zfmdp\[dbc89:;0<0>1:Vji`tXWhno<=>?<3<25>RnelxTSljk0123868c3]cfiQnne2345c<\`gn~Road123440<]G_XIIo4URGQ[DJNIZi0Y^K]_WKPMGJB;2\HO45YIDU\P\VB<2\[Mm4VQCq[kis89::h6X_As]mkq6788;i7[gkR@O@WRT6k2\bh_OBCRUQ55d<^`nYM@M\WS0a?SocZHGH_Z\Pnl[KFO^Y]8c9UmaTFEJY\^4;4WR>3:0=P[5;596Y\<3<6?RU;;7>0[^Q?d:UP[5YXign;<=>j;VQ\4ZYffm:;<=?j;VQ\4ZYffm:;<=<;;VQ\5a=P[V;TSl`k0123a>QTW8UTmcj?01220>QTW;n0[^Q=_^cm`5678l1\_R?0^O{kwYUmzgx1?11g9TWZKflmUTmij?012\I}iuW[oxyaz32?3e?RUXEhnoSRokd1234ZKg{UYi~{ct=1=5<=P[VGjhiQPaef3456XWhdo<=>?1`9TWZKflmUTmij?012\[dhc89:;=<74WR]NeabXWhno<=>?_^cm`56798k0[^QBaef\[dbc89:;SRoad12354c<_ZUTmij?012?5;c<_ZUTmij?012?6;c<_ZUTmij?012?7;0<_hx{_H?k;YKOMK^*PMH+<#?/SUWA$5(6(HYHED=4XNP7?]IU:?1S_YBFB69[WQY@FM=0T^ZPVBA6?]YHFL?0TRZ]I79[[_CUG?1ShoQFdc9[`mYWz`gBb{m4Xej\Twoj\pznj6Vkh^RqmhPbzzcdb<>4Xeo\Idlhz_oydaa119[`hYJageyZh||inl5?]i;87;87U}{4:XFVJd?<0<`?Zgcl9:;=1??>b9\eab789;7=<0m;^cg`5679585n6Qnde2344:46k1Tmij?013?0;d><46m|3:dpf1=ngkg?7dams89mEAir|EO;i6`NDnwwH@6XGK_M==5aAEmvpIC7Wfx;<=>>1:lB@jssDL:Tc>?01325>hFLf@H>Pos23454692dJHb{{LD2\kw6789927cOKotvOA4g?1g9mEVir|Vddx=>?1033?kGTg|~Tbbz?01324c=iIZe~xR``t12357`c:lBkprHMVEIYK;4nCGQV<=iJLXYSK]Me:lAAWTXNZHTCO[I109mF@TUWOYISRa}012357=iJLXYSK]M_^mq456798;0bOK]R^DPFZYhz9:;=<<4nCGQVZ@TJVUd~=>?1031?kDBZ[UM_OQPos234446:2dII_\PFR@\[jt789;856`MESP\MJDb3gHN^_QFOC]JFP@03gHLSDAMc:lACZOHJVCIYK94nCP\MJDd3gHYSDAM_N@VBc=iJ[UBCOQbuy2345773gHYSDAM_lw{456798:0bO\PIN@\ip~789:9==5aBS]JKGYj}q:;<==>0:lAVZOHJVg~t=>?0533?kDUW@EIS`{w0123146hDIZUfyu>?015g?kEF[Vg~t=>?09f8jFGTWds<=>?979m@QGDCh1eHYOLK^DPFc=iL]KHGRH\B^MAQCghC\HI@Sl`k01236c=iL]KHGRoad12346c>119m@jssGLUjbi>?00324>hCg|~DIRoad12357773gNdyyAJ_`lg4566;<1eE]B=a:lJTI4XAK_M:6`FPM0q0>hKLZ20bAJ\_GQA`>hKLZUM_OQFBTD;?kJC[VCDNh5aLEQ\MJDXGK_MIo5aLEQ\MJDh}}i0bAJ\_`lg4565l2dGH^Qnne23477c3gFO_Road12367bhKLZUjbi>?037g?kJC[Vkeh=>?27f8jIBTWhdo<=>=7e9mHAUXign;<=<7d:lO@VYffm:;hHM11eCHQ@BTDa?kIBWge<=>>c:lLAZhh|9:;=;oMuawjfqVUd~=>?0032?kIqm{fjuRQ`r12347763gE}ibny^]lv5678:;:7cAyesnb}ZYhz9:;<9?>;oMuawjfqVUd~=>?0458jWGJD^Oh7c\NMMUF[JDRN>1e^LCZWDa8jWGJ]^OTCO[I4:lQEV3hUIZ9>7c\NS578jWGT=<1e^L]99:lQEVYHJ\L?7c\JSc9mV@UXAFH^JHm4nSGP[kis89::h6`]ER]mkq6788;<7c\JSnww2>hUGDIX;6`]OLAP5g=iZFGH_RAMUG48jWIJ_L=0b_ABWD3a?kTHE^OTCO[I4:lV@V>hRLZUjbi>?003g?kSC[Vkeh=>?13f8jPBTWhdo<=>>3e9mQAUXign;<=?;d:lV@VYffm:;<<;k;oWGWZgil9:;=;j4nTFP[dhc89::;i5aUEQ\ekb789;3h6`ZDR]bja67883h7c[KS^llp5679m1eYI]Pnnv34576<2d^[H;4nTUF5f=i]^OTmcj?012g?kSPMVkeh=>?00f8jPQBWhdo<=>?2e9mQRCXign;<=><4:lUID>hQEHUTc>?015g?kPJIVUd~=>?09a8jSKFWhdo<=>?d:lUIDYffm:;<=?k;oTNEZgil9:;hQXHUBCOQFBTD`?kPWIVkeh=>?0e9mRUGXign;<=>>c:lUTDYig}:;<5aWD58jRCXNZHh7cYJ_GQA[LDRN11ekilzimf0?wgj02rh58>?xm32?}e>=8>?taz~112.55>90M694>{R;;>1162;2>6<=;b02e>400m>qe8;k51:l72c<13->=m7:91:P=2<3?809484>35`24c<6>>oi7^?j8;754?1=9:>i==h5175fb>U>?3?=<795126a55`=9?=m=6j;6183>4<6sZ33699>:3:6>453j8:m6<88e69'<2<2>;1C5h5yTd294?7=93>9?v]68;645?4?=3;88o??f;353`1<,=9<66<5z26494>{#:m819;=4$d194>"b93?=96*j2;333>d3>90;69l5a58a2~N3;<1/8=o54728^=>=;r;;6<=5158~ 4c12:l=7&;>c;29?l>d2900c9m8:188m1>c2900c8;::188m04?2900c8<;:188k=c=831d9<:50;9j0de=831d9<>50;9l0gg=831d8o>50;9jef<722cnm7>5;h6`=?6=3f>3j7>5;n6b4?6=3`>n97>5;n726?6=3`?>;7>5;n71e?6=3f?;<7>5;h;3>5<1<75`2d;94?"5l=09i55a2e194>=h:l=1<7*=d581a==i:m91=65`2g494?"5l=09i55a2e196>=h:o?1<7*=d581a==i:m91?65`2g694?"5l=09i55a2e190>=h:o91<7*=d581a==i:m91965`2g094?"5l=09i55a2e192>=h:o;1<7*=d581a==i:m91;65`2da94?"5l=09i55a2e19<>=h:lh1<7*=d581a==i:m91565`2dc94?"5l=09i55a2e19e>=h:l<1<7*=d581a==i:m91n65f5`83>!4c<3?27ci:5589m6a5=921b9:4?:%0g0?3>3g8o?7<4;h75>5<#:m>1945a2e197>=n==0;6)07d;<:18'6a2==01e>i=55:9j17<72-8o87;6;o0g7?0<3`?:6=4+2e691<=i:m91;65f5183>!4c<3?27ci:5589m6a5=121b8h4?:%0g0?3>3g8o?7o4;h6g>5<#:m>1945a2e19f>=ni=5d:9j27<72-8o87;6;o0g7?c<3`<:6=4+2e691<=i:m91j65f6183>!4c<3?27co2n3:1(?j;:4;8j7b428;07d;j:18'6a2==01e>i=51398m0b=83.9h94:9:l1`6<6;21b9n4?:%0g0?3>3g8o?7?;;:k6f?6=,;n?6874n3f0>43<3`?>6=4+2e691<=i:m91=;54i2a5>5<#:m>1?n;4n3f0>5=5<#:m>1?n;4n3f0>7=6=4>:183!27i3l=7E:94:J770=#0033fl>6=4+47a96=5<3th?:;4?:083>5}#<9k18>84H547?M24=2.?:n4=7d9'5`1==?>0c?6;:18'03e=:1907pl;7g83>4b=vF;349'05g=101Q454>{039y!7b>39m:6g64;29?j51<3:17b?:a;29?j4a13:17b=k2;29?l>52900e8?l:188k6c42900c<<;:188m03c2900c?h7:188k63a2900c<>?:188m73=83.9h94=4:l1`6<732c9?7>5$3f7>72o583:1(?j;:368j7b42:10e4;;:k2`?6=,;n?6?:4n3f0>0=h5l:0=76g>b;29 7b32;>0b?j<:698m4g=83.9h94=4:l1`65$3f7>72o6?3:1(?j;:368j7b42k10e<850;&1`1<5<2d9h>4l;:k21?6=,;n?6?:4n3f0>a=h5l:0n76g=c;29 7b32;>0b?j<:g98f102290:6=4?{%63e?`13A>=86F;349'03e=<>80(0;684=:7y'05g=ml1C8;:4H516?!77=3o0(5;n0g2?6=3k8o:7>56;294~"3>j0m=6g>0283>!21k3;;?65f9283>!21k33876g64;29 10d20>07d=l0;29 10d2:i;76g<7`83>!21k39j094>54}c65=?6=93:152z\1`3=::m<1>5=4}r;g>5<5sW3o70{t1<0;6?uQ949>6a0=;>k0q~7<:181[?4348o:77<;|q;=?6=:rT3563=d78:0>{tn?0;6?u22e497f6<5=<26?6<;|a6cg=8381<7>t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi>kl50;094?6|,=:j6<>9;I650>N3;<1/8;m5879'5`1==?>0ek950;&72f<68:10c?9m:18'03e=:190D98m;:a6ce=8391<7>t$52b>16<@==o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yg4al3:1?7>50z&74d<382B?:95G4278 10d2:l0(290/8;m51118?j40j3:1(98l:3:0?>{e=931<7=50;2x 16f2;2;7E:94:J770=#:h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi?;;50;094?6|,=:j6<>9;I650>N3;<1/8;m5879'5`1==?>0ek950;&72f<68:10c?9m:18'03e=:190D98m;:a730=8381<7>t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi?;950;094?6|,=:j6<>9;I650>N3;<1/8;m5879'5`1==?>0ek950;&72f<68:10c?9m:18'03e=:190D98m;:a73>=83?1<7>t$52b>14<@==o7??3:9jb<<72->=o7??3:9jbd<72->=o7??3:9jbg<72->=o7??3:9l62d=83.?:n4=8298yg7793:157>50z&74d<3=2B?:95G4278 10d2:l0(290/8;m51118?l`f290/8;m51118?l`e290/8;m51118?l`d290/8;m51118?l`c290/8;m51118?l`b290/8;m51118?l`a290/8;m51118?j40j3:1(98l:3:0?>{e<:h1<7;50;2x 16f2=80D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=nnh0;6):9c;337>=nnk0;6):9c;337>=h:>h1<7*;6b81<6=8h7>53;294~"38h0?<6F;659K063<,=
h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi8>k50;194?6|,=:j6?6?;I650>N3;<1/8;m526d8 4c02<n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e<=:1<7<50;2x 16f28:=7E:94:J770=#n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e<=81<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=??7>53;294~"38h0?<6F;659K063<,=
h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi89:50;194?6|,=:j69>4H547?M24=2.?:n4=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo:n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e;?;1<7<50;2x 16f28:=7E:94:J770=#n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e;?91<7<50;2x 16f28:=7E:94:J770=#n:3:3?M21<2B??85+47a962`<,8o<688;;hd;>5<#5<#t$52b>16<@==o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yg51j3:187>50z&74d<392B?:95G4278 10d2:l0(290/8;m51118?l`f290/8;m51118?j40j3:1(98l:3:0?>{e;l>1<7;50;2x 16f2=80D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=nnh0;6):9c;337>=nnk0;6):9c;337>=h:>h1<7*;6b81<6=53;294~"38h0?<6F;659K063<,=
h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi?hm50;094?6|,=:j6<>9;I650>N3;<1/8;m5879'5`1==?>0ek950;&72f<68:10c?9m:18'03e=:190D98m;:a7`b=8381<7>t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi?hk50;094?6|,=:j6<>9;I650>N3;<1/8;m5879'5`1==?>0ek950;&72f<68:10c?9m:18'03e=:190D98m;:a7``=8381<7>t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi?k>50;094?6|,=:j6<>9;I650>N3;<1/8;m5879'5`1==?>0ek950;&72f<68:10c?9m:18'03e=:190D98m;:a7c7=8381<7>t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi?k<50;094?6|,=:j6<>9;I650>N3;<1/8;m5879'5`1==?>0ek950;&72f<68:10c?9m:18'03e=:190D98m;:a7`3=8381<7>t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi?h850;194?6|,=:j6?6?;I650>N3;<1/8;m526d8 4c02<=83:p(9>n:568L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65ff`83>!21k3;;?65ffc83>!21k3;;?65ffb83>!21k3;;?65ffe83>!21k3;;?65ffd83>!21k3;;?65`26`94?"3>j094>54}c1fN3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>16<@==o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yg5bi3:187>50z&74d<392B?:95G4278 10d2:l0(290/8;m51118?l`f290/8;m51118?j40j3:1(98l:3:0?>{e=9h1<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c73`?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=53;294~"38h0?<6F;659K063<,=
h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi9=h50;194?6|,=:j6?6?;I650>N3;<1/8;m526d8 4c02<n:578L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65ff`83>!21k3;;?65ffc83>!21k3;;?65ffb83>!21k3;;?65ffe83>!21k3;;?65ffd83>!21k3;;?65ffg83>!21k3;;?65`26`94?"3>j094>54}c1gf?6=;3:10D9=:;%65g?40n2.:i:4:659jb=<72->=o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yg5ck3:197>50z&74d<3:2B?:95G4278 10d2:l0(290/8;m51118?l`f290/8;m51118?l`e290/8;m51118?j40j3:1(98l:3:0?>{e;mn1<7<50;2x 16f28:=7E:94:J770=#n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e;ml1<7<50;2x 16f28:=7E:94:J770=#n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e;l;1<7<50;2x 16f28:=7E:94:J770=#n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e;m>1<7<50;2x 16f28:=7E:94:J770=#n:508L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65ff`83>!21k3;;?65ffc83>!21k3;;?65`26`94?"3>j094>54}c1g2?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>7>73A>=86F;349'03e=:>l0(290/8;m51118?j40j3:1(98l:3:0?>{e;m21<7:50;2x 16f2=;0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=nnh0;6):9c;337>=h:>h1<7*;6b81<6=53;294~"38h0?<6F;659K063<,=
h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi?io50;194?6|,=:j69>4H547?M24=2.?:n4=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo?=5;297?6=8r.?7):9c;04b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c31e?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=55;294~"38h0?>6F;659K063<,=
h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3`lj6=4+47a9555<3`li6=4+47a9555<3f85$54`>7>432wi=?m50;194?6|,=:j69>4H547?M24=2.?:n4=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo?=d;297?6=8r.?7):9c;04b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c31a?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=53;294~"38h094=5G4768L1523->=o7<8f:&2a2<2>=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo?<0;297?6=8r.?n:528L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c312?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>7>73A>=86F;349'03e=:>l0(290/8;m51118?j40j3:1(98l:3:0?>{e9;21<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=53;294~"38h094=5G4768L1523->=o7<8f:&2a2<2>=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10q~?::187[72349n87h7;<1gg?`?349oh7h8;|q22?6=;4lj0m563{t9>0;69uQ169>7`b=n>16?im5f`9>7a`=n>1v<650;7xZ4><5=9n6k64=2g7>cg<5:n86k64=00g>c>a;297~X6i278ih4i7:?0`6>:g;8915e2o201>km:g;896b42oh01<8n7hn;<1fb?`0349o?7hk;<31f?`f3ty:i7>56z\2a>;6880mn63;3c8ef>;4n90m;63;6:k0mn6s|2183><}Y:916?;;5f69>557=nj168>j5f99>737=n>16?k?5f69>7a5=nl16=?;5f89>57e=n11v?<50;;xZ74<5:<=6k94=022>cb<5=9o6k74=241>c1<5:l96k94=2f0>c`<588>6k64=00`>c?:4i8:p6f<72:qU>n523d49b==:;mh1j55rs3f94?5|V;n01>kl:g5896be2o30q~6=:187[>534?;57h6;<73f?`?34?;o7h8;|q:0?6=:rT2863:068;=>{t99:1<7{t9;?1<771e34;9j7h7;|q263<72;q6=?8526`8944?2o30q~?=7;296~;6:>09;o5213;9b==z{8836=4={<3144i9:p57g=838p1<2c83>7}:9;h1>:l4=00;>c>52z?26f<5?k16=?85f99~w44c2909w0?=d;04f>;6;90m46s|13g94?4|588n6?9m;<304?`>3ty:>k4?:3y>57`=:>h01<=>:g;8yv7483:1>v3>31813g=:9;<1j45rs012>5<5s4;8=7<8b:?2628o7<8b:p621=838p198::g78906020?0q~kj526`8yv4a13:1?vP=f89>6ce=:>h01?hk:g:8yv4ai3:1>v3=f`813g=::oi1j55rs3da>5<5s48mn7<8b:?1bf8?:35a?85113l27p}<6083>7}:;?;1>:l4=24a>c>7>52z?027<5?k16?;o5f99~w6042909w0=93;04f>;4>h0m56s|37694?5|V:;28k0m56s|37794?4|5:<>6?9m;<153ty8:;4?:3y>730=:>h01>87:gc8yv51?3:1>v3<66813g=:;?21jo5rs24:>5<5s49=57<8b:?02g7}:;m91>:l4=2fb>c>52z?0`1<5?k16?i75f89~w6b22909w0=k5;04f>;4l>0m56s|3e494?4|5:n=6?9m;<1g7a1=:>h01>j7:g:8yv5c03:1>v35<5s49o57<8b:?0`d7a3=n11v>jj:18185cm38{t;ml1<771e349o97hn;|q0a5<72;q6?h>526`896b22oh0q~=j1;296~;4m809;o523e49b==z{:o96=4={<1f6?40j278h;4i9:p7`5=838pR>k<;<1fe?40j2wx?h:50;0x96c32;=i70=ja;d:?xu4m<0;6?u23d7962d<5:o<6kk4}r1f2?6=:r78i;4=7c9>7`>=n01v>k8:18185b?38{t;l21<771e349n57h6;|q0a<<72;q6?h7526`896cf2o20q~=jb;296~;4mk09;o523d;9b==z{:oh6=4={<1fg?40j278i;4i9:p7`b=838p1>kk:35a?85b?3l37p}7}:;lo1>:l4=2g4>c?52z?0ac<5?k16?h95f`9~w6`72909w0=i0;04f>;4m>0mn6s|3g394?4|5:l:6?9m;<1f3?`c3ty8j?4?:3y>7c4=:>h01>k8:ga8yv24j3:1>v3;3c813g=:<:i1j45rs51g>5<5s4>8h7<8b:?701k50;0x915b2;=i70:;2;d;?xu3;o0;6?u242d962d<5=>96k74}r674?6=:r7?8=4=7c9>015=n11v9:>:181823938{t<=81<771e34>?87h6;|q706<72;q689=526`8915d2ok0q~:;4;296~;3<=09;o5242a9b==z{<:<6=4;{<733?4c>279ji4i9:?025l4i7:p15>=838p18>8:8f8906>2;=i7p}:0`83>7}:=9=15>5251d962d52z?64g<5?k169=h5f99~w06d2909w0;?c;04f>;28l0m46s|51f94?4|5<:o6?9m;<73a?`>3ty>15c=:>h018>i:g;8yv36k3:1?vP:1b9>15?=n1169=j5f69~w03c290?wS;:d:?704:1801??c2kopD9=:;%63e?4a?2P3471<75f2g;94?=h>o4jh0;66a:0183>>o3m10;66g>o2=m0;66g:2683>>o5n10;66a:2083>>o4=o0;66a=fd83>>i3nh0;66a=e883>!4c<38n46`=d283?>i5m>0;6)h5l:0:76a=f783>!4c<38n46`=d281?>i5n<0;6)h5l:0876a=f583>!4c<38n46`=d287?>i5n:0;6)h5l:0>76a=f383>!4c<38n46`=d285?>i5n80;6)h5l:0<76a=eb83>!4c<38n46`=d28;?>i5mk0;6)h5l:0276a=e`83>!4c<38n46`=d28b?>i5m?0;6)h5l:0i76g=2;29 7b32;;0b?j<:198m76=83.9h94=1:l1`6<632c:i7>5$3f7>77o6k3:1(?j;:338j7b42=10e4:;:k2e?6=,;n?6??4n3f0>3=h5l:0<76g>8;29 7b32;;0b?j<:998m41=83.9h94=1:l1`6<>32c::7>5$3f7>77o5l3:1(?j;:338j7b42j10e?m50;&1`1<592d9h>4k;:k1f?6=,;n?6??4n3f0>`=h5l:0m76g=9;29 7b32;;0b?j<:028?l4?290/>i:5209m6a5=9810e?950;&1`1<592d9h>4>2:9j63<72-8o87<>;o0g7?7432c:j7>5$3f7>77N3>=1C8>;4$54`>1153-;n;7;94:me1?6=,=78t$52b>`c<@==1b5>4?::k:1?6=3`226=44i8f94?=h:m<1<75m2e494?0=83:p(98l:g38m464290/8;m51118?l?4290/8;m59298m<2=83.?:n464:9j7f6=83.?:n4{e{t1m0;6?uQ9e9>6a0=9990q~7::181[?2348o:7=8a:p=6<72;qU5>522e49=6=z{131<70q~h9:18184c>39h<63;6881<6=zuk8mi7>53;090~"38h0no6F;659K063<,8o<688;;h::>5<3:17d56;294~"3>j0m=6g>0283>!21k3;;?65f9283>!21k33876g64;29 10d20>07d=l0;29 10d2:i;76g<7`83>!21k39j094>54}c653?6=93:11<7*;6b81<6={t:m?1<77}Y:m<01hh52918yv24>3:1>v3jf;;0?821?38386srb383>4<729q/8>:59:&74d2.?:n4;739'5`1==?>0ck;50;&72f<50:10q~i852e48yv?c2909wS7k;<0g2??c3ty357>52z\;=>;5l?0356s|5;297~;5l?09h8522e49=0=::3l>7):<4;a8yxd2890;6>4=:5y'05g=mj1C8;:4H516?!7b?3?=86g79;29?l?c2900c?j9:188f7b1290>6?49{%63e?ca3-;n;7;94:m1`3<722c9h84?::k:`?6=3`3>6=44i9;94?=emo0;6;4?:1y'03e=n81b===50;&72f<68:10e4=50;&72f<>;21b594?:%65g??332c8o=4?:%65g?5d821b?:o50;&72f<4?h10c?6<:18'03e=:1907pl;6683>4<729q/8;m526g8k7>3290/8;m52918?xu>l3:1>vP6d:?fb?77;2wx444?:3y]<<=:mo0286s|9483>7}Y1<16ik4<7`9~w7b22909wSi850;0xZ7b134om6?6<;|q773<72;q6ik463:?722<50=1vqo<50;394?6|,=9?645+41c9b3=#0033fl>6=4+47a96=5<3ty9h;4?:3y]6a0<5;n=6?j9;|q:`?6=:rT2h63=d78:`>{t000;6?uQ889>6a0=001v84?:2y>6a0=:m?01?j9:878970D9=:;%3f3?31<2c357>5;h;g>5<5<22;0=w):?a;ge?!7b?3?=86a=d783>>o5l<0;66g6d;29?l?22900e5750;9aac<72?0;6=u+47a9b4=n9991<7*;6b8246==n1=0;6):9c;;7?>o4k90;6):9c;1`4>=n;>k1<7*;6b803d=5}#:k4o3:7>5<#5=4;|q:`?6=:rT2h63jf;337>{t000;6?uQ889>ac<><2wx584?:3y]=0=:mo08;l5rs3f6>5<5sW8o963jf;1`4>{t:m<1<77}:mo02?63;6681<1=zuk81<7?50;2x 153201/8=o5f79'03e=<>80(l279h;46d:p<<<72;qU44522e49<<=z{<0;6>u22e496a3<5;n=64;4=38e1>"3;=0h7psm8483>6<52=q/8=o5eb9K032<@=9>7)?j7;750>o?13:17d7k:188k7b12900n?j9:186>7<1s->;m7ki;%3f3?31<2e9h;4?::k1`0<722c2h7>5;h;6>5<3<729q/8;m5f09j555=83.?:n4>0298m<5=83.?:n463:9j=1<72->=o77;;:k0g5<72->=o7=l0:9j72g=83.?:n4<7`98k7>4290/8;m52918?xd3>>0;6<4?:1y'03e=:>o0c?6;:18'03e=:1907p}6d;296~X>l27nj7??3:p<<<72;qU4452eg8:0>{t1<0;6?uQ949>ac<4?h1v?j::181[4c=27nj7=l0:p6a0=838pR?j9;7>43ty??;4?:3y>ac<>;27?::4=859~yg4=83;1<7>t$517><=#<9k1j;5+47a9024<,8o<688;;nd6>5<#5=4;|q1`3<72;qU>i84=3f5>7b13ty2h7>52z\:`>;5l?02h6s|8883>7}Y0016>i85889~w0<72:q6>i852e7897b120?01?4i5:&7715;h;g>5<5<22;0=w):?a;ge?!7b?3?=86a=d783>>o5l<0;66g6d;29?l?22900e5750;9aac<72?0;6=u+47a9b4=n9991<7*;6b8246==n1=0;6):9c;;7?>o4k90;6):9c;1`4>=n;>k1<7*;6b803d=5}#:k4o3:7>5<#5=4;|q:`?6=:rT2h63jf;337>{t000;6?uQ889>ac<><2wx584?:3y]=0=:mo08;l5rs3f6>5<5sW8o963jf;1`4>{t:m<1<77}:mo02?63;6681<1=zuk81<7?50;2x 153201/8=o5f79'03e=<>80(l279h;46d:p<<<72;qU44522e49<<=z{<0;6>u22e496a3<5;n=64;4=38e1>"3;=0h7psm30;94?5=:3>p(9>n:da8L1033A>896*>048f?!7b?3?=86g79;29?l?c2900c?j9:188f7b1290>6?49{%63e?ca3-;n;7;94:m1`3<722c9h84?::k:`?6=3`3>6=44i9;94?=emo0;6;4?:1y'03e=n81b===50;&72f<68:10e4=50;&72f<>;21b594?:%65g??332c8o=4?:%65g?5d821b?:o50;&72f<4?h10c?6<:18'03e=:1907pl;6683>4<729q/8;m526g8k7>3290/8;m52918?xu>l3:1>vP6d:?fb?77;2wx444?:3y]<<=:mo0286s|9483>7}Y1<16ik4<7`9~w7b22909wSi850;0xZ7b134om6?6<;|q773<72;q6ik463:?722<50=1vqo<50;394?6|,=9?645+41c9b3=#0033fl>6=4+47a96=5<3ty9h;4?:3y]6a0<5;n=6?j9;|q:`?6=:rT2h63=d78:`>{t000;6?uQ889>6a0=001v84?:2y>6a0=:m?01?j9:878977:t$52b>`e<@=5<i5l?0;66g=d483>>o>l3:17d7::188m=?=831iik4?:783>5}#j0:<>54i8194?"3>j02?65f9583>!21k33?76g!21k39h<65f36c94?"3>j08;l54o3:0>5<#5=4;|`722<7280;6=u+47a962c52z\:`>;bn3;;?6s|8883>7}Y0016ik464:p=0<72;qU5852eg803d=z{;n>6=4={_0g1>;bn39h<6s|2e494?4|V;n=70ki:3:0?xu3;?0;6?u2eg8:7>;3>>09495r}c094?7=83:p(9=;:89'05g=n?1/8;m54608 4c02<7}Y:m<01?j9:3f5?xu>l3:1>vP6d:?1`3<>l2wx444?:3y]<<=::m<1445rs483>6}::m<1>i;4=3f5><3<5;0m96*;358`?x{e;8<1<7=52;6x 16f2li0D98;;I601>"68<0n7)?j7;750>o?13:17d7k:188k7b12900n?j9:186>7<1s->;m7ki;%3f3?31<2e9h;4?::k1`0<722c2h7>5;h;6>5<3<729q/8;m5f09j555=83.?:n4>0298m<5=83.?:n463:9j=1<72->=o77;;:k0g5<72->=o7=l0:9j72g=83.?:n4<7`98k7>4290/8;m52918?xd3>>0;6<4?:1y'03e=:>o0c?6;:18'03e=:1907p}6d;296~X>l27nj7??3:p<<<72;qU4452eg8:0>{t1<0;6?uQ949>ac<4?h1v?j::181[4c=27nj7=l0:p6a0=838pR?j9;7>43ty??;4?:3y>ac<>;27?::4=859~yg4=83;1<7>t$517><=#<9k1j;5+47a9024<,8o<688;;nd6>5<#5=4;|q1`3<72;qU>i84=3f5>7b13ty2h7>52z\:`>;5l?02h6s|8883>7}Y0016>i85889~w0<72:q6>i852e7897b120?01?4i5:&7715;n0g2?6=3k8o:7>55;092~"38h0nj6*>e68621=h:m<1<75f2e794?=n1m0;66g65;29?l>>2900nhh50;494?6|,=5<#5<#54i8694?"3>j02865f3b294?"3>j08o=54i25b>5<#t$54`>71b3f8387>5$54`>7>432wx5i4?:3y]=a=:mo0:<>5rs9;94?4|V1301hh5959~w<3=838pR4;4=dd972g52z\1`0=:mo08o=5rs3f5>5<5sW8o:63jf;0;7>{t<:<1<75:4}|`1>5<6290;w):<4;;8 16f2o<0(98l:551?!7b?3?=86ai5;29 10d2;2876s|2e494?4|V;n=70{t1m0;6?uQ9e9>6a0=1m1v5750;0xZ=?<5;n=6574}r794?5|5;n=6?j:;<0g2??23481j85+4269g>{zj:=i6=4<:387!27i3oh7E:94:J770=#99?1i6*>e68621=n000;66g6d;29?j4c>3:17or.?=1d>i850;9j6a3=831b5i4?::k:1?6=3`226=44bdd94?0=83:p(98l:g38m464290/8;m51118?l?4290/8;m59298m<2=83.?:n464:9j7f6=83.?:n4{e7}Y1m16ik4>029~w=?=838pR574=dd9=1=z{0?1<7k0q~850;0x9``=1:168;952968yxd5290:6=4?{%600??<,=:j6k84$54`>1153-;n;7;94:me1?6=,=2wx5i4?:3y]=a=::m<15i5rs9;94?4|V1301?j9:9;8yv3=839p1?j9:3f6?84c>33>70<5f49'062=k2wvn>9l:180>7<3s->;m7kl;I650>N3;<1/==;5e:&2a2<2>=1b444?::k:`?6=3f8o:7>5;c0g2?6==381:v*;0`8fb>"6m>0>:95`2e494?=n:m?1<75f9e83>>o>=3:17d66:188f``=83<1<7>t$54`>c71<7*;6b8:0>=n;j:1<7*;6b80g5=5$54`>7>432wi8;950;394?6|,=;bn383?6s|42494?4|5ll15>5247596=2:183!24<330(9>n:g48 10d2==97)?j7;750>ia=3:1(98l:3:0?>{t:m<1<738o:6s|9e83>7}Y1m16>i859e9~w=?=838pR574=3f5>=?7b2348o:77:;<09b0=#<:>1o6srb25g>5<42;0?w):?a;g`?M21<2B??85+1179a>"6m>0>:95f8883>>o>l3:17b3:197<56z&74di;50;9j=a<722c297>5;h::>5<<:18'03e=99907d7<:18'03e=1:10e4:50;&72f<><21b?n>50;&72f<4k910e>9n:18'03e=;>k07b<73;29 10d2;2876sm47594?7=83:p(98l:35f?j4?<3:1(98l:3:0?>{t1m0;6?uQ9e9>ac<68:1v5750;0xZ=?<5ll1595rs8794?4|V0?01hh536c8yv4c=3:1>vP=d49>ac<4k91v?j9:181[4c>27nj7<73:p060=838p1hh5929>031=:1>0qpl=:182>5<7s->88774$52b>c0<,=5$54`>7>432wx>i850;0xZ7b1348o:770:5c:~f61b29086?4;{%63e?cd3A>=86F;349'553=m2.:i:4:659j<<<722c2h7>5;n0g2?6=3k8o:7>55;092~"38h0nj6*>e68621=h:m<1<75f2e794?=n1m0;66g65;29?l>>2900nhh50;494?6|,=5<#5<#54i8694?"3>j02865f3b294?"3>j08o=54i25b>5<#t$54`>71b3f8387>5$54`>7>432wx5i4?:3y]=a=:mo0:<>5rs9;94?4|V1301hh5959~w<3=838pR4;4=dd972g52z\1`0=:mo08o=5rs3f5>5<5sW8o:63jf;0;7>{t<:<1<75:4}|`1>5<6290;w):<4;;8 16f2o<0(98l:551?!7b?3?=86ai5;29 10d2;2876s|2e494?4|V;n=70{t1m0;6?uQ9e9>6a0=1m1v5750;0xZ=?<5;n=6574}r794?5|5;n=6?j:;<0g2??23481j85+4269g>{zj:2:6=4<:387!27i3oh7E:94:J770=#99?1i6*>e68621=n000;66g6d;29?j4c>3:17or.?=1d>i850;9j6a3=831b5i4?::k:1?6=3`226=44bdd94?0=83:p(98l:g38m464290/8;m51118?l?4290/8;m59298m<2=83.?:n464:9j7f6=83.?:n4{e7}Y1m16ik4>029~w=?=838pR574=dd9=1=z{0?1<7k0q~850;0x9``=1:168;952968yxd5290:6=4?{%600??<,=:j6k84$54`>1153-;n;7;94:me1?6=,=2wx5i4?:3y]=a=::m<15i5rs9;94?4|V1301?j9:9;8yv3=839p1?j9:3f6?84c>33>70<5f49'062=k2wvn>69:180>7<3s->;m7kl;I650>N3;<1/==;5e:&2a2<2>=1b444?::k:`?6=3f8o:7>5;c0g2?6==381:v*;0`8fb>"6m>0>:95`2e494?=n:m?1<75f9e83>>o>=3:17d66:188f``=83<1<7>t$54`>c71<7*;6b8:0>=n;j:1<7*;6b80g5=5$54`>7>432wi8;950;394?6|,=;bn383?6s|42494?4|5ll15>5247596=2:183!24<330(9>n:g48 10d2==97)?j7;750>ia=3:1(98l:3:0?>{t:m<1<738o:6s|9e83>7}Y1m16>i859e9~w=?=838pR574=3f5>=?7b2348o:77:;<09b0=#<:>1o6srb2:a>5<42;0?w):?a;g`?M21<2B??85+1179a>"6m>0>:95f8883>>o>l3:17b3:197<56z&74di;50;9j=a<722c297>5;h::>5<<:18'03e=99907d7<:18'03e=1:10e4:50;&72f<><21b?n>50;&72f<4k910e>9n:18'03e=;>k07b<73;29 10d2;2876sm47594?7=83:p(98l:35f?j4?<3:1(98l:3:0?>{t1m0;6?uQ9e9>ac<68:1v5750;0xZ=?<5ll1595rs8794?4|V0?01hh536c8yv4c=3:1>vP=d49>ac<4k91v?j9:181[4c>27nj7<73:p060=838p1hh5929>031=:1>0qpl=:182>5<7s->88774$52b>c0<,=5$54`>7>432wx>i850;0xZ7b1348o:770:5c:~f6?729086?4;{%63e?cd3A>=86F;349'553=n2.:i:4:659j<<<722c2h7>5;n0g2?6=3k8o:7>55;092~"38h0nj6*>e68621=h:m<1<75f2e794?=n1m0;66g65;29?l>>2900nhh50;494?6|,=5<#5<#54i8694?"3>j02865f3b294?"3>j08o=54i25b>5<#t$54`>71b3f8387>5$54`>7>432wx5i4?:3y]=a=:mo0:<>5rs9;94?4|V1301hh5959~w<3=838pR4;4=dd972g52z\1`0=:mo08o=5rs3f5>5<5sW8o:63jf;0;7>{t<:<1<75:4}|`1>5<6290;w):<4;;8 16f2o<0(98l:551?!7b?3?=86ai5;29 10d2;2876s|2e494?4|V;n=70{t1m0;6?uQ9e9>6a0=1m1v5750;0xZ=?<5;n=6574}r794?5|5;n=6?j:;<0g2??23481j85+4269g>{zj<896=4=:183!27i3;;:6F;659K063<,=0033`l<6=4+47a9555<3f85$54`>7>43A>=n65rb41b>5<5290;w):?a;332>N3>=1C8>;4$54`>=0<,8o<688;;hd4>5<#=zj=li6=4=:183!27i3;;:6F;659K063<,=0033`l<6=4+47a9555<3f85$54`>7>43A>=n65rb2`;>5<5290;w):?a;332>N3>=1C8>;4$54`>=0<,8o<688;;hd4>5<#=zj:h26=4<:183!27i3>;7E:94:J770=#52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c1;4?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#=8381<7>t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi9?;50;194?6|,=:j6?6?;I650>N3;<1/8;m526d8 4c02<n:3:3?M21<2B??85+47a962`<,8o<688;;hd;>5<#5<#t$52b>16<@==o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yg57k3:1>7>50z&74d<68?1C8;:4H516?!21k32=7)?j7;750>oa?3:1(98l:020?>i5?k0;6):9c;0;7>N3>k10qo=?d;297?6=8r.?n:3:3?M21<2B??85+47a962`<,8o<688;;hd;>5<#5<#t$52b>16<@==o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yg56k3:1?7>50z&74d<5091C8;:4H516?!21k38e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=53;294~"38h094=5G4768L1523->=o7<8f:&2a2<2>=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo=>e;297?6=8r.?n:3:3?M21<2B??85+47a962`<,8o<688;;hd;>5<#5<#t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi?<<50;094?6|,=:j6<>9;I650>N3;<1/8;m5879'5`1==?>0ek950;&72f<68:10c?9m:18'03e=:190D98m;:a745=8391<7>t$52b>16<@==o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yg56<3:1?7>50z&74d<382B?:95G4278 10d2:l0(290/8;m51118?j40j3:1(98l:3:0?>{e;8?1<7:50;2x 16f2;2:7E:94:J770=#:h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3`lj6=4+47a9555<3f85$54`>7>432wi?=>50;194?6|,=:j6?6?;I650>N3;<1/8;m526d8 4c02<n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e;981<7<50;2x 16f28:=7E:94:J770=#n:528L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c130?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>7>73A>=86F;349'03e=:>l0(290/8;m51118?j40j3:1(98l:3:0?>{e;9<1<7:50;2x 16f2;2:7E:94:J770=#:h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3`lj6=4+47a9555<3f85$54`>7>432wi8oj50;194?6|,=:j6?6?;I650>N3;<1/8;m526d8 4c02<n:528L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c6ab?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=h<7>53;294~"38h094=5G4768L1523->=o7<8f:&2a2<2>=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo:l1;296?6=8r.?079K032<@=9>7):9c;:5?!7b?3?=86gi7;29 10d28:876a=7c83>!21k383?6F;6c98yg2d:3:1?7>50z&74d<5091C8;:4H516?!21k38e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=h?7>53;294~"38h0?<6F;659K063<,=
h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi8n:50;194?6|,=:j69>4H547?M24=2.?:n4=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo:l5;291?6=8r.?7):9c;04b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65ff`83>!21k3;;?65ffc83>!21k3;;?65`26`94?"3>j094>54}c70g?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c70a?6=<3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#5<#t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi8kk50;094?6|,=:j6<>9;I650>N3;<1/8;m5879'5`1==?>0ek950;&72f<68:10c?9m:18'03e=:190D98m;:a0c`=83>1<7>t$52b>17<@==o7??3:9jb<<72->=o7??3:9jbd<72->=o7??3:9l62d=83.?:n4=8298yg5713:187>50z&74d<392B?:95G4278 10d2:l0(290/8;m51118?l`f290/8;m51118?j40j3:1(98l:3:0?>{e;;81<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c110?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>7>73A>=86F;349'03e=:>l0(290/8;m51118?j40j3:1(98l:3:0?>{e;;l1<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c105?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>7>73A>=86F;349'03e=:>l0(290/8;m51118?j40j3:1(98l:3:0?>{e;:i1<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c10a?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>7>73A>=86F;349'03e=:>l0(290/8;m51118?j40j3:1(98l:3:0?>{e;=81<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c170?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>7>73A>=86F;349'03e=:>l0(290/8;m51118?j40j3:1(98l:3:0?>{e;=21<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c17e?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>7>73A>=86F;349'03e=:>l0(290/8;m51118?j40j3:1(98l:3:0?>{e;=o1<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c164?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>7>73A>=86F;349'03e=:>l0(290/8;m51118?j40j3:1(98l:3:0?>{e;<>1<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=97>52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c162?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>7>73A>=86F;349'03e=:>l0(290/8;m51118?j40j3:1(98l:3:0?>{e;"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=n7>52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c16g?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>7>73A>=86F;349'03e=:>l0(290/8;m51118?j40j3:1(98l:3:0?>{e;:?1<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c103?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#=8391<7>t$52b>7>73A>=86F;349'03e=:>l0(290/8;m51118?j40j3:1(98l:3:0?>{e;;21<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c11e?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>7>73A>=86F;349'03e=:>l0(290/8;m51118?j40j3:1(98l:3:0?>{e:ln1<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c0fb?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>7>73A>=86F;349'03e=:>l0(290/8;m51118?j40j3:1(98l:3:0?>{e;081<7<50;2x 16f28:=7E:94:J770=#n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e;0?1<7<50;2x 16f28:=7E:94:J770=#n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e;0=1<7<50;2x 16f28:=7E:94:J770=#n:528L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c1:=?6=<3:10D9=:;%65g?40n2.:i:4:659jb=<72->=o7??3:9jb<<72->=o7??3:9jbd<72->=o7??3:9l62d=83.?:n4=8298yg5>i3:1?7>50z&74d<5091C8;:4H516?!21k38e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=54;294~"38h0?=6F;659K063<,=
h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3`lj6=4+47a9555<3f85$54`>7>432wi?4=50;194?6|,=:j6?6?;I650>N3;<1/8;m526d8 4c02<n:528L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c1;a?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>7>73A>=86F;349'03e=:>l0(290/8;m51118?j40j3:1(98l:3:0?>{e;121<7;50;2x 16f2=80D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=nnh0;6):9c;337>=nnk0;6):9c;337>=h:>h1<7*;6b81<6=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c1;e?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi?5:50;194?6|,=:j69>4H547?M24=2.?:n4=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo=75;297?6=8r.?7):9c;04b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c1b0D9=:;%65g?40n2.:i:4:659jb=<72->=o7??3:9jb<<72->=o7??3:9jbd<72->=o7??3:9jbg<72->=o7??3:9l62d=83.?:n4=8298yg5f13:1>7>50z&74d<68?1C8;:4H516?!21k32=7)?j7;750>oa?3:1(98l:020?>i5?k0;6):9c;0;7>N3>k10qo=na;296?6=8r.?079K032<@=9>7):9c;:5?!7b?3?=86gi7;29 10d28:876a=7c83>!21k383?6F;6c98yg5fj3:1?7>50z&74d<382B?:95G4278 10d2:l0(290/8;m51118?j40j3:1(98l:3:0?>{e;hi1<7=50;2x 16f2;2;7E:94:J770=#:h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi9==50;194?6|,=:j69>4H547?M24=2.?:n4=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo;?4;296?6=8r.?079K032<@=9>7):9c;:5?!7b?3?=86gi7;29 10d28:876a=7c83>!21k383?6F;6c98yg37=3:1>7>50z&74d<68?1C8;:4H516?!21k32=7)?j7;750>oa?3:1(98l:020?>i5?k0;6):9c;0;7>N3>k10qo;?6;290?6=8r.?7):9c;04b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65ff`83>!21k3;;?65`26`94?"3>j094>54}c721?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c723?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c72=?6=<3:10D9=:;%65g?40n2.:i:4:659jb=<72->=o7??3:9jb<<72->=o7??3:9jbd<72->=o7??3:9l62d=83.?:n4=8298yg36i3:187>50z&74d<392B?:95G4278 10d2:l0(290/8;m51118?l`f290/8;m51118?j40j3:1(98l:3:0?>{e;?n1<7<50;2x 16f28:=7E:94:J770=#n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e;>91<7<50;2x 16f28:=7E:94:J770=#n:528L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c141?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c143?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=54;294~"38h094<5G4768L1523->=o7<8f:&2a2<2>=1bj54?:%65g?77;21bj44?:%65g?77;21bjl4?:%65g?77;21d>:l50;&72f<50:10qo=89;297?6=8r.?n:528L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c15b?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>7>73A>=86F;349'03e=:>l0(290/8;m51118?j40j3:1(98l:3:0?>{e;>;1<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=6=4={_36?85493l37p}>6;296~X6>278?h4i8:p52<72;qU=:523569b==z{821<7349><7h7;|q2e?6=:rT:m63<5b8e<>{t9k0;6?uQ1c9>761=n11v5<5sW;o70=:6;d;?xu6m3:1>vP>e:?1ac3ty9:7>52z\12>;4:o0m56s|2683>7}Y:>16?>m5f89~w7>=838pR?64=261>c?o523279b<=:;;21j45rs3a94?4|V;i01>;n:g;8yv4c2909wS7>58z\;6>;?=33o70=?9;d;?85>=3l<70=78;d;?837=3l<70=82;d4?850<3l37p}75;297~;?=38o:63:028e=>;4?<0m;6s|9583>44|V0>01>o;:9;897`b213018>?:9;89<`=001648479:?0427=6=n1168ok5f99>7=5=n>169==5f99~wd6=838p14h59e9>e4<5?k1v?98:181821=3l>70=n4;;6?xu5m?0;6?uQ2d4896422;=i7p}=e683>7}Y:l=01>7}Y:lh01>=7:35a?xu5mj0;6>uQ2da8Z7c>348m<7<8b:p6`b=838p1?kk:35a?84a83l37p}=ed83>7}::lo1>:l4=3ge>c?52z?1ac<5?k16>k>5f89~w7`62909wS2789i4=7c9~w7`?290>wS=84i7:p6c?=839pR?h6;<15`?`0349<:7h8;|q1b`<72;qU>kk4=3df>7b13ty9jk4?:3y>6cc=1m16?=8526`8yv5783:1>v3<01813g=:;9<1j45rs222>5<5s49;=7<8b:?0466k74}r130?6=:r78<94=7c9>750=nh1v>>::181857=38{t;9=1<7?6{<133?4c>27>?h4i9:?7bc?4i8:?066k4i8:?07554i8:?06<?l:g:8966>2;=i7p}<0`83>7}:;9=15i5231g962d52z?04g<5?k16?=j5f99~w66d2909w0=?c;04f>;48m0m56s|31f94?4|5::o6?9m;<13a?`>3ty875`=:m<01>>6:gc896?12o=01>67:g`890712o=01>9<:g58yv5683:1>v3<0g8:`>;49<09;o5rs232>5<5s49:=7<8b:?0564;d:?xu49:0;6?u2301962d<5:;>6k64}r120?6=:r78=94=7c9>743=nh1v>?9:181856>38o:63:198e3>{t;8=1<7=t=235>=?<5=hj6574=23;>71e3ty8=44?:3y>74?=:m<018?8:g58yv56i3:1>v3<188:`>;49o09;o5rs23a>5<5s49:n7<8b:?05ae;d:?xu49m0;6?u230f962d<5:;m6k64}r12a?6=:r78=h4=7c9>74`=n01v><>:181855:38{t;;81<771e349987h6;|q066<72;q6??:526`896422o30q~==7;296~;4:109;o5233`9b==z{:836=4={<11=?40j278>l4i9:p77?=838p1>7}:;;l1>:l4=211>c>52z?075<5?k16?>?5f89~w6572909w0=<1;04f>;4;;0m56s|32694?4|5:9>6?9m;<10760=:>h01>=8:g;8yv54>3:1>v3<36813g=:;:21j45rs21a>5<5s498o7<8b:?07cm50;0x965c2;=i70=713=n11v>:=:181853;38{t;=91<771e349?97h6;|q002<72;q6?96526`8962e2o20q~=;8;296~;4<009;o5235c9b<=z{:>26=4={<17e?40j2788o4i9:p71b=838p1>:j:35a?85293l37p}<4d83>7}:;=l1>:l4=273>c?52z?015<5?k16?8?5f89~w6342909w0=:4;04f>;4=>0m46s|34694?4|5:?>6?9m;<162?`>3ty8984?:3y>700=:>h01>;8:g;8yv5213:1>v3<5`813g=:;5<5s49>n7<8b:?01f;49;0m;63<038e3>;3jo0m;63<968e3>;40m0m463<888e3>;4?809;o5rs24g>5<5s49=h7<8b:?03=o0;6?u237d962d<5:=;6k74}r144?6=:r78;=4=7c9>727=n11v>9=:181850:38{t;>91<771e349<47hn;|q031<72;q6?::526`8960a2o20q~=85;296~;4?<09;o5236;9b==z{:==6=4={<142?40j278;44i9:p721=838p1>98:35a?85093l27p}<7983>7}:;>21>:l4=24f>c?52z?03<<5?k16?;h5f89~w61e290=w0=8b;0g2>;49<0m563<048e<>;3k;0m463<9`8e<>;4i10mm6s|36a94?2|5:=i64j4=25`>7b134>ih7h7;<1b72e=1m16?:j52e4891dc2o301>o7:g;8yv50m3:1;v3<7d81`3=:;8h1j4523019b==:;991j5524b29b<=:;021j55239g9b<=z{:=m6=4={<14a??c3493<7<8b:p7=7=83?p1>6>:3f5?85?83l270=?0;d;?82em3l270=74;d;?xu40;0;6?u23939=a=:;1?1>:l4}r1;7?6=:r784>4=7c9>7=2=n01v>6;:18185?<38{t;1<1<7;t=235>7=0=1m16?5o526`8yv5?03:1>v3<89813g=:;1k1j55rs2::>5<5s49357<8b:?06m:3f5?856l3l370:l0;d;?85f03li7p}<8b83>7}:;1h15i5239d962d52z?0b2909w0=7e;04f>;40o0m56s|38294??|5:3;6?j9;<13f?`>349:i7h7;<120?`?349;87h7;<6`7?`?3492n7h6;<1;`?`>349347h6;|q0=4<72;q6?4>59e9>7<5=:>h0q~=62;296~;41;09;o5238:9b<=z{:3?6=4={<1:0?40j278544i9:p7<3=838p1>7::35a?85>13l37p}<9783>7}:;0<1>:l4=2;:>cg52z?0=2<5?k16?4l5f`9~w6??2909w0=68;04f>;41h0m56s|38;94?4|5:326?9m;<1:f?`?3ty85l4?:3y>7h01>7<:g:8yv5>j3:1>v3<9c813g=:;091j45rs2c7>5<4s49j87o;:8f896g12;=i7p}7}:;h>15>523`a962d52z?0e=<5?k16?lm5f99~w6g>2909w0=n9;04f>;4ik0m46s|3`c94?4|5:kj6?9m;<1bf?`>3ty8mo4?:3y>7dd=:>h01>ol:g;8yv5fm3:18vP7d0=n016?l75f69>722=n01v>l8:18485e138;4980m;63<008e3>;3k80m;63<938e3>;40l0m46s|3c:94?4|5:h36?9m;<1a=?`>3ty8nl4?:4y]7gg<5:h26k64=2c5>c><5:kj6k94=24f>c>3o7>53z\7;3jh09h;5rs5``>5<5s4>im77k;<6`1?40j2wx8oj50;0x91dc2;=i70:l5;d;?xu3jl0;6?u24cg962d<5=i96k74}r6ab?6=:r7?nk4=7c9>0f5=n01v9m?:18182d838{t71e34>h87h6;|q7g7<72;q68n<526`891e22o30q~:l3;296~;3k:09;o524b79bd=z{=i?6=4={<6`0?40j27?o84ib:p0f0=838pR9m9;c1n47>52z\7a==:5<5sW>mm63;fc813g=z{=lh6=4={<6ef?`034>mj7<8b:p0cb=838p19hk:35a?82an3l37p};fd83>7}::l4=5de>cg52z\645=:=9:1>i84}r736?6=:r7><=46d:?643<5?k1v8><:181837;38{t=9>1<771e34?;:7h7;|q640<72;q69=;526`890612ok0q~;>4;296~X29=169v3:14813g=:=831j55rs435>5<5s4?::7<8b:?65<a;d;?xu2910;6?u250:962d<5<;j6ko4}r72=?6=:r7>=44=7c9>14g=n01v8<>:181[35927>>?4=7c9~w0432909wS;=4:?660<5?k1v8<8:181[35?27>?i4i7:p16?=838pR8=6;<70e?40j2wx9>l50;0x905f2o=018=j:35a?xu2;j0;6?u252a962d<5<9n6k64}r70`?6=:r7>?i4=7c9>16c=nh1v8;k:186[32l27>>84i8:?67f621npD9=:;%63e?7592.:i;47>5;n36`?6=3`>n47>5;h310?6=3`?>h7>5;h713?6=3f;8i7>5;n3`7?6=3`9>j7>5;n6g1?6=3f;>?7>5;n3ba?6=3f8n6=44o2a94?=h1<75m47794?7=83:p(9>n:g48L1033A>896*;6b8737=#9l=19;:4og794?"3>j094>54}c6b0?6==381:v*;0`8fa>N3>=1C8>;4$0g4>0033`386=44i8794?=n000;66g6d;29?j4c>3:17o=o7??3:9j=6<72->=o77<;:k:0?6=,=m?;:k03d<72->=o7=8a:9l6=5=83.?:n4=8298yg2113:1=7>50z&72f<3?;1d>5=50;&72f<50:10q~i852918yv?c2909wS7k;<0g2?77;2wx584?:3y]=0=::m<1?:o4}r;0>5<5sW3870vP79:?1`3<><2wxj;4?:3y>6a0=;j:01986:3:0?x{e;j21<7;52;4x 16f2lo0D98;;I601>"68<0n7)?j7;750>o>;3:17d7::188m=?=831b5i4?::m1`3<722h9h;4?:783>5}#j0:<>54i8194?"3>j02?65f9583>!21k33?76g!21k39h<65f36c94?"3>j08;l54o3:0>5<#5=4;|`72<<7280;6=u+47a9024;279h;463:p<<<72;qU44522e49=1=z{o<1<76e734>=57<73:~f6e=83?1>78t$52b>`c<@==1b5>4?::k:1?6=3`226=44i8f94?=h:m<1<75m2e494?0=83:p(98l:g38m464290/8;m51118?l?4290/8;m59298m<2=83.?:n464:9j7f6=83.?:n4{e{t1m0;6?uQ9e9>6a0=9990q~7::181[?2348o:7=8a:p=6<72;qU5>522e49=6=z{131<70q~h9:18184c>39h<63;6881<6=zuk3i6=4<:387!27i3oh7E:94:J770=#9l=19;:4i9;94?=n1m0;66a=d783>>d5l?0;684=:7y'05g=mo1/=h955768k7b12900e?j::188mm?:18'03e=;j:07d=8a;29 10d2:=j76a=8283>!21k383?65rb544>5<6290;w):9c;04a>i50=0;6):9c;0;7>=z{0n1<7>34om64:4}r;6>5<5sW3>70ki:25b?xu5l<0;6?uQ2e789``=;j:0q~=o7:82:&2a2<2>=1dj84?:%65g?4?;21v?j9:181[4c>279h;4=d79~w3227p}::18084c>38o963=d78:1>;52o?0(9=;:b9~yg42<3:1?7<54z&74di850;9a6a0=83?1>78t$52b>``<,8o<688;;n0g2?6=3`8o97>5;h;g>5<>dbn3:1:7>50z&72f4?:%65g?77;21b5>4?:%65g??432c287>5$54`><2<3`9h<7>5$54`>6e732c8;l4?:%65g?50i21d>5=50;&72f<50:10qo:97;295?6=8r.?:n4=7d9l6=2=83.?:n4=8298yv?c2909wS7k;4643ty357>52z\;=>;bn33?7p}65;296~X>=27nj7=8a:p6a3=838pR?j:;6e73ty9h;4?:3y]6a0<5ll1>5=4}r602?6=:r7nj77<;<653?4?<2wvn?4?:083>5}#<:>156*;0`8e2>"3>j0?;?5+1d5913252z\1`3=::m<1>i84}r;g>5<5sW3o70vP79:?1`353z?1`3<5l<16>i85949>6?`23->887m4}|`216<72<096;u+41c9a`=O0D9=:;%3f3?31<2c2?7>5;h;6>5<>i5l?0;66l=d783>3<729q/8;m5f09j555=83.?:n4>0298m<5=83.?:n463:9j=1<72->=o77;;:k0g5<72->=o7=l0:9j72g=83.?:n4<7`98k7>4290/8;m52918?xd3>00;6<4?:1y'03e=<>80c?6<:18'03e=:1907p}=d783>7}Y:m<01?j9:3:0?xu>l3:1>vP6d:?1`3<68:1v4;50;0xZ<3<5;n=6>9n;|q:7?6=:rT2?63=d78:7>{t000;6?uQ889>6a0=1=1vk850;0x97b12:i;70:99;0;7>{zj;o1<7=52;6x 16f2li0D98;;I601>"6m>0>:95f8883>>o>l3:17b3:197<56z&74di;50;9j=a<722c297>5;h::>5<<:18'03e=99907d7<:18'03e=1:10e4:50;&72f<><21b?n>50;&72f<4k910e>9n:18'03e=;>k07b<73;29 10d2;2876sm47594?7=83:p(98l:35f?j4?<3:1(98l:3:0?>{t1m0;6?uQ9e9>ac<68:1v5750;0xZ=?<5ll1595rs8794?4|V0?01hh536c8yv4c=3:1>vP=d49>ac<4k91v?j9:181[4c>27nj7<73:p060=838p1hh5929>031=:1>0qpl=:182>5<7s->88774$52b>c0<,=5$54`>7>432wx>i850;0xZ7b1348o:770:5c:~f4gb29086?4;{%63e?cd3A>=86F;349'5`1==?>0e5750;9j=a<722e9h;4?::`1`3<72<096;u+41c9ac=#9l=19;:4o3f5>5<6=44i8f94?=n1<0;66g79;29?gca290=6=4?{%65g?`63`;;?7>5$54`>46432c2?7>5$54`><5<3`3?6=4+47a9=1=5$54`>61f32e94>4?:%65g?4?;21vn988:182>5<7s->=o7<8e:m1<1<72->=o7<73:9~w0q~7::181[?234om6>9n;|q1`0<72;qU>i;4=dd97f652z\1`3=:mo094>5rs515>5<5s4om64=4=544>7>33twi>7>51;294~"3;=027):?a;d5?!21k3><>6*>e68621=hn<0;6):9c;0;7>=z{;n=6=4={_0g2>;5l?09h;5rs8f94?4|V0n01?j9:8f8yv>>2909wS66;<0g2?>>3ty>6=4<{<0g2?4c=279h;465:?1>c3<,=9?6n5r}c30a?6=;3818v*;0`8fg>N3>=1C8>;4$0g4>0033`226=44i8f94?=h:m<1<75m2e494?3=:3n:dd8 4c02<3:1o68:0;6):9c;337>=n1:0;6):9c;;0?>o><3:1(98l:868?l5d83:1(98l:2a3?>o4?h0;6):9c;14e>=h:191<7*;6b81<6==;7>51;294~"3>j09;h5`29694?"3>j094>54}r;g>5<5sW3o70ki:020?xu?13:1>vP79:?fb??33ty297>52z\:1>;bn3970ki:2a3?xu5l?0;6?uQ2e489``=:190q~:<6;296~;bn33870:97;0;0>{zj;0;6<4?:1y'062=12.?3:1>vP=d79>6a0=:m<0q~7k:181[?c348o:77k;|q;=?6=:rT3563=d78;=>{t=3:1?v3=d781`0=::m<158522;d6?!24<3i0qpl>c283>6<52=q/8=o5eb9K032<@=9>7)?j7;750>o?13:17d7k:188k7b12900n?j9:186>7<1s->;m7ki;%3f3?31<2e9h;4?::k1`0<722c2h7>5;h;6>5<3<729q/8;m5f09j555=83.?:n4>0298m<5=83.?:n463:9j=1<72->=o77;;:k0g5<72->=o7=l0:9j72g=83.?:n4<7`98k7>4290/8;m52918?xd3>>0;6<4?:1y'03e=:>o0c?6;:18'03e=:1907p}6d;296~X>l27nj7??3:p<<<72;qU4452eg8:0>{t1<0;6?uQ949>ac<4?h1v?j::181[4c=27nj7=l0:p6a0=838pR?j9;7>43ty??;4?:3y>ac<>;27?::4=859~yg4=83;1<7>t$517><=#<9k1j;5+47a9024<,8o<688;;nd6>5<#5=4;|q1`3<72;qU>i84=3f5>7b13ty2h7>52z\:`>;5l?02h6s|8883>7}Y0016>i85889~w0<72:q6>i852e7897b120?01?4i5:&7715;n0g2?6=3k8o:7>55;092~"38h0nj6*>e68621=h:m<1<75f2e794?=n1m0;66g65;29?l>>2900nhh50;494?6|,=5<#5<#54i8694?"3>j02865f3b294?"3>j08o=54i25b>5<#t$54`>71b3f8387>5$54`>7>432wx5i4?:3y]=a=:mo0:<>5rs9;94?4|V1301hh5959~w<3=838pR4;4=dd972g52z\1`0=:mo08o=5rs3f5>5<5sW8o:63jf;0;7>{t<:<1<75:4}|`1>5<6290;w):<4;;8 16f2o<0(98l:551?!7b?3?=86ai5;29 10d2;2876s|2e494?4|V;n=70{t1m0;6?uQ9e9>6a0=1m1v5750;0xZ=?<5;n=6574}r794?5|5;n=6?j:;<0g2??23481j85+4269g>{zj89o6=4<:387!27i3oh7E:94:J770=#9l=19;:4i9;94?=n1m0;66a=d783>>d5l?0;684=:7y'05g=mo1/=h955768k7b12900e?j::188mm?:18'03e=;j:07d=8a;29 10d2:=j76a=8283>!21k383?65rb544>5<6290;w):9c;04a>i50=0;6):9c;0;7>=z{0n1<7>34om64:4}r;6>5<5sW3>70ki:25b?xu5l<0;6?uQ2e789``=;j:0q~=o7:82:&2a2<2>=1dj84?:%65g?4?;21v?j9:181[4c>279h;4=d79~w3227p}::18084c>38o963=d78:1>;52o?0(9=;:b9~yg76k3:1?7<54z&74d3}#<9k1ik5+1d591325<>o?13:17oki:185>5<7s->=o7h>;h337?6=,=<;:k:7?6=,=5<#5<#4;h14e?6=,=
9n;:m1<6<72->=o7<73:9~f100290:6=4?{%65g?40m2e9494?:%65g?4?;21v4j50;0xZ5<5sW2270ki:868yv?22909wS7:;61f3ty9h84?:3y]6a3<5ll1?n>4}r0g2?6=:rT9h;52eg81<6=z{=9=6=4={<5<5=<<6?6;;|a6?6=93:1"6m>0>:95`f483>!21k383?65rs3f5>5<5sW8o:63=d781`3=z{0n1<7>348o:766;|q6>5<4s48o:7=2796k;4$517>f=zuk;ij7>55;092~"38h0ni6F;659K063<,8o<688;;h;0>5<>o>l3:17b3:1:7>50z&72f4?:%65g?77;21b5>4?:%65g??432c287>5$54`><2<3`9h<7>5$54`>6e732c8;l4?:%65g?50i21d>5=50;&72f<50:10qo:99;295?6=8r.?:n4;739l6=5=83.?:n4=8298yv4c>3:1>vP=d79>6a0=:190q~7k:181[?c348o:7??3:p=0<72;qU58522e4972g3387p}79;296~X?1279h;464:pb3<72;q6>i853b28910>2;287psm15d94?3=:3n:dg8L1033A>896*>e68621=n1:0;66g65;29?l>>2900e4j50;9l6a0=831i>i850;494?6|,=5<#5<#54i8694?"3>j02865f3b294?"3>j08o=54i25b>5<#t$54`>1153f83?7>5$54`>7>432wx>i850;0xZ7b1348o:7<73:p=a<72;qU5i522e495556=4={_;6?84c>397}Y1:16>i85929~w=?=838pR574=3f5><23:197<56z&74d5;c0g2?6=>3:1o68:0;6):9c;337>=n1:0;6):9c;;0?>o><3:1(98l:868?l5d83:1(98l:2a3?>o4?h0;6):9c;14e>=h:191<7*;6b81<6==57>51;294~"3>j0?;?5`29194?"3>j094>54}r0g2?6=:rT9h;522e496=53;;?6s|9483>7}Y1<16>i8536c8yv?42909wS7<;<0g2??43ty357>52z\;=>;5l?0286s|f783>7}::m<1?n>4=54:>7>43twi?k950;196?2|,=:j6hm4H547?M24=2.:<84j;%3f3?31<2c357>5;h;g>5<5<22;0=w):?a;ge?!7b?3?=86a=d783>>o5l<0;66g6d;29?l?22900e5750;9aac<72?0;6=u+47a9b4=n9991<7*;6b8246==n1=0;6):9c;;7?>o4k90;6):9c;1`4>=n;>k1<7*;6b803d=5}#:k4o3:7>5<#5=4;|q:`?6=:rT2h63jf;337>{t000;6?uQ889>ac<><2wx584?:3y]=0=:mo08;l5rs3f6>5<5sW8o963jf;1`4>{t:m<1<77}:mo02?63;6681<1=zuk81<7?50;2x 153201/8=o5f79'03e=<>80(l279h;46d:p<<<72;qU44522e49<<=z{<0;6>u22e496a3<5;n=64;4=38e1>"3;=0h7psm3g`94?5=:3>p(9>n:da8L1033A>896*>048f?!7b?3?=86g79;29?l?c2900c?j9:188f7b1290>6?49{%63e?ca3-;n;7;94:m1`3<722c9h84?::k:`?6=3`3>6=44i9;94?=emo0;6;4?:1y'03e=n81b===50;&72f<68:10e4=50;&72f<>;21b594?:%65g??332c8o=4?:%65g?5d821b?:o50;&72f<4?h10c?6<:18'03e=:1907pl;6683>4<729q/8;m526g8k7>3290/8;m52918?xu>l3:1>vP6d:?fb?77;2wx444?:3y]<<=:mo0286s|9483>7}Y1<16ik4<7`9~w7b22909wSi850;0xZ7b134om6?6<;|q773<72;q6ik463:?722<50=1vqo<50;394?6|,=9?645+41c9b3=#0033fl>6=4+47a96=5<3ty9h;4?:3y]6a0<5;n=6?j9;|q:`?6=:rT2h63=d78:`>{t000;6?uQ889>6a0=001v84?:2y>6a0=:m?01?j9:87897t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi8i850;094?6|,=:j6<>9;I650>N3;<1/8;m5879'5`1==?>0ek950;&72f<68:10c?9m:18'03e=:190D98m;:a507=8381<7>t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi=n?50;094?6|,=:j6<>9;I650>N3;<1/8;m5879'5`1==?>0ek950;&72f<68:10c?9m:18'03e=:190D98m;:a0d1=8381<7>t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi?h4?:283>5}#<9k1>5>4H547?M24=2.?:n4=7g9'5`1==?>0ek650;&72f<68:10ek750;&72f<68:10c?9m:18'03e=:1907pl;0983>7<729q/8=o51148L1033A>896*;6b8;2>"6m>0>:95ff683>!21k3;;?65`26`94?"3>j094>5G47`8?xd3800;6>4?:1y'05g=<91C8;:4H516?!21k39m7)?j7;750>oa03:1(98l:020?>oa13:1(98l:020?>i5?k0;6):9c;0;7>=zj=n26=4<:183!27i3>;7E:94:J770=#5}#<9k1==84H547?M24=2.?:n476:&2a2<2>=1bj:4?:%65g?77;21d>:l50;&72f<50:1C8;l4;|`7`g<72;0;6=u+41c9550<@=2.:i:4:659jb2<72->=o7??3:9l62d=83.?:n4=829K03d<3th?hn4?:283>5}#<9k18=5G4768L1523->=o7=i;%3f3?31<2cm47>5$54`>46432cm57>5$54`>46432e9;o4?:%65g?4?;21vn9jk:180>5<7s->;m7:?;I650>N3;<1/8;m53g9'5`1==?>0ek650;&72f<68:10ek750;&72f<68:10c?9m:18'03e=:1907pl>5g83>6<729q/8=o5419K032<@=9>7):9c;1e?!7b?3?=86gi8;29 10d28:876gi9;29 10d28:876a=7c83>!21k383?65rb043>5<5290;w):?a;332>N3>=1C8>;4$54`>=0<,8o<688;;hd4>5<#=zj8<:6=4<:183!27i3>;7E:94:J770=#5}#<9k1==84H547?M24=2.?:n476:&2a2<2>=1bj:4?:%65g?77;21d>:l50;&72f<50:1C8;l4;|`0bc<72:0;6=u+41c96=6<@==83.?:n4>0298mc?=83.?:n4>0298k71e290/8;m52918?xd3890;6>4?:1y'05g=<91C8;:4H516?!21k39m7)?j7;750>oa03:1(98l:020?>oa13:1(98l:020?>i5?k0;6):9c;0;7>=zj=::6=4=:183!27i3;;:6F;659K063<,=0033`l<6=4+47a9555<3f85$54`>7>43A>=n65rb521>5<5290;w):?a;332>N3>=1C8>;4$54`>=0<,8o<688;;hd4>5<#=zj=:86=4<:183!27i3>;7E:94:J770=#5}#<9k18=5G4768L1523->=o7=i;%3f3?31<2cm47>5$54`>46432cm57>5$54`>46432e9;o4?:%65g?4?;21vn9>::180>5<7s->;m7<70:J721=O<:?0(98l:35e?!7b?3?=86gi8;29 10d28:876gi9;29 10d28:876a=7c83>!21k383?65rb525>5<4290;w):?a;63?M21<2B??85+47a97c=#9l=19;:4ig:94?"3>j0:<>54ig;94?"3>j0:<>54o35a>5<#5=4;|`0b`<72:0;6=u+41c96=6<@==83.?:n4>0298mc?=83.?:n4>0298k71e290/8;m52918?xd4n00;6>4?:1y'05g=<91C8;:4H516?!21k39m7)?j7;750>oa03:1(98l:020?>oa13:1(98l:020?>i5?k0;6):9c;0;7>=zj:lj6=4<:183!27i383<6F;659K063<,=5$54`>46432cm57>5$54`>46432e9;o4?:%65g?4?;21vn9o6:180>5<7s->;m7:?;I650>N3;<1/8;m53g9'5`1==?>0ek650;&72f<68:10ek750;&72f<68:10c?9m:18'03e=:1907pl;a`83>7<729q/8=o51148L1033A>896*;6b8;2>"6m>0>:95ff683>!21k3;;?65`26`94?"3>j094>5G47`8?xd3ik0;6>4?:1y'05g=:1:0D98;;I601>"3>j09;k5+1d591325}#<9k18=5G4768L1523->=o7=i;%3f3?31<2cm47>5$54`>46432cm57>5$54`>46432e9;o4?:%65g?4?;21vn<;9:181>5<7s->;m7??6:J721=O<:?0(98l:948 4c02<2B?:95G4278 10d21<0(5<7s->;m7:?;I650>N3;<1/8;m53g9'5`1==?>0ek650;&72f<68:10ek750;&72f<68:10c?9m:18'03e=:1907pl>5883>6<729q/8=o52928L1033A>896*;6b813c=#9l=19;:4ig:94?"3>j0:<>54ig;94?"3>j0:<>54o35a>5<#5=4;|`226<72:0;6=u+41c905=O0D9=:;%65g?5a3-;n;7;94:ke<;:ke=?6=,=<;:m13g<72->=o7<73:9~f40>29096=4?{%63e?77>2B?:95G4278 10d21<0(5<7s->;m7??6:J721=O<:?0(98l:948 4c02<=86F;349'03e=;o1/=h955768mc>=83.?:n4>0298mc?=83.?:n4>0298k71e290/8;m52918?xd6>j0;6>4?:1y'05g=<91C8;:4H516?!21k39m7)?j7;750>oa03:1(98l:020?>oa13:1(98l:020?>i5?k0;6):9c;0;7>=zj80033`l<6=4+47a9555<3f85$54`>7>43A>=n65rb04f>5<5290;w):?a;332>N3>=1C8>;4$54`>=0<,8o<688;;hd4>5<#=zj80033`l<6=4+47a9555<3f85$54`>7>43A>=n65rb053>5<4290;w):?a;0;4>N3>=1C8>;4$54`>71a3-;n;7;94:ke<;:ke=?6=,=<;:m13g<72->=o7<73:9~f40329086=4?{%63e?273A>=86F;349'03e=;o1/=h955768mc>=83.?:n4>0298mc?=83.?:n4>0298k71e290/8;m52918?xd6><0;6>4?:1y'05g=<91C8;:4H516?!21k39m7)?j7;750>oa03:1(98l:020?>oa13:1(98l:020?>i5?k0;6):9c;0;7>=zj8<=6=4<:183!27i383<6F;659K063<,=5$54`>46432cm57>5$54`>46432e9;o4?:%65g?4?;21vn<88:187>5<7s->;m7:>;I650>N3;<1/8;m53g9'5`1==?>0ek650;&72f<68:10ek750;&72f<68:10eko50;&72f<68:10c?9m:18'03e=:1907pl>6983>6<729q/8=o52928L1033A>896*;6b813c=#9l=19;:4ig:94?"3>j0:<>54ig;94?"3>j0:<>54o35a>5<#5=4;|`2g0<72:0;6=u+41c905=O0D9=:;%65g?5a3-;n;7;94:ke<;:ke=?6=,=<;:m13g<72->=o7<73:9~f4ed29096=4?{%63e?77>2B?:95G4278 10d21<0(5<7s->;m7??6:J721=O<:?0(98l:948 4c02<2B?:95G4278 10d21<0(5<7s->;m7??6:J721=O<:?0(98l:948 4c02<2B?:95G4278 10d21<0(:181>5<7s->;m7??6:J721=O<:?0(98l:948 4c02<2B?:95G4278 10d21<0(5<7s->;m7<70:J721=O<:?0(98l:35e?!7b?3?=86gi8;29 10d28:876gi9;29 10d28:876a=7c83>!21k383?65rb0a5>5<4290;w):?a;63?M21<2B??85+47a97c=#9l=19;:4ig:94?"3>j0:<>54ig;94?"3>j0:<>54o35a>5<#5=4;|`2g2<72=0;6=u+41c904=O0D9=:;%65g?5a3-;n;7;94:ke<;:ke=?6=,=<;:kee?6=,=<;:m13g<72->=o7<73:9~f4e?29086=4?{%63e?273A>=86F;349'03e=;o1/=h955768mc>=83.?:n4>0298mc?=83.?:n4>0298k71e290/8;m52918?xd6k00;6>4?:1y'05g=:1:0D98;;I601>"3>j09;k5+1d591325}#<9k18<5G4768L1523->=o7=i;%3f3?31<2cm47>5$54`>46432cm57>5$54`>46432cmm7>5$54`>46432e9;o4?:%65g?4?;21vn5<7s->;m7<70:J721=O<:?0(98l:35e?!7b?3?=86gi8;29 10d28:876gi9;29 10d28:876a=7c83>!21k383?65rb05;>5<4290;w):?a;63?M21<2B??85+47a97c=#9l=19;:4ig:94?"3>j0:<>54ig;94?"3>j0:<>54o35a>5<#5=4;|`23<<72:0;6=u+41c96=6<@==83.?:n4>0298mc?=83.?:n4>0298k71e290/8;m52918?xd6?h0;6?4?:1y'05g=99<0D98;;I601>"3>j03:6*>e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=O7c83>7<729q/8=o51148L1033A>896*;6b8;2>"6m>0>:95ff683>!21k3;;?65`26`94?"3>j094>5G47`8?xd6?j0;6>4?:1y'05g=<91C8;:4H516?!21k39m7)?j7;750>oa03:1(98l:020?>oa13:1(98l:020?>i5?k0;6):9c;0;7>=zj8=o6=4<:183!27i3>;7E:94:J770=#5}#<9k18<5G4768L1523->=o7=i;%3f3?31<2cm47>5$54`>46432cm57>5$54`>46432cmm7>5$54`>46432e9;o4?:%65g?4?;21vn5<7s->;m7:?;I650>N3;<1/8;m53g9'5`1==?>0ek650;&72f<68:10ek750;&72f<68:10c?9m:18'03e=:1907pl>b683>7<729q/8=o51148L1033A>896*;6b8;2>"6m>0>:95ff683>!21k3;;?65`26`94?"3>j094>5G47`8?xd6j10;6?4?:1y'05g=99<0D98;;I601>"3>j03:6*>e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=Ob883>6<729q/8=o52928L1033A>896*;6b813c=#9l=19;:4ig:94?"3>j0:<>54ig;94?"3>j0:<>54o35a>5<#5=4;|`2fd<72;0;6=u+41c9550<@=2.:i:4:659jb2<72->=o7??3:9l62d=83.?:n4=829K03d<3th:no4?:383>5}#<9k1==84H547?M24=2.?:n476:&2a2<2>=1bj:4?:%65g?77;21d>:l50;&72f<50:1C8;l4;|`2ff<72;0;6=u+41c9550<@=2.:i:4:659jb2<72->=o7??3:9l62d=83.?:n4=829K03d<3th:ni4?:283>5}#<9k18=5G4768L1523->=o7=i;%3f3?31<2cm47>5$54`>46432cm57>5$54`>46432e9;o4?:%65g?4?;21vn5<7s->;m7??6:J721=O<:?0(98l:948 4c02<=86F;349'03e=;o1/=h955768mc>=83.?:n4>0298mc?=83.?:n4>0298mcg=83.?:n4>0298k71e290/8;m52918?xd6j;0;694?:1y'05g=<81C8;:4H516?!21k39m7)?j7;750>oa03:1(98l:020?>oa13:1(98l:020?>oai3:1(98l:020?>i5?k0;6):9c;0;7>=zj8h86=4<:183!27i3>;7E:94:J770=#5}#<9k1>5>4H547?M24=2.?:n4=7g9'5`1==?>0ek650;&72f<68:10ek750;&72f<68:10c?9m:18'03e=:1907pl>b483>6<729q/8=o5419K032<@=9>7):9c;1e?!7b?3?=86gi8;29 10d28:876gi9;29 10d28:876a=7c83>!21k383?65rb0`5>5<3290;w):?a;0;5>N3>=1C8>;4$54`>71a3-;n;7;94:ke<;:ke=?6=,=<;:kee?6=,=<;:m13g<72->=o7<73:9~f42729086=4?{%63e?273A>=86F;349'03e=;o1/=h955768mc>=83.?:n4>0298mc?=83.?:n4>0298k71e290/8;m52918?xd6<>0;6?4?:1y'05g=99<0D98;;I601>"3>j03:6*>e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=O4983>7<729q/8=o51148L1033A>896*;6b8;2>"6m>0>:95ff683>!21k3;;?65`26`94?"3>j094>5G47`8?xd6<00;6>4?:1y'05g=:1:0D98;;I601>"3>j09;k5+1d591325}#<9k1==84H547?M24=2.?:n476:&2a2<2>=1bj:4?:%65g?77;21d>:l50;&72f<50:1C8;l4;|`20g<72;0;6=u+41c9550<@=2.:i:4:659jb2<72->=o7??3:9l62d=83.?:n4=829K03d<3th:8n4?:383>5}#<9k1==84H547?M24=2.?:n476:&2a2<2>=1bj:4?:%65g?77;21d>:l50;&72f<50:1C8;l4;|`20a<72:0;6=u+41c905=O0D9=:;%65g?5a3-;n;7;94:ke<;:ke=?6=,=<;:m13g<72->=o7<73:9~f42b29096=4?{%63e?77>2B?:95G4278 10d21<0(:187>5<7s->;m7:>;I650>N3;<1/8;m53g9'5`1==?>0ek650;&72f<68:10ek750;&72f<68:10eko50;&72f<68:10c?9m:18'03e=:1907pl>4383>1<729q/8=o5409K032<@=9>7):9c;1e?!7b?3?=86gi8;29 10d28:876gi9;29 10d28:876gia;29 10d28:876a=7c83>!21k383?65rb060>5<4290;w):?a;63?M21<2B??85+47a97c=#9l=19;:4ig:94?"3>j0:<>54ig;94?"3>j0:<>54o35a>5<#5=4;|`201<72:0;6=u+41c96=6<@==83.?:n4>0298mc?=83.?:n4>0298k71e290/8;m52918?xd6<<0;6>4?:1y'05g=<91C8;:4H516?!21k39m7)?j7;750>oa03:1(98l:020?>oa13:1(98l:020?>i5?k0;6):9c;0;7>=zj8>=6=4;:183!27i383=6F;659K063<,=5$54`>46432cm57>5$54`>46432cmm7>5$54`>46432e9;o4?:%65g?4?;21vn>mn:181>5<7s->;m7??6:J721=O<:?0(98l:948 4c02<2B?:95G4278 10d21<0(ml:181>5<7s->;m7??6:J721=O<:?0(98l:948 4c02<2B?:95G4278 10d21<0(mj:180>5<7s->;m7:?;I650>N3;<1/8;m53g9'5`1==?>0ek650;&72f<68:10ek750;&72f<68:10c?9m:18'03e=:1907pl6<729q/8=o52928L1033A>896*;6b813c=#9l=19;:4ig:94?"3>j0:<>54ig;94?"3>j0:<>54o35a>5<#5=4;|`0`5<72=0;6=u+41c904=O0D9=:;%65g?5a3-;n;7;94:ke<;:ke=?6=,=<;:kee?6=,=<;:m13g<72->=o7<73:9~f6b629086=4?{%63e?4?82B?:95G4278 10d2;=m7)?j7;750>oa03:1(98l:020?>oa13:1(98l:020?>i5?k0;6):9c;0;7>=z{;o1<734;?o7h8;<37`?`>349ho7h8;|q0`?6=:r78o77<;<1f>71e3ty3>7>5az\;6>;4k102h63>528:`>;3i>0m;63>5g8e=>;3890m563;a`8e3>;6=>0m;63>798e<>;4km0m;6s|9583>45|V0>019o;:9;896e?21301>m5889>=g>34;8i766;<3`7?>>34;>h766;<30`?>>34;:o766;<3ab?>>34;?j766;<342?>>349m;766;<1ef?>>3ty2n7>52z?:f?4c>278i7h7;|q:g?6=:r72n77k;<63=?40j2wx==750;0xZ46>34;:o77k;|q25f<72h6:g;8940>2o=01vP>1e9>0d?=n01v<<;:185[75<27?h44i8:?21c56b=:m<01<;::g:8yv74m3:18vP>3d9>56c=:m<01<:?:g:894262o30q~?47813g=z{8>;6=4={<374?40j27:8;4i9:p517=838p1<:>:35a?873<3l37p}>4383>7}:9=81>:l4=067>c?52z?206<5?k16=985f`9~w4232909w0?;4;04f>;6<<0m46s|15794?4|58>>6?9m;<372?`?3ty:8:4?:3y>511=:>h01<:>:g:8yv7303:1>v3>49813g=:9=;1jl5rs06:>5<5s4;?57<8b:?20796ko4}r37g?6=:r7:8n4=7c9>513=n01v<:k:181873l38428e<>{t9=o1<771e34;??7h6;|q20c<72;q6=9h52e48942c2o20q~?:0;296~;650813g=z{8?86=4={_367>;6=:09h;5rs077>5<5s4;>?77<;<36=?40j2wx=8;50;0x94322;=i70?:8;d;?xu6=?0;6?u2144962d<58?36k74}r363?6=:r7:9:4=7c9>50?=n01v<;7:181872038588e<>{t93l<70?8c;d;?85dn3l37p}>5c83>7}Y9uQ14f8943c2;n=70?93;d;?xu6=l0;6:u2173962d<58c?<58h<6k94=0`:>c?<58>36k94=06:>c?j7>52z?21c<5?k16=;?5f99~w4072909w0?90;04f>;6>80m56s|17094?4|58?o64j4=04;>71e3ty::>4?:3y>535=:>h01<8::g:8yv71<3:1>v3>65813g=:9?<1j45rs046>5<5s4;=97<8b:?22=>0;6?u2175962d<58<36k74}r35=?6=:r7::44=7c9>526=n11v<8n:181871i38718e=>{t9?h1<771e34;=:7h7;|q22f<72;q6=;m526`894032o20q~?9d;296~;6>m09;o521769b<=z{87183>7}:9>:1>:l4=046>c?53z?233<5l?16=;l5f89>5fc=n>1v<98:1818700387d8e=>{t9>21<771e34;ad83>1}Y9ho01:l4}r3a4?6=:r7:n=4=7c9>5g0=n01v:18187e938b58e<>{t9k81<771e34;i87h6;|q2f6<72;q6=o=526`894d12ok0q~?m4;296~;6j=09;o521c79b==z{8h>6=4={<3a1?40j27:n;4i8:p5g1=838p1b983>7}:9k21>:l4=0`2>cg52z?2f<<5?k16=o<5f89~w4df2909w0?ma;04f>;6j;0m46s|1c`94?4|58hi6?9m;<3a6?`f3ty:nn4?:3y>5ge=:>h01v3>be813g=:9k91j55rs0`f>5<5s4;ii7<8b:?2f6:l4}r3`7?6=;rT:o>521b196a0<58i>6k64}r3`0?6=:r7:o>46d:?2gg<5?k1vc98e<>{t9j<1<771e34;h57h7;|q2g2<72;q6=n9526`894e>2o30q~?l8;296~;6k109;o521b`9b==z{8i26=4={<3`=?40j27:ol4i9:p5fg=838p1cb83>7}:9ji1>:l4=0f0>c>52z?2ga<5?k16=i=5f89~w4eb2909w0?le;04f>;6k?0m56s|1bd94?4|58im6?9m;<3`3?`?3ty:h=4?:3y>5a6=:>h01v3>d0813g=:9jk1j55rs0f1>5<5s4;o>7<8b:?2gd;5==09h;521cd9=6=:9=l15>523d8e=>{t:71e3ty9;:4?:9y>033=n<168l:5949>7f>=1<16?n465:?216<>=27:nk465:?20c<>=27:;;465:p70`=838pR>;i;<6gf?`03ty8o54?:7y>7f>=:m<01<8?:g5891662o=019o6:g:894322o301<9m:g58yv5d13:1>v3;4l809;o5rs2ab>5<5s49hm7<8b:?0g`7a7=n01v>mj:18185dm38{t;jl1<771e349o<7h7;|q0`5<72;q6?i>526`896b62o20q~=i7;2955}:;o=1>i84=52;>c1<5=:96k94=2d:>c><58c1<58io6k94=0f2>c1<58=26k74=0`3>c?<58hi6k94=0`f>c1<58>;6k74=06a>c1<58>n6k94=2aa>c152z?0b2<>l278jl4=7c9~w6`>2909w0=i9;04f>;4nh0m56s|3g`94?77s48n64j4=2da>7b134>;57h7;<1eb?`>34>;?7h7;<1ee?`?34;=?7h6;<35b?`034;h97h6;<3g6?`034;<57h7;<3a7cd=1m16?kk526`8yv5al3:1>v35<5s49mj7<8b:?74050;0x91672;=i70:?4;d;?xu3880;6?u2413962d<5=:?6k74}r636?6=:r7?050=n01v9><:181827;38{t<9>1<771e34>;97h6;|q740<72;q68=;526`891612o20q~:?6;296~;38?09;o523gg9b<=z{=:<6=4={<630d1=:>h0q~:n8;296~;3i=02?63;ac813g=z{=k26=4={<6b=?40j27?mo4i8:p0dg=838p19on:35a?82fj3l27p};d483>7}Y:l4}r6g=?6=:r7?h44=7c9>0ab=n11v9jn:18182ci38{t71e34>oo7h6;|q7`f<72;q68im526`891bc2o30q~:j8;297~X3m116=8?5f69>51g=n>1v8<8:180[35?27:o<4i7:?2fd34;=o7h6;<3g4?`03twi85>50;f951<6mrB??85+41c9b1=#9l<1?k84o477>5<5<5<>o5l>0;66g=f983>>o4=o0;66g>0183>>o5nl0;66g>5283>>d3k?0;6>4=:5y'05g=mj1C8;:4H516?!7b?3?=86g79;29?l?c2900c?j9:188f7b1290>6?49{%63e?ca3-;n;7;94:m1`3<722c9h84?::k:`?6=3`3>6=44i9;94?=emo0;6;4?:1y'03e=n81b===50;&72f<68:10e4=50;&72f<>;21b594?:%65g??332c8o=4?:%65g?5d821b?:o50;&72f<4?h10c?6<:18'03e=:1907pl;6683>4<729q/8;m526g8k7>3290/8;m52918?xu>l3:1>vP6d:?fb?77;2wx444?:3y]<<=:mo0286s|9483>7}Y1<16ik4<7`9~w7b22909wSi850;0xZ7b134om6?6<;|q773<72;q6ik463:?722<50=1vqo<50;394?6|,=9?645+41c9b3=#0033fl>6=4+47a96=5<3ty9h;4?:3y]6a0<5;n=6?j9;|q:`?6=:rT2h63=d78:`>{t000;6?uQ889>6a0=001v84?:2y>6a0=:m?01?j9:878970D9=:;%331?c<,8o<688;;h::>5<3:17d56;294~"3>j0m=6g>0283>!21k3;;?65f9283>!21k33876g64;29 10d20>07d=l0;29 10d2:i;76g<7`83>!21k39j094>54}c653?6=93:11<7*;6b81<6={t:m?1<77}Y:m<01hh52918yv24>3:1>v3jf;;0?821?38386srb383>4<729q/8>:59:&74d2.?:n4;739'5`1==?>0ck;50;&72f<50:10q~i852e48yv?c2909wS7k;<0g2??c3ty357>52z\;=>;5l?0356s|5;297~;5l?09h8522e49=0=::3l>7):<4;a8yxd2==0;694=:4y'05g=n91C8;:4H516?k`5281/=h955768m=?=831b5i4?::k1`0<722e9h;4?::`1`3<72<096;u+41c9ac=#9l=19;:4o3f5>5<6=44i8f94?=n1<0;66g79;29?gca290=6=4?{%65g?`63`;;?7>5$54`>46432c2?7>5$54`><5<3`3?6=4+47a9=1=5$54`>61f32e94>4?:%65g?4?;21vn988:182>5<7s->=o7<8e:m1<1<72->=o7<73:9~w0q~7::181[?234om6>9n;|q1`0<72;qU>i;4=dd97f652z\1`3=:mo094>5rs515>5<5s4om64=4=544>7>33twi=7>51;294~"3;=037):?a;d5?!21k3><>6*>e68621=hn<0;6):9c;0;7>=z{;n=6=4={_0g2>;5l?09h;5rs3f6>5<5sW8o963=d781`0=z{0n1<7>348o:766;|q7>5<5s48o:77:;<39b0=#<:>1n6srb9194?4=83:p(9>n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e0=0;6>4?:1y'05g=:1:0D98;;I601>"3>j09;k5+1d5913252;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c6g4?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=o7>52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c64>5<5290;w):?a;332>N3>=1C8>;4$54`>=0<,8o<688;;hd4>5<#=zj=ih6=4<:183!27i3>;7E:94:J770=#5}#<9k1==84H547?M24=2.?:n476:&2a2<2>=1bj:4?:%65g?77;21d>:l50;&72f<50:1C8;l4;|`7g`<72:0;6=u+41c905=O0D9=:;%65g?5a3-;n;7;94:ke<;:ke=?6=,=<;:m13g<72->=o7<73:9~f03f290?6=4?{%63e?263A>=86F;349'03e=;o1/=h955768mc>=83.?:n4>0298mc?=83.?:n4>0298mcg=83.?:n4>0298k71e290/8;m52918?xd41m0;6>4?:1y'05g=<91C8;:4H516?!21k39m7)?j7;750>oa03:1(98l:020?>oa13:1(98l:020?>i5?k0;6):9c;0;7>=zj:3n6=4=:183!27i3;;:6F;659K063<,=0033`l<6=4+47a9555<3f85$54`>7>43A>=n65rb2;e>5<4290;w):?a;0;4>N3>=1C8>;4$54`>71a3-;n;7;94:ke<;:ke=?6=,=<;:m13g<72->=o7<73:9~f6g729086=4?{%63e?273A>=86F;349'03e=;o1/=h955768mc>=83.?:n4>0298mc?=83.?:n4>0298k71e290/8;m52918?xd4i80;6>4?:1y'05g=:1:0D98;;I601>"3>j09;k5+1d591325}#<9k18=5G4768L1523->=o7=i;%3f3?31<2cm47>5$54`>46432cm57>5$54`>46432e9;o4?:%65g?4?;21vn>o<:180>5<7s->;m7<70:J721=O<:?0(98l:35e?!7b?3?=86gi8;29 10d28:876gi9;29 10d28:876a=7c83>!21k383?65rs5494?4|5=<1>i84=969b==z{=21<709;o5rs5;94?4|5=<15i524`813g=z{181<7:t^9089=2=:>h019ml:g:8903f2o30q~6<:1818>42;=i706;:g;8yvcf290?wSkn;<6`2?>>34?>8766;<64>c153z\245=:;4i:0m46s|14c94?4|V8?j70=6e;d4?xu6=k0;6?uQ14`896?a2o20q~16?l<5f99~w7`?2909wSkk50;0xZ7`b3492h7h6;|q01c<72;qU?8h4=2c2>c>53z?7gf9l4i8:?0e6<5?k1v>7k:18185>l38{t;0o1<771e3492j7h6;|q0=c<72;q6?4h526`896g72o30q~=n0;296~;4i909;o523`39b<=z{:k:6=4={<1b5?40j278m?4i9:p7d4=838p1>o=:35a?85f;3l27p};8b83>1}Y<1i018;;:3f6?8>42o=019o5f69~w1e12909wS:l6:?7g3<5l?1v9mm:18182c83l<70:le;04f>{t71e34>hi7h7;|q7ga<72;q68nj526`891eb2o30q~:lf;296~;3k?02h63;d1813g=z{;2==09h;5rs47:>5<5s4?>o7h8;<76e?40j2wx98l50;0x903320n018;l:35a?x{e<1;1<7?9:c;9g0}O<:?0(9>n:003?!7b>39m:6a>1e83>>o2==0;66a;9383>>o3k?0;66a>0883>>ofk3:17d;>c;29?j74:3:17d7?:188m43c2900e5o50;9l0`>=831b=8<50;9j3d<722e>>:4?::k2g7<722c:o>4?::k7e6<722e?h<4?::m7``<722e9i7>5;n70b?6=3k>=97>51;294~"38h0m:6F;659K063<,=5$54`>7>432wi9>h50;796?0|,=:j6hk4H547?M24=2.:i:4:659j=6<722c297>5;h::>5<<:18'03e=99907d7<:18'03e=1:10e4:50;&72f<><21b?n>50;&72f<4k910e>9n:18'03e=;>k07b<73;29 10d2;2876sm47;94?7=83:p(98l:551?j4?;3:1(98l:3:0?>{t:m<1<7383?6s|9e83>7}Y1m16>i851118yv?22909wS7:;<0g2?50i2wx5>4?:3y]=6=::m<15>5rs9;94?4|V1301?j9:868yv`12909w0;3>0094>5r}ca5>5<42;0?w):?a;g`?M21<2B??85+1d59132>i5l?0;66l=d783>0<52?q/8=o5eg9'5`1==?>0c?j9:188m7b22900e4j50;9j=0<722c357>5;cge>5<1290;w):9c;d2?l77;3:1(98l:020?>o>;3:1(98l:818?l?3290/8;m59598m6e7290/8;m53b28?l50i3:1(98l:25b?>i50:0;6):9c;0;7>=zj=<<6=4>:183!21k38!21k383?65rs8f94?4|V0n01hh51118yv>>2909wS66;<26=4={_;6?8ca2:=j7p}=d483>7}Y:m?01hh53b28yv4c>3:1>vP=d79>ac<50:1v9=9:1818ca20901988:3:7?x{e:3:1=7>50z&771<>3->;m7h9;%65g?20:2.:i:4:659lb0<72->=o7<73:9~w7b12909wS5<5sW2270;5l?02963=:g78 1532j1vqom=:180>7<3s->;m7kl;I650>N3;<1/=h955768m=?=831b5i4?::m1`3<722h9h;4?:481>3}#<9k1ik5+1d591325<>o?13:17oki:185>5<7s->=o7h>;h337?6=,=<;:k:7?6=,=5<#5<#4;h14e?6=,=
9n;:m1<6<72->=o7<73:9~f100290:6=4?{%65g?40m2e9494?:%65g?4?;21v4j50;0xZ5<5sW2270ki:868yv?22909wS7:;61f3ty9h84?:3y]6a3<5ll1?n>4}r0g2?6=:rT9h;52eg81<6=z{=9=6=4={<5<5=<<6?6;;|a6?6=93:1"6m>0>:95`f483>!21k383?65rs3f5>5<5sW8o:63=d781`3=z{0n1<7>348o:766;|q6>5<4s48o:7=2796k;4$517>f=zukhn6=4<:387!27i3oh7E:94:J770=#9l=19;:4i9;94?=n1m0;66a=d783>>d5l?0;684=:7y'05g=mo1/=h955768k7b12900e?j::188mm?:18'03e=;j:07d=8a;29 10d2:=j76a=8283>!21k383?65rb544>5<6290;w):9c;04a>i50=0;6):9c;0;7>=z{0n1<7>34om64:4}r;6>5<5sW3>70ki:25b?xu5l<0;6?uQ2e789``=;j:0q~=o7:82:&2a2<2>=1dj84?:%65g?4?;21v?j9:181[4c>279h;4=d79~w3227p}::18084c>38o963=d78:1>;52o?0(9=;:b9~ygd329086?4;{%63e?cd3A>=86F;349'5`1==?>0e5750;9j=a<722e9h;4?::`1`3<72<096;u+41c9ac=#9l=19;:4o3f5>5<6=44i8f94?=n1<0;66g79;29?gca290=6=4?{%65g?`63`;;?7>5$54`>46432c2?7>5$54`><5<3`3?6=4+47a9=1=5$54`>61f32e94>4?:%65g?4?;21vn988:182>5<7s->=o7<8e:m1<1<72->=o7<73:9~w0q~7::181[?234om6>9n;|q1`0<72;qU>i;4=dd97f652z\1`3=:mo094>5rs515>5<5s4om64=4=544>7>33twi>7>51;294~"3;=027):?a;d5?!21k3><>6*>e68621=hn<0;6):9c;0;7>=z{;n=6=4={_0g2>;5l?09h;5rs8f94?4|V0n01?j9:8f8yv>>2909wS66;<0g2?>>3ty>6=4<{<0g2?4c=279h;465:?1>c3<,=9?6n5r}c0f>5<42;0?w):?a;g`?M21<2B??85+1d59132>i5l?0;66l=d783>0<52?q/8=o5eg9'5`1==?>0c?j9:188m7b22900e4j50;9j=0<722c357>5;cge>5<1290;w):9c;d2?l77;3:1(98l:020?>o>;3:1(98l:818?l?3290/8;m59598m6e7290/8;m53b28?l50i3:1(98l:25b?>i50:0;6):9c;0;7>=zj=<<6=4>:183!21k38!21k383?65rs8f94?4|V0n01hh51118yv>>2909wS66;<26=4={_;6?8ca2:=j7p}=d483>7}Y:m?01hh53b28yv4c>3:1>vP=d79>ac<50:1v9=9:1818ca20901988:3:7?x{e:3:1=7>50z&771<>3->;m7h9;%65g?20:2.:i:4:659lb0<72->=o7<73:9~w7b12909wS5<5sW2270;5l?02963=:g78 1532j1vqo??9;297?4=>2900e4j50;9l6a0=831i>i850;796?0|,=:j6hh4$0g4>0033f8o:7>5;h0g1?6=3`3o6=44i8794?=n000;66ljf;292?6=8r.?:n4i1:k246<72->=o7??3:9j=6<72->=o77<;:k:0?6=,=m?;:k03d<72->=o7=8a:9l6=5=83.?:n4=8298yg21?3:1=7>50z&72f<5?l1d>5:50;&72f<50:10q~7k:181[?c34om6<><;|q;=?6=:rT3563jf;;7?xu>=3:1>vP65:?fb?50i2wx>i;50;0xZ7b234om6>m?;|q1`3<72;qU>i84=dd96=58:7>52z?fb??434>=;7<74:~f7<7280;6=u+4269=>"38h0m:6*;6b8737=#9l=19;:4og794?"3>j094>54}r0g2?6=:rT9h;522e496a033o7p}79;296~X?1279h;479:p1?6=;r79h;4=d49>6a0=1<16>7h:;%600?e1}#<9k1in5G4768L1523-;n;7;94:k;=?6=3`3o6=44o3f5>5<i5l?0;66g=d483>>o>l3:17d7::188m=?=831iik4?:783>5}#j0:<>54i8194?"3>j02?65f9583>!21k33?76g!21k39h<65f36c94?"3>j08;l54o3:0>5<#5=4;|`722<7280;6=u+47a962c52z\:`>;bn3;;?6s|8883>7}Y0016ik464:p=0<72;qU5852eg803d=z{;n>6=4={_0g1>;bn39h<6s|2e494?4|V;n=70ki:3:0?xu3;?0;6?u2eg8:7>;3>>09495r}c094?7=83:p(9=;:89'05g=n?1/8;m54608 4c02<7}Y:m<01?j9:3f5?xu>l3:1>vP6d:?1`3<>l2wx444?:3y]<<=::m<1445rs483>6}::m<1>i;4=3f5><3<5;0m96*;358`?x{e9m>1<7=52;6x 16f2li0D98;;I601>"6m>0>:95f8883>>o>l3:17b3:197<56z&74di;50;9j=a<722c297>5;h::>5<<:18'03e=99907d7<:18'03e=1:10e4:50;&72f<><21b?n>50;&72f<4k910e>9n:18'03e=;>k07b<73;29 10d2;2876sm47594?7=83:p(98l:35f?j4?<3:1(98l:3:0?>{t1m0;6?uQ9e9>ac<68:1v5750;0xZ=?<5ll1595rs8794?4|V0?01hh536c8yv4c=3:1>vP=d49>ac<4k91v?j9:181[4c>27nj7<73:p060=838p1hh5929>031=:1>0qpl=:182>5<7s->88774$52b>c0<,=5$54`>7>432wx>i850;0xZ7b1348o:770:5c:~f41629086?4;{%63e?cd3A>=86F;349'5`1==?>0e5750;9j=a<722e9h;4?::`1`3<72<096;u+41c9ac=#9l=19;:4o3f5>5<6=44i8f94?=n1<0;66g79;29?gca290=6=4?{%65g?`63`;;?7>5$54`>46432c2?7>5$54`><5<3`3?6=4+47a9=1=5$54`>61f32e94>4?:%65g?4?;21vn988:182>5<7s->=o7<8e:m1<1<72->=o7<73:9~w0q~7::181[?234om6>9n;|q1`0<72;qU>i;4=dd97f652z\1`3=:mo094>5rs515>5<5s4om64=4=544>7>33twi>7>51;294~"3;=027):?a;d5?!21k3><>6*>e68621=hn<0;6):9c;0;7>=z{;n=6=4={_0g2>;5l?09h;5rs8f94?4|V0n01?j9:8f8yv>>2909wS66;<0g2?>>3ty>6=4<{<0g2?4c=279h;465:?1>c3<,=9?6n5r}c;1>5<42;0?w):?a;g`?M21<2B??85+1d59132>i5l?0;66l=d783>0<52?q/8=o5eg9'5`1==?>0c?j9:188m7b22900e4j50;9j=0<722c357>5;cge>5<1290;w):9c;d2?l77;3:1(98l:020?>o>;3:1(98l:818?l?3290/8;m59598m6e7290/8;m53b28?l50i3:1(98l:25b?>i50:0;6):9c;0;7>=zj=<<6=4>:183!21k38!21k383?65rs8f94?4|V0n01hh51118yv>>2909wS66;<26=4={_;6?8ca2:=j7p}=d483>7}Y:m?01hh53b28yv4c>3:1>vP=d79>ac<50:1v9=9:1818ca20901988:3:7?x{e:3:1=7>50z&771<>3->;m7h9;%65g?20:2.:i:4:659lb0<72->=o7<73:9~w7b12909wS5<5sW2270;5l?02963=:g78 1532j1vqo;=7;297?4=>2900e4j50;9l6a0=831i>i850;796?0|,=:j6hh4$0g4>0033f8o:7>5;h0g1?6=3`3o6=44i8794?=n000;66ljf;292?6=8r.?:n4i1:k246<72->=o7??3:9j=6<72->=o77<;:k:0?6=,=m?;:k03d<72->=o7=8a:9l6=5=83.?:n4=8298yg21?3:1=7>50z&72f<5?l1d>5:50;&72f<50:10q~7k:181[?c34om6<><;|q;=?6=:rT3563jf;;7?xu>=3:1>vP65:?fb?50i2wx>i;50;0xZ7b234om6>m?;|q1`3<72;qU>i84=dd96=58:7>52z?fb??434>=;7<74:~f7<7280;6=u+4269=>"38h0m:6*;6b8737=#9l=19;:4og794?"3>j094>54}r0g2?6=:rT9h;522e496a033o7p}79;296~X?1279h;479:p1?6=;r79h;4=d49>6a0=1<16>7h:;%600?e1}#<9k1in5G4768L1523-;n;7;94:k;=?6=3`3o6=44o3f5>5<i5l?0;66g=d483>>o>l3:17d7::188m=?=831iik4?:783>5}#j0:<>54i8194?"3>j02?65f9583>!21k33?76g!21k39h<65f36c94?"3>j08;l54o3:0>5<#5=4;|`722<7280;6=u+47a962c52z\:`>;bn3;;?6s|8883>7}Y0016ik464:p=0<72;qU5852eg803d=z{;n>6=4={_0g1>;bn39h<6s|2e494?4|V;n=70ki:3:0?xu3;?0;6?u2eg8:7>;3>>09495r}c094?7=83:p(9=;:89'05g=n?1/8;m54608 4c02<7}Y:m<01?j9:3f5?xu>l3:1>vP6d:?1`3<>l2wx444?:3y]<<=::m<1445rs483>6}::m<1>i;4=3f5><3<5;0m96*;358`?x{e<081<7=52;6x 16f2li0D98;;I601>"6m>0>:95f8883>>o>l3:17b3:197<56z&74di;50;9j=a<722c297>5;h::>5<<:18'03e=99907d7<:18'03e=1:10e4:50;&72f<><21b?n>50;&72f<4k910e>9n:18'03e=;>k07b<73;29 10d2;2876sm47594?7=83:p(98l:35f?j4?<3:1(98l:3:0?>{t1m0;6?uQ9e9>ac<68:1v5750;0xZ=?<5ll1595rs8794?4|V0?01hh536c8yv4c=3:1>vP=d49>ac<4k91v?j9:181[4c>27nj7<73:p060=838p1hh5929>031=:1>0qpl=:182>5<7s->88774$52b>c0<,=5$54`>7>432wx>i850;0xZ7b1348o:770:5c:~f1b629086?4;{%63e?cd3A>=86F;349'5`1==?>0e5750;9j=a<722e9h;4?::`1`3<72<096;u+41c9ac=#9l=19;:4o3f5>5<6=44i8f94?=n1<0;66g79;29?gca290=6=4?{%65g?`63`;;?7>5$54`>46432c2?7>5$54`><5<3`3?6=4+47a9=1=5$54`>61f32e94>4?:%65g?4?;21vn988:182>5<7s->=o7<8e:m1<1<72->=o7<73:9~w0q~7::181[?234om6>9n;|q1`0<72;qU>i;4=dd97f652z\1`3=:mo094>5rs515>5<5s4om64=4=544>7>33twi>7>51;294~"3;=027):?a;d5?!21k3><>6*>e68621=hn<0;6):9c;0;7>=z{;n=6=4={_0g2>;5l?09h;5rs8f94?4|V0n01?j9:8f8yv>>2909wS66;<0g2?>>3ty>6=4<{<0g2?4c=279h;465:?1>c3<,=9?6n5r}cg6>5<42;0?w):?a;g`?M21<2B??85+1d59132>i5l?0;66l=d783>0<52?q/8=o5eg9'5`1==?>0c?j9:188m7b22900e4j50;9j=0<722c357>5;cge>5<1290;w):9c;d2?l77;3:1(98l:020?>o>;3:1(98l:818?l?3290/8;m59598m6e7290/8;m53b28?l50i3:1(98l:25b?>i50:0;6):9c;0;7>=zj=<<6=4>:183!21k38!21k383?65rs8f94?4|V0n01hh51118yv>>2909wS66;<26=4={_;6?8ca2:=j7p}=d483>7}Y:m?01hh53b28yv4c>3:1>vP=d79>ac<50:1v9=9:1818ca20901988:3:7?x{e:3:1=7>50z&771<>3->;m7h9;%65g?20:2.:i:4:659lb0<72->=o7<73:9~w7b12909wS5<5sW2270;5l?02963=:g78 1532j1vqo:<8;297?4=>2900e4j50;9l6a0=831i>i850;796?0|,=:j6hh4$0g4>0033f8o:7>5;h0g1?6=3`3o6=44i8794?=n000;66ljf;292?6=8r.?:n4i1:k246<72->=o7??3:9j=6<72->=o77<;:k:0?6=,=m?;:k03d<72->=o7=8a:9l6=5=83.?:n4=8298yg21?3:1=7>50z&72f<5?l1d>5:50;&72f<50:10q~7k:181[?c34om6<><;|q;=?6=:rT3563jf;;7?xu>=3:1>vP65:?fb?50i2wx>i;50;0xZ7b234om6>m?;|q1`3<72;qU>i84=dd96=58:7>52z?fb??434>=;7<74:~f7<7280;6=u+4269=>"38h0m:6*;6b8737=#9l=19;:4og794?"3>j094>54}r0g2?6=:rT9h;522e496a033o7p}79;296~X?1279h;479:p1?6=;r79h;4=d49>6a0=1<16>7h:;%600?e0}#<9k1ii5G4768L1523-;n;7;94:k:1?6=3`226=44i8f94?=h:m<1<75m2e494?3=:3n:dd8 4c02<3:1o68:0;6):9c;337>=n1:0;6):9c;;0?>o><3:1(98l:868?l5d83:1(98l:2a3?>o4?h0;6):9c;14e>=h:191<7*;6b81<6==;7>51;294~"3>j09;h5`29694?"3>j094>54}r;g>5<5sW3o70ki:020?xu?13:1>vP79:?fb??33ty297>52z\:1>;bn3970ki:2a3?xu5l?0;6?uQ2e489``=:190q~:<6;296~;bn33870:97;0;0>{zj:0;6<4?:1y'062=i2.?3:1>vP=d79>6a0=:m<0q~7k:181[?c348o:77k;|q:1?6=:rT2963=d78:1>{t000;6?uQ889>6a0=001v;4?:3y>6a0=:m?01>4i5:&771<50;696?3|,=:j6hj4H547?M24=2.:i:4:659j=0<722c357>5;h;g>5<5<22;0=w):?a;ge?!7b?3?=86a=d783>>o5l<0;66g6d;29?l?22900e5750;9aac<72?0;6=u+47a9b4=n9991<7*;6b8246==n1=0;6):9c;;7?>o4k90;6):9c;1`4>=n;>k1<7*;6b803d=5}#:k4o3:7>5<#5=4;|q:`?6=:rT2h63jf;337>{t000;6?uQ889>ac<><2wx584?:3y]=0=:mo08;l5rs3f6>5<5sW8o963jf;1`4>{t:m<1<77}:mo02?63;6681<1=zuk91<7?50;2x 1532h1/8=o5f79'03e=<>80(l279h;46d:p=0<72;qU58522e49=0=z{131<770=5f49'062=l2wvnl:50;196?2|,=:j6hm4H547?M24=2.:<84j;%3f3?31<2c357>5;h;g>5<5<22;0=w):?a;ge?!7b?3?=86a=d783>>o5l<0;66g6d;29?l?22900e5750;9aac<72?0;6=u+47a9b4=n9991<7*;6b8246==n1=0;6):9c;;7?>o4k90;6):9c;1`4>=n;>k1<7*;6b803d=5}#:k4o3:7>5<#5=4;|q:`?6=:rT2h63jf;337>{t000;6?uQ889>ac<><2wx584?:3y]=0=:mo08;l5rs3f6>5<5sW8o963jf;1`4>{t:m<1<77}:mo02?63;6681<1=zuk81<7?50;2x 153201/8=o5f79'03e=<>80(l279h;46d:p<<<72;qU44522e49<<=z{<0;6>u22e496a3<5;n=64;4=38e1>"3;=0h7psm19d94?5=:3>p(9>n:da8L1033A>896*>048f?!7b?3?=86g79;29?l?c2900c?j9:188f7b1290>6?49{%63e?ca3-;n;7;94:m1`3<722c9h84?::k:`?6=3`3>6=44i9;94?=emo0;6;4?:1y'03e=n81b===50;&72f<68:10e4=50;&72f<>;21b594?:%65g??332c8o=4?:%65g?5d821b?:o50;&72f<4?h10c?6<:18'03e=:1907pl;6683>4<729q/8;m526g8k7>3290/8;m52918?xu>l3:1>vP6d:?fb?77;2wx444?:3y]<<=:mo0286s|9483>7}Y1<16ik4<7`9~w7b22909wSi850;0xZ7b134om6?6<;|q773<72;q6ik463:?722<50=1vqo<50;394?6|,=9?645+41c9b3=#0033fl>6=4+47a96=5<3ty9h;4?:3y]6a0<5;n=6?j9;|q:`?6=:rT2h63=d78:`>{t000;6?uQ889>6a0=001v84?:2y>6a0=:m?01?j9:878977:t$52b>`e<@=5<i5l?0;66g=d483>>o>l3:17d7::188m=?=831iik4?:783>5}#j0:<>54i8194?"3>j02?65f9583>!21k33?76g!21k39h<65f36c94?"3>j08;l54o3:0>5<#5=4;|`722<7280;6=u+47a962c52z\:`>;bn3;;?6s|8883>7}Y0016ik464:p=0<72;qU5852eg803d=z{;n>6=4={_0g1>;bn39h<6s|2e494?4|V;n=70ki:3:0?xu3;?0;6?u2eg8:7>;3>>09495r}c094?7=83:p(9=;:89'05g=n?1/8;m54608 4c02<7}Y:m<01?j9:3f5?xu>l3:1>vP6d:?1`3<>l2wx444?:3y]<<=::m<1445rs483>6}::m<1>i;4=3f5><3<5;0m96*;358`?x{e90?1<7=52;6x 16f2li0D98;;I601>"68<0n7)?j7;750>o?13:17d7k:188k7b12900n?j9:186>7<1s->;m7ki;%3f3?31<2e9h;4?::k1`0<722c2h7>5;h;6>5<3<729q/8;m5f09j555=83.?:n4>0298m<5=83.?:n463:9j=1<72->=o77;;:k0g5<72->=o7=l0:9j72g=83.?:n4<7`98k7>4290/8;m52918?xd3>>0;6<4?:1y'03e=:>o0c?6;:18'03e=:1907p}6d;296~X>l27nj7??3:p<<<72;qU4452eg8:0>{t1<0;6?uQ949>ac<4?h1v?j::181[4c=27nj7=l0:p6a0=838pR?j9;7>43ty??;4?:3y>ac<>;27?::4=859~yg4=83;1<7>t$517><=#<9k1j;5+47a9024<,8o<688;;nd6>5<#5=4;|q1`3<72;qU>i84=3f5>7b13ty2h7>52z\:`>;5l?02h6s|8883>7}Y0016>i85889~w0<72:q6>i852e7897b120?01?4i5:&7715;h;g>5<5<22;0=w):?a;ge?!7b?3?=86a=d783>>o5l<0;66g6d;29?l?22900e5750;9aac<72?0;6=u+47a9b4=n9991<7*;6b8246==n1=0;6):9c;;7?>o4k90;6):9c;1`4>=n;>k1<7*;6b803d=5}#:k4o3:7>5<#5=4;|q:`?6=:rT2h63jf;337>{t000;6?uQ889>ac<><2wx584?:3y]=0=:mo08;l5rs3f6>5<5sW8o963jf;1`4>{t:m<1<77}:mo02?63;6681<1=zuk81<7?50;2x 153201/8=o5f79'03e=<>80(l279h;46d:p<<<72;qU44522e49<<=z{<0;6>u22e496a3<5;n=64;4=38e1>"3;=0h7psm18g94?5=:3>p(9>n:da8L1033A>896*>048f?!7b?3?=86g79;29?l?c2900c?j9:188f7b1290>6?49{%63e?ca3-;n;7;94:m1`3<722c9h84?::k:`?6=3`3>6=44i9;94?=emo0;6;4?:1y'03e=n81b===50;&72f<68:10e4=50;&72f<>;21b594?:%65g??332c8o=4?:%65g?5d821b?:o50;&72f<4?h10c?6<:18'03e=:1907pl;6683>4<729q/8;m526g8k7>3290/8;m52918?xu>l3:1>vP6d:?fb?77;2wx444?:3y]<<=:mo0286s|9483>7}Y1<16ik4<7`9~w7b22909wSi850;0xZ7b134om6?6<;|q773<72;q6ik463:?722<50=1vqo<50;394?6|,=9?645+41c9b3=#0033fl>6=4+47a96=5<3ty9h;4?:3y]6a0<5;n=6?j9;|q:`?6=:rT2h63=d78:`>{t000;6?uQ889>6a0=001v84?:2y>6a0=:m?01?j9:878977:t$52b>`e<@=5<i5l?0;66g=d483>>o>l3:17d7::188m=?=831iik4?:783>5}#j0:<>54i8194?"3>j02?65f9583>!21k33?76g!21k39h<65f36c94?"3>j08;l54o3:0>5<#5=4;|`722<7280;6=u+47a962c52z\:`>;bn3;;?6s|8883>7}Y0016ik464:p=0<72;qU5852eg803d=z{;n>6=4={_0g1>;bn39h<6s|2e494?4|V;n=70ki:3:0?xu3;?0;6?u2eg8:7>;3>>09495r}c094?7=83:p(9=;:89'05g=n?1/8;m54608 4c02<7}Y:m<01?j9:3f5?xu>l3:1>vP6d:?1`3<>l2wx444?:3y]<<=::m<1445rs483>6}::m<1>i;4=3f5><3<5;0m96*;358`?x{e9h<1<7=52;6x 16f2li0D98;;I601>"68<0m7)?j7;750>o?13:17d7k:188k7b12900n?j9:186>7<1s->;m7ki;%3f3?31<2e9h;4?::k1`0<722c2h7>5;h;6>5<3<729q/8;m5f09j555=83.?:n4>0298m<5=83.?:n463:9j=1<72->=o77;;:k0g5<72->=o7=l0:9j72g=83.?:n4<7`98k7>4290/8;m52918?xd3>>0;6<4?:1y'03e=:>o0c?6;:18'03e=:1907p}6d;296~X>l27nj7??3:p<<<72;qU4452eg8:0>{t1<0;6?uQ949>ac<4?h1v?j::181[4c=27nj7=l0:p6a0=838pR?j9;7>43ty??;4?:3y>ac<>;27?::4=859~yg4=83;1<7>t$517><=#<9k1j;5+47a9024<,8o<688;;nd6>5<#5=4;|q1`3<72;qU>i84=3f5>7b13ty2h7>52z\:`>;5l?02h6s|8883>7}Y0016>i85889~w0<72:q6>i852e7897b120?01?4i5:&7716}O<:?0(9>n:0ga?lg52900el=50;9l7g`=831i8:>50;194?6|,=:j698<;I650>N3;<1/8;m54708 4c02<7}Yi;168:>5f89~wd5=838pRl=4=553>c>52z\0fc=:<>:1>:l4}|``f?6=;3;1?vF;349'05g=9lh0el<50;9je6<722e8nk4?::`735<72:0;6=u+41c9035<@=;1/=h955768mc>=83.?:n4>0298mc?=83.?:n4>0298k71e290/8;m52918?xuf:3:1>vPn2:?7354?:3y]e6=:<>:1j55rs2`e>5<5sW9ij63;71813g=zukij6=4<:080M24=2.?ec9je7<722cj?7>5;n1ab?6=3k><<7>53;294~"38h0?:>5G4768L1523->=o7:92:&2a2<2>=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10q~o=:181[g534><<7h6;|qb7?6=:rTj?63;718e<>{t;kl1<75<5290;w):?a;332>N3>=1C8>;4$54`>=0<,8o<688;;hd4>5<#=zj8=86=4=:183!27i3;;:6F;659K063<,=0033`l<6=4+47a9555<3f85$54`>7>43A>=n65rb057>5<5290;w):?a;332>N3>=1C8>;4$54`>=0<,8o<688;;hd4>5<#=zj8=>6=4<:183!27i3>;7E:94:J770=#5}#<9k1>5>4H547?M24=2.?:n4=7g9'5`1==?>0ek650;&72f<68:10ek750;&72f<68:10c?9m:18'03e=:1907pl;3`83>7<729q/8=o51148L1033A>896*;6b8;2>"6m>0>:95ff683>!21k3;;?65`26`94?"3>j094>5G47`8?xd69o0;6?4?:1y'05g=99<0D98;;I601>"3>j03:6*>e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=O7<729q/8=o51148L1033A>896*;6b8;2>"6m>0>:95ff683>!21k3;;?65`26`94?"3>j094>5G47`8?xd2;10;6?4?:1y'05g=99<0D98;;I601>"3>j03:6*>e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=O7<729q/8=o51148L1033A>896*;6b8;2>"6m>0>:95ff683>!21k3;;?65`26`94?"3>j094>5G47`8?xdd03:1>7>50z&74d<68?1C8;:4H516?!21k32=7)?j7;750>oa?3:1(98l:020?>i5?k0;6):9c;0;7>N3>k10qom6:180>5<7s->;m7:?;I650>N3;<1/8;m53g9'5`1==?>0ek650;&72f<68:10ek750;&72f<68:10c?9m:18'03e=:1907pll4;296?6=8r.?079K032<@=9>7):9c;:5?!7b?3?=86gi7;29 10d28:876a=7c83>!21k383?6F;6c98yge229086=4?{%63e?273A>=86F;349'03e=;o1/=h955768mc>=83.?:n4>0298mc?=83.?:n4>0298k71e290/8;m52918?xdd83:1>7>50z&74d<68?1C8;:4H516?!21k32=7)?j7;750>oa?3:1(98l:020?>i5?k0;6):9c;0;7>N3>k10qom>:180>5<7s->;m7:?;I650>N3;<1/8;m53g9'5`1==?>0ek650;&72f<68:10ek750;&72f<68:10c?9m:18'03e=:1907plm6;296?6=8r.?079K032<@=9>7):9c;:5?!7b?3?=86gi7;29 10d28:876a=7c83>!21k383?6F;6c98ygeb29086=4?{%63e?273A>=86F;349'03e=;o1/=h955768mc>=83.?:n4>0298mc?=83.?:n4>0298k71e290/8;m52918?xdc83:1?7>50z&74d<382B?:95G4278 10d2:l0(290/8;m51118?j40j3:1(98l:3:0?>{e<0>1<7<50;2x 16f28:=7E:94:J770=#n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e9181<7<50;2x 16f28:=7E:94:J770=#n:538L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65ff`83>!21k3;;?65`26`94?"3>j094>54}c3b0D9=:;%65g?40n2.:i:4:659jb=<72->=o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yg7f13:1>7>50z&74d<68?1C8;:4H516?!21k32=7)?j7;750>oa?3:1(98l:020?>i5?k0;6):9c;0;7>N3>k10qo?na;297?6=8r.?7):9c;04b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c3bf?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>7>73A>=86F;349'03e=:>l0(290/8;m51118?j40j3:1(98l:3:0?>{e9h;1<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=7>52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c3b7?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=53;294~"38h0?<6F;659K063<,=
h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi=l;50;194?6|,=:j69>4H547?M24=2.?:n4=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo?67;296?6=8r.?079K032<@=9>7):9c;:5?!7b?3?=86gi7;29 10d28:876a=7c83>!21k383?6F;6c98yg7>03:1>7>50z&74d<68?1C8;:4H516?!21k32=7)?j7;750>oa?3:1(98l:020?>i5?k0;6):9c;0;7>N3>k10qo?69;297?6=8r.?n:3:3?M21<2B??85+47a962`<,8o<688;;hd;>5<#5<#t$52b>16<@==o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yg7>k3:1?7>50z&74d<5091C8;:4H516?!21k38e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=7>53;294~"38h094=5G4768L1523->=o7<8f:&2a2<2>=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo?63;296?6=8r.?079K032<@=9>7):9c;:5?!7b?3?=86gi7;29 10d28:876a=7c83>!21k383?6F;6c98yg7><3:187>50z&74d<392B?:95G4278 10d2:l0(290/8;m51118?l`f290/8;m51118?j40j3:1(98l:3:0?>{e==l1<7;50;2x 16f2=80D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=nnh0;6):9c;337>=nnk0;6):9c;337>=h:>h1<7*;6b81<6=<7>52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c765?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=>7>53;294~"38h0?<6F;659K063<,=
h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi98=50;194?6|,=:j69>4H547?M24=2.?:n4=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qoo9:186>5<7s->;m7<72:J721=O<:?0(98l:35e?!7b?3?=86gi8;29 10d28:876gi9;29 10d28:876gia;29 10d28:876gib;29 10d28:876a=7c83>!21k383?65rb`594?5=83:p(9>n:528L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}cc;>5<5290;w):?a;332>N3>=1C8>;4$54`>=0<,8o<688;;hd4>5<#=zjh31<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=;7E:94:J770=#53;294~"38h094=5G4768L1523->=o7<8f:&2a2<2>=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo:jf;297?6=8r.?7):9c;04b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c6e4?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=m=7>53;294~"38h0?<6F;659K063<,=
h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi8k<50;094?6|,=:j6<>9;I650>N3;<1/8;m5879'5`1==?>0ek950;&72f<68:10c?9m:18'03e=:190D98m;:a0c5=8391<7>t$52b>16<@==o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yg2a<3:1?7>50z&74d<382B?:95G4278 10d2:l0(290/8;m51118?j40j3:1(98l:3:0?>{e:h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi8k850;694?6|,=:j6?6>;I650>N3;<1/8;m526d8 4c02<n:528L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c71a?6=;3:10D9=:;%65g?40n2.:i:4:659jb=<72->=o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yg35n3:1>7>50z&74d<68?1C8;:4H516?!21k32=7)?j7;750>oa?3:1(98l:020?>i5?k0;6):9c;0;7>N3>k10qo;<0;297?6=8r.?n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e=:81<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=53;294~"38h0?<6F;659K063<,=
h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi9>:50;194?6|,=:j6?6?;I650>N3;<1/8;m526d8 4c02<n:3:2?M21<2B??85+47a962`<,8o<688;;hd;>5<#5<#5<#t$52b>16<@==o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yg33>3:197>50z&74d<3:2B?:95G4278 10d2:l0(290/8;m51118?l`f290/8;m51118?l`e290/8;m51118?j40j3:1(98l:3:0?>{e===1<7<50;2x 16f28:=7E:94:J770=#n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e==31<7<50;2x 16f28:=7E:94:J770=#n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e==h1<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=53;294~"38h094=5G4768L1523->=o7<8f:&2a2<2>=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo;;d;290?6=8r.?n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e9:?1<7<50;2x 16f28:=7E:94:J770=#n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e9:=1<7<50;2x 16f28:=7E:94:J770=#n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e9:31<7<50;2x 16f28:=7E:94:J770=#n:518L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65ff`83>!21k3;;?65ffc83>!21k3;;?65ffb83>!21k3;;?65`26`94?"3>j094>54}c30f?6=<3:10D9=:;%65g?40n2.:i:4:659jb=<72->=o7??3:9jb<<72->=o7??3:9jbd<72->=o7??3:9l62d=83.?:n4=8298yg7?=3:1>7>50z&74d<68?1C8;:4H516?!21k32=7)?j7;750>oa?3:1(98l:020?>i5?k0;6):9c;0;7>N3>k10qo?77;296?6=8r.?079K032<@=9>7):9c;:5?!7b?3?=86gi7;29 10d28:876a=7c83>!21k383?6F;6c98yg7?03:1>7>50z&74d<68?1C8;:4H516?!21k32=7)?j7;750>oa?3:1(98l:020?>i5?k0;6):9c;0;7>N3>k10qo?79;296?6=8r.?079K032<@=9>7):9c;:5?!7b?3?=86gi7;29 10d28:876a=7c83>!21k383?6F;6c98yg7?i3:1>7>50z&74d<68?1C8;:4H516?!21k32=7)?j7;750>oa?3:1(98l:020?>i5?k0;6):9c;0;7>N3>k10qo?7b;296?6=8r.?079K032<@=9>7):9c;:5?!7b?3?=86gi7;29 10d28:876a=7c83>!21k383?6F;6c98yg7?k3:1>7>50z&74d<68?1C8;:4H516?!21k32=7)?j7;750>oa?3:1(98l:020?>i5?k0;6):9c;0;7>N3>k10qo?7d;297?6=8r.?=83:p(9>n:568L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65ff`83>!21k3;;?65ffc83>!21k3;;?65ffb83>!21k3;;?65ffe83>!21k3;;?65ffd83>!21k3;;?65`26`94?"3>j094>54}c3;2?6=<3:10D9=:;%65g?40n2.:i:4:659jb=<72->=o7??3:9jb<<72->=o7??3:9jbd<72->=o7??3:9l62d=83.?:n4=8298yg55290>6=4?{%63e?4?:2B?:95G4278 10d2;=m7)?j7;750>oa03:1(98l:020?>oa13:1(98l:020?>oai3:1(98l:020?>oaj3:1(98l:020?>i5?k0;6):9c;0;7>=zj:>1<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=6=4=:183!27i3;;:6F;659K063<,=0033`l<6=4+47a9555<3f85$54`>7>43A>=n65rb2494?4=83:p(9>n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e;>0;6?4?:1y'05g=99<0D98;;I601>"3>j03:6*>e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=O079K032<@=9>7):9c;:5?!7b?3?=86gi7;29 10d28:876a=7c83>!21k383?6F;6c98yg5>29086=4?{%63e?273A>=86F;349'03e=;o1/=h955768mc>=83.?:n4>0298mc?=83.?:n4>0298k71e290/8;m52918?xd4i3:197>50z&74d<3:2B?:95G4278 10d2:l0(290/8;m51118?l`f290/8;m51118?l`e290/8;m51118?j40j3:1(98l:3:0?>{e;k0;6>4?:1y'05g=:1:0D98;;I601>"3>j09;k5+1d5913253;294~"38h094=5G4768L1523->=o7<8f:&2a2<2>=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo??b;297?6=8r.?7):9c;04b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c320?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c322?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi=<650;094?6|,=:j6<>9;I650>N3;<1/8;m5879'5`1==?>0ek950;&72f<68:10c?9m:18'03e=:190D98m;:a54?=8381<7>t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi=9;I650>N3;<1/8;m5879'5`1==?>0ek950;&72f<68:10c?9m:18'03e=:190D98m;:a54d=8381<7>t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi==m50;194?6|,=:j69>4H547?M24=2.?:n4=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo??d;297?6=8r.?n:518L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65ff`83>!21k3;;?65ffc83>!21k3;;?65ffb83>!21k3;;?65`26`94?"3>j094>54}c33b?6=;3:10D9=:;%65g?40n2.:i:4:659jb=<72->=o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yg7683:1?7>50z&74d<5091C8;:4H516?!21k38e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=53;294~"38h0?<6F;659K063<,=
h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi=<<50;194?6|,=:j6?6?;I650>N3;<1/8;m526d8 4c02<n:3:2?M21<2B??85+47a962`<,8o<688;;hd;>5<#5<#5<#t$52b>7>73A>=86F;349'03e=:>l0(290/8;m51118?j40j3:1(98l:3:0?>{e<021<7<50;2x 16f28:=7E:94:J770=#n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e<0k1<7<50;2x 16f28:=7E:94:J770=#n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e<0i1<7<50;2x 16f28:=7E:94:J770=#n:3:3?M21<2B??85+47a962`<,8o<688;;hd;>5<#5<#t$52b>16<@==o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yg2>n3:187>50z&74d<392B?:95G4278 10d2:l0(290/8;m51118?l`f290/8;m51118?j40j3:1(98l:3:0?>{e<0=1<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=n>7>53;294~"38h0?<6F;659K063<,=
h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi8h=50;194?6|,=:j6?6?;I650>N3;<1/8;m526d8 4c02<n:528L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}cf1>5<5290;w):?a;332>N3>=1C8>;4$54`>=0<,8o<688;;hd4>5<#=zjm?1<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=0033`l<6=4+47a9555<3f85$54`>7>43A>=n65rbe594?4=83:p(9>n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{el10;6?4?:1y'05g=99<0D98;;I601>"3>j03:6*>e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=O079K032<@=9>7):9c;:5?!7b?3?=86gi7;29 10d28:876a=7c83>!21k383?6F;6c98ygbf29086=4?{%63e?273A>=86F;349'03e=;o1/=h955768mc>=83.?:n4>0298mc?=83.?:n4>0298k71e290/8;m52918?xdcj3:1?7>50z&74d<382B?:95G4278 10d2:l0(290/8;m51118?j40j3:1(98l:3:0?>{elj0;6>4?:1y'05g=:1:0D98;;I601>"3>j09;k5+1d5913254;294~"38h0?=6F;659K063<,=
h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3`lj6=4+47a9555<3f85$54`>7>432wih94?:283>5}#<9k1>5>4H547?M24=2.?:n4=7g9'5`1==?>0ek650;&72f<68:10ek750;&72f<68:10c?9m:18'03e=:1907plm8;296?6=8r.?079K032<@=9>7):9c;:5?!7b?3?=86gi7;29 10d28:876a=7c83>!21k383?6F;6c98ygd>290?6=4?{%63e?4?92B?:95G4278 10d2;=m7)?j7;750>oa03:1(98l:020?>oa13:1(98l:020?>oai3:1(98l:020?>i5?k0;6):9c;0;7>=zjkk1<7<50;2x 16f28:=7E:94:J770=#6<729q/8=o5419K032<@=9>7):9c;1e?!7b?3?=86gi8;29 10d28:876gi9;29 10d28:876a=7c83>!21k383?65rbca94?5=83:p(9>n:528L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c`g>5<4290;w):?a;0;4>N3>=1C8>;4$54`>71a3-;n;7;94:ke<;:ke=?6=,=<;:m13g<72->=o7<73:9~w7c=839pR?k4=3g96a0<5:k1j55rs2394?4|5;o15i5232813g=z{:81<71>:l4=2;9b==z{:?1<7:l4=2c9b<=z{:=1<7:l4=2c9bg=z{:31<7:l4=2`9b<=z{:h1<7k01<6<:g;894g>2o=01:g;8962=n116=<85f89>0`2=n11v5o50;33[>f348n6574=02:>=?<58=m6574=0f7>=?<58=:6574=809<<=:i=03563>8g8;=>;61903563>948;=>;61m03563>9d8;=>;61o03563>a78;=>;31=0m;6s|9183>1}Y19169>h5889>=7<>l27i:7h8;|q:6?6=jr72>72:7h6;|qb0?6=;r7j87n?7h7;|qb1?6=:r7j877k;71e3tyj:7>52z?b2?40j27j57h6;|qb3?6=:r7j;7<8b:?be?`?3tyj47>52z?b52z?be?40j27jn7h6;|qbg?6=8;4i8:?602;d>32270m=:9;89gc=0016n;4=7c9~wg1=838p1o:59e9>fa<5?k1vo650;0x9g>=:>h01ol5f89~wg?=838p1o7526`89ge=n11voo50;0x9gg=:>h01om5f89~wgd=838p1ol526`89gb=n11vom50;0x9ge=:>h01oj5f89~wg7=832p1ok52e489fg=i:16oh4i8:?6158l4i7:?273c>:l4}ra3>5<5s4i;6?9m;c?7b134ii6l=4=e29b==:==l1jo525549b<=:==21j:521259b2=:l10m;63m9;d:?xud;3:1>v3l2;;g?8e22;=i7p}l4;296~;d<38;dk3k870;:1;d4?83313l<70?f<g3<>l27h57<8b:pg=<72;q6o54=7c9>g<gg3tyhj7>52z?`g?g534n;6?9m;|qg5?6=c1<5j:1j:52d5813g=z{m81<7:l4=e69b<=z{m?1<7:l4=e`9b==z{m=1<7:l4=e19b==z{m31<7:l4=e69b==z{mh1<7:l4=e19b<=z{mn1<7i84=ec9b==:jk0m46s|11;94?3|V8:270??9;0g2>;2;68l0m56s|11c94?4|58:264j4=030>71e3ty:55d=:>h01v3>0b813g=:99l1j45rs02g>5<5s4;;h7<8b:?2550;d:?xu68o0;6?u211d962d<58;:6k74}r324?6=:r7:==4=7c9>545=nh1v:181876938138e=>{t9881<771e34;:?7h7;|q251<72;q6=<:526`8946d2o20q~?>5;296~;69<09;o5211a9b<=z{8;=6=4={<322?40j27:1983>7}:9821>:l4=02f>c>52z?25<<5?k16==k5f`9~w47f2909w0?>a;04f>;68l0mn6s|10`94?4|58;i6?9m;<33a?`d3ty:=i4?:4y]54b<58;o6?j9;<3:3?`034;2>7h7;<320?`03ty:=h4?:3y>54b=1m16=339>564=:m<01<77:g5894?52o301v3>338:`>;6;k09;o5rs017>5<5s4;887<8b:?27d;50;0x94522;=i70?56g=nj1v<=7:1818740383c8e<>{t9:31<771e34;8n7hn;|q27d<72;q6=>o526`8945e2o30q~?:2;296~X6=;168k95f99~w43c2909wS?:d:?234<>l2wx=:?50;6x94162;n=70?84;d4?82a93l370;<0;d;?xu6?;0;65u2167962d<58296k94=0c;>c><58k96k94=279b2=:98=1j:524849b==:5<5s4;u216d96a0<582n6k64=5g1>c?52z?2<6<5?k16=5;5f69~w4>62909w0?71;04f>;60:0m46s|19094?4|58296?9m;<3;7?`f3ty:494?:3y>52`=1m16=58526`8yv7?=3:1>v3>84813g=:91n1j45rs0:4>5<5s4;3;7<8b:?2<`?2;=i70?7e;db?xu6000;6?u219;962d<582n6kl4}r3;e?6=:r7:4l4=7c9>5=c=nm1v<6m:18187?j388d8eg>{t91i1<771e34;3i7hj;|q212o20q~?7e;296~;60l09;o521949bd=z{82m6=4:{<3;b?4c>27:mn4i8:?2<35=`=1m16=4>52e4894>f2o=01>85f69>54g=n>1v<7>:18187>833o70?64;04f>{t9081<771e34;287h7;|q2=6<72;q6=4=526`894?32ok0q~?65;29g~;61<09h;524e69b==:90h1j5521869b<=:i?0mn63;f48e<>;2;=0m463>8c8e3>;4:3l370?>9;d4?87693l370:6c;d4?xu61?0;6?u21879=a=:90i1>:l4}r3:3?6=:r7:5:4=7c9>5038988e=>{t9031<771e34;2m7h6;|q2=d<72;q6=4o526`894?e2o30q~?6b;296~;61k09;o5218a9b<=z{83o6=4n{<3:`?4c>27?h94i9:?2=fc><5=3i6k94}r3:a?6=1r7:5i46d:?2=`<5l?16m;4i9:?7ac>h4i9:?2<=7hm;<33f?`?34>257h8;|q2=c<720q6=4k59e9>5<`=:m<01l85f99>0c5=n1169><5f99>5=1=n>16??4ia:?24ga083>7}:9h;1>:l4=0c6>c>7>52z?2e7<5?k16=l:5f99~w4g42909w0?n3;04f>;6i=0m56s|1`694?4|58k?6?9m;<3b1?`>3ty:m;4?:ey>5d0=:m<010c7=n0169>>5f89>5=e=n>16=5j5f99>715d0=1m16=lm526`8yv7f03:1>v3>a9813g=:9hk1j55rs0c:>5<5s4;j57<8b:?2ed;6l=02h6s|1e694?5|58n?6?j9;<347?`034k<6k74}r043?6=:r7?:84i5:?67c<>=2wx8>650;1x915?2;n=70;;f;d;?833>3li7p};3883>7}:<:215i5242c962d2>7>54z\7=7=:<081>i84=03g><3<589964;4}r6:7?6==r7?5?479:?662?380<>=:>h0197k:g;8yv2>13:1>v3;98813g=:<0l1j55rs5;b>5<5s4>2m7<8b:?7=`0<`=n01v97j:18182>m38{t<0l1<771e34>2;7h7;|q7e6<72;qU8l=4=03e>c1h:7>52z\7g3=:9:21j:5rs5f2>5<5sW>o=63;d081`3=z{=n86=4={<6g5??c34>o87<8b:p0ac=838pR9jj;<6gb?40j2wx8h?50;0x91ba2o=019k;:35a?xu3m;0;6?u24d0962d<5=o86k74}r6f7?6=:r7?i>4=7c9>0`2=n01v9k7:180[2b027?i54=d79>0c6=n>1v9kj:18182a13l<70:i7;04f>{t71e34>m:7h6;|q7b5<72;q68k>526`891`42o30q~:i1;296~;3n809;o524g69b==z{=l96=4={<6e6?40j27?j94i9:p0c5=838p19h<:35a?82a=3l27p};f583>7}:1>:l4=5d5>cgm97>52z?7b0<5?k168k85f99~w1`12909w0:i6;04f>;3n>0m56s|4g:94?4|5=o364j4=5d:>71e3ty>=n4?:3y]14e<58926k94}r713?6=;rT>>:5253596a0<5<8m6k94}r71`?6=:r7>?54i7:?673<5?k1v8{t=;l1<771e34?8>7h6;|q675<72;q69>>526`890542o20q~;<1;296~;2;809;o525219b<=z{<996=4={<706?40j27>?94i9:p165=838p18=<:35a?834=3lj7p}:3583>7}:=:>1>:l4=416>c>52z?670<5?k169>85f89~w0502909w0;=7;;g?834038;6;<0m;6s|55194?4|5<9m64j4=467>71e3ty>884?:3y>16`=1:1699j526`8yv33>3:1>v3:47813g=:==i1j55rs464>5<5s4??;7<8b:?60go6k64}r77e?6=:r7>8l4=7c9>11b=nh1v8:m:181833j38{t==i1<771e34??h7h6;|q60`<72;q699:5f69>105=:>h0q~;;f;296~;29?4i8:p107=838p18;>:35a?832:3l27p}:5383>7}:=<81>:l4=470>c?87>52z\611=:<:k1j:5r}c64a?6=m3i86nltH516?!27i33=7)?j6;1e2>i4j>0;66g64;29?l5c:3:17b=nd;29?l>52900c9l?:188mde=831b?h=50;9j0`3=831d9?>50;9l3d<722c>9i4?::m1`2<722c9j54?::`720<7280;6=u+41c9b3=O0D9=:;%65g?20:2.:i:4:659lb0<72->=o7<73:9~f13429086?4;{%63e?cd3A>=86F;349'553=m2.:i:4:659j<<<722c2h7>5;n0g2?6=3k8o:7>55;092~"38h0nj6*>e68621=h:m<1<75f2e794?=n1m0;66g65;29?l>>2900nhh50;494?6|,=5<#5<#54i8694?"3>j02865f3b294?"3>j08o=54i25b>5<#t$54`>71b3f8387>5$54`>7>432wx5i4?:3y]=a=:mo0:<>5rs9;94?4|V1301hh5959~w<3=838pR4;4=dd972g52z\1`0=:mo08o=5rs3f5>5<5sW8o:63jf;0;7>{t<:<1<75:4}|`1>5<6290;w):<4;;8 16f2o<0(98l:551?!7b?3?=86ai5;29 10d2;2876s|2e494?4|V;n=70{t1m0;6?uQ9e9>6a0=1m1v5750;0xZ=?<5;n=6574}r794?5|5;n=6?j:;<0g2??23481j85+4269g>{zj=?;6=4<:387!27i3oh7E:94:J770=#99?1i6*>e68621=n000;66g6d;29?j4c>3:17or.?=1d>i850;9j6a3=831b5i4?::k:1?6=3`226=44bdd94?0=83:p(98l:g38m464290/8;m51118?l?4290/8;m59298m<2=83.?:n464:9j7f6=83.?:n4{e7}Y1m16ik4>029~w=?=838pR574=dd9=1=z{0?1<7k0q~850;0x9``=1:168;952968yxd5290:6=4?{%600??<,=:j6k84$54`>1153-;n;7;94:me1?6=,=2wx5i4?:3y]=a=::m<15i5rs9;94?4|V1301?j9:9;8yv3=839p1?j9:3f6?84c>33>70<5f49'062=k2wvn9:k:180>7<3s->;m7kl;I650>N3;<1/==;5e:&2a2<2>=1b444?::k:`?6=3f8o:7>5;c0g2?6==381:v*;0`8fb>"6m>0>:95`2e494?=n:m?1<75f9e83>>o>=3:17d66:188f``=83<1<7>t$54`>c71<7*;6b8:0>=n;j:1<7*;6b80g5=5$54`>7>432wi8;950;394?6|,=;bn383?6s|42494?4|5ll15>5247596=2:183!24<330(9>n:g48 10d2==97)?j7;750>ia=3:1(98l:3:0?>{t:m<1<738o:6s|9e83>7}Y1m16>i859e9~w=?=838pR574=3f5>=?7b2348o:77:;<09b0=#<:>1o6srb56:>5<42;0?w):?a;g`?M21<2B??85+1179a>"6m>0>:95f8883>>o>l3:17b3:197<56z&74di;50;9j=a<722c297>5;h::>5<<:18'03e=99907d7<:18'03e=1:10e4:50;&72f<><21b?n>50;&72f<4k910e>9n:18'03e=;>k07b<73;29 10d2;2876sm47594?7=83:p(98l:35f?j4?<3:1(98l:3:0?>{t1m0;6?uQ9e9>ac<68:1v5750;0xZ=?<5ll1595rs8794?4|V0?01hh536c8yv4c=3:1>vP=d49>ac<4k91v?j9:181[4c>27nj7<73:p060=838p1hh5929>031=:1>0qpl=:182>5<7s->88774$52b>c0<,=5$54`>7>432wx>i850;0xZ7b1348o:770:5c:~f777290>6?49{%63e?cb3A>=86F;349'5`1==?>0e4=50;9j=0<722c357>5;h;g>5<5<1290;w):9c;d2?l77;3:1(98l:020?>o>;3:1(98l:818?l?3290/8;m59598m6e7290/8;m53b28?l50i3:1(98l:25b?>i50:0;6):9c;0;7>=zj=<26=4>:183!21k3><>6a=8283>!21k383?65rs3f5>5<5sW8o:63=d781<6=z{0n1<7=279h;4<7`9~w<5=838pR4=4=3f5><533?7p}i6;296~;5l?08o=5247;96=53}#<9k1ih5G4768L1523-;n;7;94:k:7?6=3`3>6=44i9;94?=n1m0;66a=d783>>d5l?0;6;4?:1y'03e=n81b===50;&72f<68:10e4=50;&72f<>;21b594?:%65g??332c8o=4?:%65g?5d821b?:o50;&72f<4?h10c?6<:18'03e=:1907pl;6883>4<729q/8;m54608k7>4290/8;m52918?xu5l?0;6?uQ2e4897b12;287p}6d;296~X>l279h;4>029~w<3=838pR4;4=3f5>61f3ty2?7>52z\:7>;5l?02?6s|8883>7}Y0016>i85959~wc0=838p1?j9:2a3?8211383?6srb373>5<22;0=w):?a;gf?M21<2B??85+1d59132>o?13:17d7k:188k7b12900n?j9:185>5<7s->=o7h>;h337?6=,=<;:k:7?6=,=5<#5<#4;h14e?6=,=
9n;:m1<6<72->=o7<73:9~f10>290:6=4?{%65g?20:2e94>4?:%65g?4?;21v?j9:181[4c>279h;4=829~w4643ty297>52z\:1>;5l?08;l5rs8194?4|V0901?j9:818yv>>2909wS66;<0g2??33tym:7>52z?1`3<4k9168;752918yxd5=n1=0;6):9c;;7?>o4k90;6):9c;1`4>=n;>k1<7*;6b803d=5}#5<#5=4;|q1`3<72;qU>i84=3f5>7>43ty2h7>52z\:`>;5l?0:<>5rs8794?4|V0?01?j9:25b?xu>;3:1>vP63:?1`3<>;2wx444?:3y]<<=::m<1595rsg494?4|5;n=6>m?;<65=?4?;2wvn?:7:186>7<1s->;m7kj;I650>N3;<1/=h955768m<5=831b584?::k;=?6=3`3o6=44o3f5>5<m?:18'03e=;j:07d=8a;29 10d2:=j76a=8283>!21k383?65rb54:>5<6290;w):9c;646>i50:0;6):9c;0;7>=z{;n=6=4={_0g2>;5l?094>5rs8f94?4|V0n01?j9:020?xu>=3:1>vP65:?1`3<4?h1v4=50;0xZ<5<5;n=64=4}r::>5<5sW22703:1>v3=d780g5=:5=4}|`101<72<096;u+41c9a`=O0D9=:;%3f3?31<2c2?7>5;h;6>5<>i5l?0;66l=d783>3<729q/8;m5f09j555=83.?:n4>0298m<5=83.?:n463:9j=1<72->=o77;;:k0g5<72->=o7=l0:9j72g=83.?:n4<7`98k7>4290/8;m52918?xd3>00;6<4?:1y'03e=<>80c?6<:18'03e=:1907p}=d783>7}Y:m<01?j9:3:0?xu>l3:1>vP6d:?1`3<68:1v4;50;0xZ<3<5;n=6>9n;|q:7?6=:rT2?63=d78:7>{t000;6?uQ889>6a0=1=1vk850;0x97b12:i;70:99;0;7>{zj;>;6=4::385!27i3on7E:94:J770=#9l=19;:4i8194?=n1<0;66g79;29?l?c2900c?j9:188f7b1290=6=4?{%65g?`63`;;?7>5$54`>46432c2?7>5$54`><5<3`3?6=4+47a9=1=5$54`>61f32e94>4?:%65g?4?;21vn986:182>5<7s->=o7:82:m1<6<72->=o7<73:9~w7b12909wS<;|q:1?6=:rT2963=d7803d=z{091<7>348o:77;;|qe2?6=:r79h;403?=:190qpl=3b83>0<52?q/8=o5ed9K032<@=9>7)?j7;750>o>;3:17d7::188m=?=831b5i4?::m1`3<722h9h;4?:783>5}#j0:<>54i8194?"3>j02?65f9583>!21k33?76g!21k39h<65f36c94?"3>j08;l54o3:0>5<#5=4;|`72<<7280;6=u+47a9024;279h;463:p<<<72;qU44522e49=1=z{o<1<76e734>=57<73:~f75?290>6?49{%63e?cb3A>=86F;349'5`1==?>0e4=50;9j=0<722c357>5;h;g>5<5<1290;w):9c;d2?l77;3:1(98l:020?>o>;3:1(98l:818?l?3290/8;m59598m6e7290/8;m53b28?l50i3:1(98l:25b?>i50:0;6):9c;0;7>=zj=<26=4>:183!21k3><>6a=8283>!21k383?65rs3f5>5<5sW8o:63=d781<6=z{0n1<7=279h;4<7`9~w<5=838pR4=4=3f5><533?7p}i6;296~;5l?08o=5247;96=53}#<9k1ih5G4768L1523-;n;7;94:k:7?6=3`3>6=44i9;94?=n1m0;66a=d783>>d5l?0;6;4?:1y'03e=n81b===50;&72f<68:10e4=50;&72f<>;21b594?:%65g??332c8o=4?:%65g?5d821b?:o50;&72f<4?h10c?6<:18'03e=:1907pl;6883>4<729q/8;m54608k7>4290/8;m52918?xu5l?0;6?uQ2e4897b12;287p}6d;296~X>l279h;4>029~w<3=838pR4;4=3f5>61f3ty2?7>52z\:7>;5l?02?6s|8883>7}Y0016>i85959~wc0=838p1?j9:2a3?8211383?6srb500>5<22;0=w):?a;gf?M21<2B??85+1179a>"6m>0>:95f9283>>o>=3:17d66:188mi850;9a6a0=83<1<7>t$54`>c71<7*;6b8:0>=n;j:1<7*;6b80g5=5$54`>7>432wi8;750;394?6|,=5<5sW3>70{t1:0;6?uQ929>6a0=1:1v5750;0xZ=?<5;n=64:4}rd5>5<5s48o:7=l0:?72<<50:1vqo:>f;291?4=>r.?::d9'5`1==?>0e4=50;9j=0<722c357>5;h;g>5<5<1290;w):9c;d2?l77;3:1(98l:020?>o>;3:1(98l:818?l?3290/8;m59598m6e7290/8;m53b28?l50i3:1(98l:25b?>i50:0;6):9c;0;7>=zj=<26=4>:183!21k3><>6a=8283>!21k383?65rs3f5>5<5sW8o:63=d781<6=z{0n1<7=279h;4<7`9~w<5=838pR4=4=3f5><533?7p}i6;296~;5l?08o=5247;96=53}#<9k1ih5G4768L1523-;;97k4$0g4>0033`386=44i8794?=n000;66g6d;29?j4c>3:17o=o7??3:9j=6<72->=o77<;:k:0?6=,=m?;:k03d<72->=o7=8a:9l6=5=83.?:n4=8298yg2113:1=7>50z&72f<3?;1d>5=50;&72f<50:10q~i852918yv?c2909wS7k;<0g2?77;2wx584?:3y]=0=::m<1?:o4}r;0>5<5sW3870vP79:?1`3<><2wxj;4?:3y>6a0=;j:01986:3:0?x{e<8<1<7;52;4x 16f2lo0D98;;I601>"68<0n7)?j7;750>o>;3:17d7::188m=?=831b5i4?::m1`3<722h9h;4?:783>5}#j0:<>54i8194?"3>j02?65f9583>!21k33?76g!21k39h<65f36c94?"3>j08;l54o3:0>5<#5=4;|`72<<7280;6=u+47a9024;279h;463:p<<<72;qU44522e49=1=z{o<1<76e734>=57<73:~f`?=8391>7:t$52b>`e<@==1b444?::k:`?6=3f8o:7>5;c0g2?6==381:v*;0`8fb>"6m>0>:95`2e494?=n:m?1<75f9e83>>o>=3:17d66:188f``=83<1<7>t$54`>c71<7*;6b8:0>=n;j:1<7*;6b80g5=5$54`>7>432wi8;950;394?6|,=;bn383?6s|42494?4|5ll15>5247596=2:183!24<330(9>n:g48 10d2==97)?j7;750>ia=3:1(98l:3:0?>{t:m<1<738o:6s|9e83>7}Y1m16>i859e9~w=?=838pR574=3f5>=?7b2348o:77:;<09b0=#<:>1o6srbd:94?5=:3>p(9>n:da8L1033A>896*>e68621=n000;66g6d;29?j4c>3:17or.?=1d>i850;9j6a3=831b5i4?::k:1?6=3`226=44bdd94?0=83:p(98l:g38m464290/8;m51118?l?4290/8;m59298m<2=83.?:n464:9j7f6=83.?:n4{e7}Y1m16ik4>029~w=?=838pR574=dd9=1=z{0?1<7k0q~850;0x9``=1:168;952968yxd5290:6=4?{%600??<,=:j6k84$54`>1153-;n;7;94:me1?6=,=2wx5i4?:3y]=a=::m<15i5rs9;94?4|V1301?j9:9;8yv3=839p1?j9:3f6?84c>33>70<5f49'062=k2wvn9l?:180>7<3s->;m7kl;I650>N3;<1/=h955768m=?=831b5i4?::m1`3<722h9h;4?:481>3}#<9k1ik5+1d591325<>o?13:17oki:185>5<7s->=o7h>;h337?6=,=<;:k:7?6=,=5<#5<#4;h14e?6=,=
9n;:m1<6<72->=o7<73:9~f100290:6=4?{%65g?40m2e9494?:%65g?4?;21v4j50;0xZ5<5sW2270ki:868yv?22909wS7:;61f3ty9h84?:3y]6a3<5ll1?n>4}r0g2?6=:rT9h;52eg81<6=z{=9=6=4={<5<5=<<6?6;;|a6?6=93:1"6m>0>:95`f483>!21k383?65rs3f5>5<5sW8o:63=d781`3=z{0n1<7>348o:766;|q6>5<4s48o:7=2796k;4$517>f=zuk?9<7>53;090~"38h0no6F;659K063<,8o<688;;h::>5<3:17d56;294~"3>j0m=6g>0283>!21k3;;?65f9283>!21k33876g64;29 10d20>07d=l0;29 10d2:i;76g<7`83>!21k39j094>54}c653?6=93:11<7*;6b81<6={t:m?1<77}Y:m<01hh52918yv24>3:1>v3jf;;0?821?38386srb383>4<729q/8>:59:&74d2.?:n4;739'5`1==?>0ck;50;&72f<50:10q~i852e48yv?c2909wS7k;<0g2??c3ty357>52z\;=>;5l?0356s|5;297~;5l?09h8522e49=0=::3l>7):<4;a8yxd0i3:1?7<54z&74di850;9a6a0=83?1>78t$52b>``<,8o<688;;n0g2?6=3`8o97>5;h;g>5<>dbn3:1:7>50z&72f4?:%65g?77;21b5>4?:%65g??432c287>5$54`><2<3`9h<7>5$54`>6e732c8;l4?:%65g?50i21d>5=50;&72f<50:10qo:97;295?6=8r.?:n4=7d9l6=2=83.?:n4=8298yv?c2909wS7k;4643ty357>52z\;=>;bn33?7p}65;296~X>=27nj7=8a:p6a3=838pR?j:;6e73ty9h;4?:3y]6a0<5ll1>5=4}r602?6=:r7nj77<;<653?4?<2wvn?4?:083>5}#<:>156*;0`8e2>"3>j0?;?5+1d5913252z\1`3=::m<1>i84}r;g>5<5sW3o70vP79:?1`353z?1`3<5l<16>i85949>6?`23->887m4}|`1`2<72:0969u+41c9af=O0D9=:;%3f3?31<2c357>5;h;g>5<5<22;0=w):?a;ge?!7b?3?=86a=d783>>o5l<0;66g6d;29?l?22900e5750;9aac<72?0;6=u+47a9b4=n9991<7*;6b8246==n1=0;6):9c;;7?>o4k90;6):9c;1`4>=n;>k1<7*;6b803d=5}#:k4o3:7>5<#5=4;|q:`?6=:rT2h63jf;337>{t000;6?uQ889>ac<><2wx584?:3y]=0=:mo08;l5rs3f6>5<5sW8o963jf;1`4>{t:m<1<77}:mo02?63;6681<1=zuk81<7?50;2x 153201/8=o5f79'03e=<>80(l279h;46d:p<<<72;qU44522e49<<=z{<0;6>u22e496a3<5;n=64;4=38e1>"3;=0h7psm1g:94?5=:3>p(9>n:da8L1033A>896*>e68621=n000;66g6d;29?j4c>3:17or.?=1d>i850;9j6a3=831b5i4?::k:1?6=3`226=44bdd94?0=83:p(98l:g38m464290/8;m51118?l?4290/8;m59298m<2=83.?:n464:9j7f6=83.?:n4{e7}Y1m16ik4>029~w=?=838pR574=dd9=1=z{0?1<7k0q~850;0x9``=1:168;952968yxd5290:6=4?{%600??<,=:j6k84$54`>1153-;n;7;94:me1?6=,=2wx5i4?:3y]=a=::m<15i5rs9;94?4|V1301?j9:9;8yv3=839p1?j9:3f6?84c>33>70<5f49'062=k2wvn9k8:180>7<3s->;m7kl;I650>N3;<1/=h955768m=?=831b5i4?::m1`3<722h9h;4?:481>3}#<9k1ik5+1d591325<>o?13:17oki:185>5<7s->=o7h>;h337?6=,=<;:k:7?6=,=5<#5<#4;h14e?6=,=
9n;:m1<6<72->=o7<73:9~f100290:6=4?{%65g?40m2e9494?:%65g?4?;21v4j50;0xZ5<5sW2270ki:868yv?22909wS7:;61f3ty9h84?:3y]6a3<5ll1?n>4}r0g2?6=:rT9h;52eg81<6=z{=9=6=4={<5<5=<<6?6;;|a6?6=93:1"6m>0>:95`f483>!21k383?65rs3f5>5<5sW8o:63=d781`3=z{0n1<7>348o:766;|q6>5<4s48o:7=2796k;4$517>f=zuk8;<7>55;092~"38h0ni6F;659K063<,8o<688;;h;0>5<>o>l3:17b3:1:7>50z&72f4?:%65g?77;21b5>4?:%65g??432c287>5$54`><2<3`9h<7>5$54`>6e732c8;l4?:%65g?50i21d>5=50;&72f<50:10qo:99;295?6=8r.?:n4;739l6=5=83.?:n4=8298yv4c>3:1>vP=d79>6a0=:190q~7k:181[?c348o:7??3:p=0<72;qU58522e4972g3387p}79;296~X?1279h;464:pb3<72;q6>i853b28910>2;287psm21;94?3=:3n:dg8L1033A>896*>e68621=n1:0;66g65;29?l>>2900e4j50;9l6a0=831i>i850;494?6|,=5<#5<#54i8694?"3>j02865f3b294?"3>j08o=54i25b>5<#t$54`>1153f83?7>5$54`>7>432wx>i850;0xZ7b1348o:7<73:p=a<72;qU5i522e495556=4={_;6?84c>397}Y1:16>i85929~w=?=838pR574=3f5><25;c0g2?6=>3:1o68:0;6):9c;337>=n1:0;6):9c;;0?>o><3:1(98l:868?l5d83:1(98l:2a3?>o4?h0;6):9c;14e>=h:191<7*;6b81<6==57>51;294~"3>j0?;?5`29194?"3>j094>54}r0g2?6=:rT9h;522e496=53;;?6s|9483>7}Y1<16>i8536c8yv?42909wS7<;<0g2??43ty357>52z\;=>;5l?0286s|f783>7}::m<1?n>4=54:>7>43twi?lj50;796?0|,=:j6hk4H547?M24=2.:i:4:659j=6<722c297>5;h::>5<<:18'03e=99907d7<:18'03e=1:10e4:50;&72f<><21b?n>50;&72f<4k910e>9n:18'03e=;>k07b<73;29 10d2;2876sm47;94?7=83:p(98l:551?j4?;3:1(98l:3:0?>{t:m<1<7383?6s|9e83>7}Y1m16>i851118yv?22909wS7:;<0g2?50i2wx5>4?:3y]=6=::m<15>5rs9;94?4|V1301?j9:868yv`12909w0;3>0094>5r}c1a3?6==381:v*;0`8fa>N3>=1C8>;4$0g4>0033`386=44i8794?=n000;66g6d;29?j4c>3:17o=o7??3:9j=6<72->=o77<;:k:0?6=,=m?;:k03d<72->=o7=8a:9l6=5=83.?:n4=8298yg2113:1=7>50z&72f<3?;1d>5=50;&72f<50:10q~i852918yv?c2909wS7k;<0g2?77;2wx584?:3y]=0=::m<1?:o4}r;0>5<5sW3870vP79:?1`3<><2wxj;4?:3y>6a0=;j:01986:3:0?x{e9m=1<7=52;6x 16f2li0D98;;I601>"68<0n7)?j7;750>o?13:17d7k:188k7b12900n?j9:186>7<1s->;m7ki;%3f3?31<2e9h;4?::k1`0<722c2h7>5;h;6>5<3<729q/8;m5f09j555=83.?:n4>0298m<5=83.?:n463:9j=1<72->=o77;;:k0g5<72->=o7=l0:9j72g=83.?:n4<7`98k7>4290/8;m52918?xd3>>0;6<4?:1y'03e=:>o0c?6;:18'03e=:1907p}6d;296~X>l27nj7??3:p<<<72;qU4452eg8:0>{t1<0;6?uQ949>ac<4?h1v?j::181[4c=27nj7=l0:p6a0=838pR?j9;7>43ty??;4?:3y>ac<>;27?::4=859~yg4=83;1<7>t$517><=#<9k1j;5+47a9024<,8o<688;;nd6>5<#5=4;|q1`3<72;qU>i84=3f5>7b13ty2h7>52z\:`>;5l?02h6s|8883>7}Y0016>i85889~w0<72:q6>i852e7897b120?01?4i5:&7715;h;g>5<5<22;0=w):?a;ge?!7b?3?=86a=d783>>o5l<0;66g6d;29?l?22900e5750;9aac<72?0;6=u+47a9b4=n9991<7*;6b8246==n1=0;6):9c;;7?>o4k90;6):9c;1`4>=n;>k1<7*;6b803d=5}#:k4o3:7>5<#5=4;|q:`?6=:rT2h63jf;337>{t000;6?uQ889>ac<><2wx584?:3y]=0=:mo08;l5rs3f6>5<5sW8o963jf;1`4>{t:m<1<77}:mo02?63;6681<1=zuk81<7?50;2x 153201/8=o5f79'03e=<>80(l279h;46d:p<<<72;qU44522e49<<=z{<0;6>u22e496a3<5;n=64;4=38e1>"3;=0h7psm43;94?5=939pD9=:;%63e?7bj2cj>7>5;hc0>5<5<4290;w):?a;657>N3>=1C8>;4$54`>1053-;n;7;94:ke<;:ke=?6=,=<;:m13g<72->=o7<73:9~wd4=838pRl<4=553>c?7}Y;kl0199?:35a?x{e<;21<7=51;1xL1523->;m7?jb:kb6?6=3`k86=44o2`e>5<=?6F;659K063<,=5$54`>46432cm57>5$54`>46432e9;o4?:%65g?4?;21vl<50;0xZd4<5==;6k74}rc0>5<5sWk870:80;d;?xu4jo0;6?uQ3cd891172;=i7psm43594?5=939pD9=:;%63e?7bj2cj>7>5;hc0>5<5<4290;w):?a;657>N3>=1C8>;4$54`>1053-;n;7;94:ke<;:ke=?6=,=<;:m13g<72->=o7<73:9~wd4=838pRl<4=553>c?7}Y;kl0199?:35a?x{e<<21<7=51;1xL1523->;m7?jb:kb6?6=3`k86=44o2`e>5<=?6F;659K063<,=5$54`>46432cm57>5$54`>46432e9;o4?:%65g?4?;21vl<50;0xZd4<5==;6k74}rc0>5<5sWk870:80;d;?xu4jo0;6?uQ3cd891172;=i7psm44594?5=939pD9=:;%63e?7bj2cj>7>5;hc0>5<5<4290;w):?a;657>N3>=1C8>;4$54`>1053-;n;7;94:ke<;:ke=?6=,=<;:m13g<72->=o7<73:9~wd4=838pRl<4=553>c?7}Y;kl0199?:35a?x{e<<<1<7=51;1xL1523->;m7?jb:kb6?6=3`k86=44o2`e>5<=?6F;659K063<,=5$54`>46432cm57>5$54`>46432e9;o4?:%65g?4?;21vl<50;0xZd4<5==;6k74}rc0>5<5sWk870:80;d;?xu4jo0;6?uQ3cd891172;=i7psm24;94?5=939pD9=:;%63e?7bj2cj>7>5;hc0>5<5<4290;w):?a;657>N3>=1C8>;4$54`>1053-;n;7;94:ke<;:ke=?6=,=<;:m13g<72->=o7<73:9~wd4=838pRl<4=553>c?7}Y;kl0199?:35a?x{e:;m7?jb:kb6?6=3`k86=44o2`e>5<=?6F;659K063<,=5$54`>46432cm57>5$54`>46432e9;o4?:%65g?4?;21vl<50;0xZd4<5==;6k74}rc0>5<5sWk870:80;d;?xu4jo0;6?uQ3cd891172;=i7psm24`94?5=939pD9=:;%63e?7bj2cj>7>5;hc0>5<5<4290;w):?a;657>N3>=1C8>;4$54`>1053-;n;7;94:ke<;:ke=?6=,=<;:m13g<72->=o7<73:9~wd4=838pRl<4=553>c?7}Y;kl0199?:35a?x{e:;m7?jb:kb6?6=3`k86=44o2`e>5<=?6F;659K063<,=5$54`>46432cm57>5$54`>46432e9;o4?:%65g?4?;21vl<50;0xZd4<5==;6k74}rc0>5<5sWk870:80;d;?xu4jo0;6?uQ3cd891172;=i7psm24f94?5=939pD9=:;%63e?7bj2cj>7>5;hc0>5<5<4290;w):?a;657>N3>=1C8>;4$54`>1053-;n;7;94:ke<;:ke=?6=,=<;:m13g<72->=o7<73:9~wd4=838pRl<4=553>c?7}Y;kl0199?:35a?x{e:;m7?jb:kb6?6=3`k86=44o2`e>5<=?6F;659K063<,=5$54`>46432cm57>5$54`>46432e9;o4?:%65g?4?;21vl<50;0xZd4<5==;6k74}rc0>5<5sWk870:80;d;?xu4jo0;6?uQ3cd891172;=i7psm24d94?5=939pD9=:;%63e?7bj2cj>7>5;hc0>5<5<4290;w):?a;657>N3>=1C8>;4$54`>1053-;n;7;94:ke<;:ke=?6=,=<;:m13g<72->=o7<73:9~wd4=838pRl<4=553>c?7}Y;kl0199?:35a?x{e:?:1<7=51;1xL1523->;m7?jb:kb6?6=3`k86=44o2`e>5<=?6F;659K063<,=5$54`>46432cm57>5$54`>46432e9;o4?:%65g?4?;21vl<50;0xZd4<5==;6k74}rc0>5<5sWk870:80;d;?xu4jo0;6?uQ3cd891172;=i7psm24594?5=939pD9=:;%63e?7bj2cj>7>5;hc0>5<5<4290;w):?a;657>N3>=1C8>;4$54`>1053-;n;7;94:ke<;:ke=?6=,=<;:m13g<72->=o7<73:9~wd4=838pRl<4=553>c?7}Y;kl0199?:35a?x{e:<21<7=51;1xL1523->;m7?jb:kb6?6=3`k86=44o2`e>5<=?6F;659K063<,=5$54`>46432cm57>5$54`>46432e9;o4?:%65g?4?;21vl<50;0xZd4<5==;6k74}rc0>5<5sWk870:80;d;?xu4jo0;6?uQ3cd891172;=i7psm24494?5=939pD9=:;%63e?7bj2cj>7>5;hc0>5<5<4290;w):?a;657>N3>=1C8>;4$54`>1053-;n;7;94:ke<;:ke=?6=,=<;:m13g<72->=o7<73:9~wd4=838pRl<4=553>c?7}Y;kl0199?:35a?x{eil0;6?4?:1y'05g=99<0D98;;I601>"3>j03:6*>e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=On:528L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c1a2?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c003?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi>>l50;194?6|,=:j69>4H547?M24=2.?:n4=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo<079K032<@=9>7):9c;:5?!7b?3?=86gi7;29 10d28:876a=7c83>!21k383?6F;6c98yg44n3:1?7>50z&74d<382B?:95G4278 10d2:l0(290/8;m51118?j40j3:1(98l:3:0?>{e:=81<7<50;2x 16f28:=7E:94:J770=#n:528L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c072?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=53;294~"38h0?<6F;659K063<,=
h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi>9o50;094?6|,=:j6<>9;I650>N3;<1/8;m5879'5`1==?>0ek950;&72f<68:10c?9m:18'03e=:190D98m;:a61d=8391<7>t$52b>16<@==o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yg43m3:1>7>50z&74d<68?1C8;:4H516?!21k32=7)?j7;750>oa?3:1(98l:020?>i5?k0;6):9c;0;7>N3>k10qo<;f;297?6=8r.?n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e:<91<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=53;294~"38h094=5G4768L1523->=o7<8f:&2a2<2>=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo079K032<@=9>7):9c;:5?!7b?3?=86gi7;29 10d28:876a=7c83>!21k383?6F;6c98yg47l3:1?7>50z&74d<382B?:95G4278 10d2:l0(290/8;m51118?j40j3:1(98l:3:0?>{e:881<7<50;2x 16f28:=7E:94:J770=#n:528L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c040?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>16<@==o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yg4083:1?7>50z&74d<382B?:95G4278 10d2:l0(290/8;m51118?j40j3:1(98l:3:0?>{e:?o1<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=53;294~"38h0?<6F;659K063<,=
h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi>;o50;194?6|,=:j69>4H547?M24=2.?:n4=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo<98;297?6=8r.?n:528L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c050?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>16<@==o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yg22=3:1?7>50z&74d<382B?:95G4278 10d2:l0(290/8;m51118?j40j3:1(98l:3:0?>{e<<81<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=?j7>53;294~"38h0?<6F;659K063<,=
h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi89l50;094?6|,=:j6<>9;I650>N3;<1/8;m5879'5`1==?>0ek950;&72f<68:10c?9m:18'03e=:190D98m;:a01e=8391<7>t$52b>16<@==o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yg22i3:1?7>50z&74d<382B?:95G4278 10d2:l0(290/8;m51118?j40j3:1(98l:3:0?>{e<"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=997>52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c612?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi8?<50;194?6|,=:j69>4H547?M24=2.?:n4=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo:>d;296?6=8r.?079K032<@=9>7):9c;:5?!7b?3?=86gi7;29 10d28:876a=7c83>!21k383?6F;6c98yg26m3:1?7>50z&74d<382B?:95G4278 10d2:l0(290/8;m51118?j40j3:1(98l:3:0?>{e<821<7<50;2x 16f28:=7E:94:J770=#n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e<8k1<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=9n7>53;294~"38h0?<6F;659K063<,=
h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi8?j50;194?6|,=:j69>4H547?M24=2.?:n4=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo?j3;296?6=8r.?079K032<@=9>7):9c;:5?!7b?3?=86gi7;29 10d28:876a=7c83>!21k383?6F;6c98yg7b<3:1?7>50z&74d<382B?:95G4278 10d2:l0(290/8;m51118?j40j3:1(98l:3:0?>{e9m<1<7:50;2x 16f2=;0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=nnh0;6):9c;337>=h:>h1<7*;6b81<6=:=7>53;294~"38h0?<6F;659K063<,=
h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi8<<50;094?6|,=:j6<>9;I650>N3;<1/8;m5879'5`1==?>0ek950;&72f<68:10c?9m:18'03e=:190D98m;:a045=8381<7>t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi8<:50;194?6|,=:j69>4H547?M24=2.?:n4=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo:>5;297?6=8r.?n:578L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65ff`83>!21k3;;?65ffc83>!21k3;;?65ffb83>!21k3;;?65ffe83>!21k3;;?65ffd83>!21k3;;?65ffg83>!21k3;;?65`26`94?"3>j094>54}c3ef?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi=kj50;194?6|,=:j69>4H547?M24=2.?:n4=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo?ie;297?6=8r.?n:528L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c021?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c02`?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c02b?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c015?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=7>52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c017?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c023?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c02=?6=13:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#5<#5<#5<#5<#5<#5<#t$52b>14<@==o7??3:9jb<<72->=o7??3:9jbd<72->=o7??3:9jbg<72->=o7??3:9l62d=83.?:n4=8298yg46j3:1?7>50z&74d<382B?:95G4278 10d2:l0(290/8;m51118?j40j3:1(98l:3:0?>{e;k:1<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c1a6?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=53;294~"38h0?<6F;659K063<,=
h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi?o:50;194?6|,=:j69>4H547?M24=2.?:n4=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo?kd;296?6=8r.?079K032<@=9>7):9c;:5?!7b?3?=86gi7;29 10d28:876a=7c83>!21k383?6F;6c98yg7cm3:1>7>50z&74d<68?1C8;:4H516?!21k32=7)?j7;750>oa?3:1(98l:020?>i5?k0;6):9c;0;7>N3>k10qo?kf;297?6=8r.?n:528L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c3f5?6=;3:10D9=:;%65g?40n2.:i:4:659jb=<72->=o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yg7c13:187>50z&74d<392B?:95G4278 10d2:l0(290/8;m51118?l`f290/8;m51118?j40j3:1(98l:3:0?>{e9mk1<7=50;2x 16f2;2;7E:94:J770=#:h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi?om50;094?6|,=:j6<>9;I650>N3;<1/8;m5879'5`1==?>0ek950;&72f<68:10c?9m:18'03e=:190D98m;:a7gb=8381<7>t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi?ok50;694?6|,=:j69?4H547?M24=2.?:n4=1bj54?:%65g?77;21bj44?:%65g?77;21bjl4?:%65g?77;21d>:l50;&72f<50:10qo<=5;296?6=8r.?079K032<@=9>7):9c;:5?!7b?3?=86gi7;29 10d28:876a=7c83>!21k383?6F;6c98yg45>3:1>7>50z&74d<68?1C8;:4H516?!21k32=7)?j7;750>oa?3:1(98l:020?>i5?k0;6):9c;0;7>N3>k10qo<=7;296?6=8r.?079K032<@=9>7):9c;:5?!7b?3?=86gi7;29 10d28:876a=7c83>!21k383?6F;6c98yg4503:1>7>50z&74d<68?1C8;:4H516?!21k32=7)?j7;750>oa?3:1(98l:020?>i5?k0;6):9c;0;7>N3>k10qo<=9;297?6=8r.?7):9c;04b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c01e?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>7>73A>=86F;349'03e=:>l0(290/8;m51118?j40j3:1(98l:3:0?>{e:;i1<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=7>52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c037?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c031?6=<3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#5<#t$52b>7>73A>=86F;349'03e=:>l0(290/8;m51118?j40j3:1(98l:3:0?>{e:9=1<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=53;294~"38h094=5G4768L1523->=o7<8f:&2a2<2>=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo9l:181>5<7s->;m7??6:J721=O<:?0(98l:948 4c02<t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi;h4?:283>5}#<9k18=5G4768L1523->=o7=i;%3f3?31<2cm47>5$54`>46432cm57>5$54`>46432e9;o4?:%65g?4?;21vn:h50;194?6|,=:j69>4H547?M24=2.?:n4=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo6?:180>5<7s->;m7<70:J721=O<:?0(98l:35e?!7b?3?=86gi8;29 10d28:876gi9;29 10d28:876a=7c83>!21k383?65rb9394?5=83:p(9>n:528L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c6a7?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi8o;50;094?6|,=:j6<>9;I650>N3;<1/8;m5879'5`1==?>0ek950;&72f<68:10c?9m:18'03e=:190D98m;:a0g0=8391<7>t$52b>16<@==o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yg2e?3:1?7>50z&74d<5091C8;:4H516?!21k38e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=i47>53;294~"38h0?<6F;659K063<,=
h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi8o750;194?6|,=:j6?6?;I650>N3;<1/8;m526d8 4c02<n:508L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65ff`83>!21k3;;?65ffc83>!21k3;;?65`26`94?"3>j094>54}c0ga?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c0f4?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c0f6?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=53;294~"38h094=5G4768L1523->=o7<8f:&2a2<2>=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qon:528L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c0ge?6=;3:10D9=:;%65g?40n2.:i:4:659jb=<72->=o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yg4cj3:1?7>50z&74d<5091C8;:4H516?!21k38e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=53;294~"38h0?<6F;659K063<,=
h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi>ij50;194?6|,=:j6?6?;I650>N3;<1/8;m526d8 4c02<n:528L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c01b?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi>>?50;194?6|,=:j6?6?;I650>N3;<1/8;m526d8 4c02<n:538L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65ff`83>!21k3;;?65`26`94?"3>j094>54}c007?6=;3:10D9=:;%65g?40n2.:i:4:659jb=<72->=o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yv1f2908wS9n;<5b>7b134=n6k64}r5a>5<5s4=j64j4=93962d71e34=n6k74}r5g>5<5s4=o6?9m;<5e>c?71e342;6k64}r5e>5<5s4=m6?9m;<:3>c?71e342:6k74}r:1>5<>sW2970::5;d;?822:3l370:;f;d;?823k3l370<=5;d4?847=3l370006=001689j5889>01?=0016><>5889>65g=0016>8>5889>61e=0016>965889>612=0016>9>5889>66e=0016>>65889>662=00168?=5889>04`=00168040=0016i4479:?f>34>i<766;<714?>>34=j6574=3f4>=?<58l36574=5g4>=?<5;:;6574=32:>=?<5=:m6574=2cg>=?<5:h<6574=0f4>=?<58ni6574}rc`>5<5sWkh70k7:8f8yvgc290iw0:?f;;0?85fl33870=m7;;0?826>33870:=3;;0?826n33870:>b;;0?8ga2;=i70:<2;d:?87c>3l370<=e;d;?xufm3:1>v3ne;04f>;fn3l27p}j6;297~;b133o70k7:3f5?8gb2o=0q~k8:1818c>2;n=70oi:g:8yv7c=3:18v3>d7813g=:9mo1j:521ed9b==:9m31j55rs0f4>5i87h8;<006?`>3ty:h54?:3y>5a1=1m16=io526`8yv7c13:1>v3>d8813g=:9mk1j45rs0fa>5c><5=h36k64=313>c152z?2`g<>l27:i<4=7c9~w4bc2909w0?kd;04f>;6lo0m56s|1eg94?4|58nn6?9m;<3f4?`>3ty:hk4?:3y>5a`=:>h01:g:8yv7b83:1>v3>e1813g=:9l;1j45rs0g1>5<5s4;n?7<8b:?2a12;d4?87b<38;58;0m;63=048e=>{t9o21<77b134;o:7hn;|q2b<<72;q6=k659e9>5c`=:>h0q~?ia;296~;6nh09;o521gd9b==z{8li6=4={<3ef?40j27:jh4i8:p5ce=838p1fe83>7}:9on1>:l4=0df>c?52z?2b`<5?k16=kh5f89~w4cd290;5=?0j>63=638e=>;6nj0m;63=1b8e3>;58=0m;63=e08e3>{t:9;1<7=<526`897612o20q~::35a?84703l27p}=0783>7}::9<1>:l4=324>c>52z?142<5?k16>=65f99~w4ca290=w0;5=?0j?63=638e<>;6nh0m463=148e3>;5m;0m;6s|1df94?3|5;:j6?j9;<063?g4348<87h7;<3ef?`?348::7h8;|q14g<72;q6>=o59e9>65b=:>h0q~<77<;<07g??4348?477<;<070??4348?<77<;<00g??43488477<;<000??4348:<77<;<03b?40j2wx=hk50;6x97772;n=70<:8;c0?87al3l370<>8;d4?xu5980;6?u22029=a=::891>:l4}r026?6=:r79=?4=7c9>645=n01v??;:187846j38;58:0m;63=308e<>{t:8?1<771e348:57h7;|q153<72;q6><8526`8977f2o30q~<>7;296~;59>09;o5220c9bd=z{;;36=4={<027}::8k1>:l4=33a>c?52z?15f<5?k16><75f89~w77c2909w0<>d;04f>;5900mm6s|20g94?4|5;;n6?9m;<02=?`e3ty9=k4?:3y>64`=:>h01??6:ga8yv4583:1>v3=21813g=::831ji5rs302>5<5s489=7<8b:?15<?<50;0x97452;=i70<>9;de?xu5::0;6?u2231962d<5;;j6k64}r010?6=:r79<446d:?16f<5?k1v?<::181845=38{t:;<1<771e348957h6;|q162<72;q6>?9526`8974f2o30q~<=8;296~;5:109;o5223`9b<=z{;826=4={<01=?40j279>l4i8:p67g=838p1?7}::;h1>:l4=30`>c?53z?145<>;279<4463:?176<5?k1v?{t:;l1<771e3488=7h6;|q175<72;q6>>>526`897552ok0q~<<1;296~;5;809;o522209b==z{;996=4={<006?40j279?>4i9:p5c6=83?p1?=;:3f5?84213k870<94;d;?87ai3l270<>d;d4?xu5;<0;6?u22269=a=:::=1>:l4}r002?6=:r79?;4=7c9>661=n01v:186844038o:63=5`8b7>;5>?0m463>f`8ef>;59o0m;6s|22;94?4|5;9364j4=31a>71e3ty9?l4?:3y>66g=:>h01?=m:g;8yv7a:3:19v3=3b81`3=::5227:9b==:9ok1jl5220g9b2=z{;9o6=4={<00g??c3488j7<8b:p66c=838p1?=j:35a?844n3l27p}>f283>0}::=:1>i84=37`>d5<5;ce<5;8;6k94}r075?6=:r798=46d:?106<5?k1v?:=:181843:38{t9o>1<7;t=367>7b1348>h7o<;<05g?`?34;mm7hj;<016?`03ty9884?:3y>612=1m16>99526`8yv43>3:1>v3=47813g=::==1j45rs0d6>5<2s48?47<4i7:p61?=838p1?:7:8f8972e2;=i7p}=4`83>7}::=k1>:l4=36a>c?55z?10f<5l?16>8h5a29>626=n116=ko5fg9>675=n>1v?:k:181843k33o70<;f;04f>{t:=o1<771e348?j7h6;|q2b2<728>52e4897072h901?9=:g:894`e2o301??8:g58yv4293:1>v3=518:`>;5=:09;o5rs371>5<5s48>>7<8b:?116;?50;1x973>2h801?8;:g;897052;=i7p}=6283>6}::1>:l4}r051?6=;r799o4n2:?12={t:?31<7=t=37g>d4<5;71e3ty9:o4?:2y>60c=i;16>;k5f89>63e=:>h0q~<9d;297~;5=o0j>63=718e=>;5>l09;o5rs34e>5<4s48=<7o=;<046?`>348<<7<8b:p627=839p1?;8:`0897132o301?9=:35a?xu5?:0;6?u224:9e7=::>>1>:l4}r043?6=9=q68;;5f49>646=1<16>=o5949>606=1<16>9m5949>61>=1<16>9:5949>616=1<16>>m5949>66>=1<16>>:5949>075=1<16804d=1<168<85949>656=1<16>=75949>05`=1<16?lj5949>7g1=1<1v?j8:180[4c?279h:4=d79>6`5=n11v?j7:18184c?33o70{t:m31<771e348n?7h6;|q1`d<72;q6>io526`897be2o30q~7}::ml1>:l4=3g7>c?52z?1a5<5?k16>io5f89~w7c62909w0;5m<0m46s|2d094?4|5;o96?9m;<0f1?`>3ty9i>4?:3y>6`5=:>h01?jm:g:8yv4b<3:1>v3=e5813g=::mk1j55rs3g6>5<5s48n97<8b:?1`ak650;0xZ7`?348oi7h8;|q0ea<72;qU?lj4=2cg>7b13ty8mk4?:3y>7g0=n>16?o:526`8yv5e83:1>v31j55rs2`2>5<5s49i=7<8b:?0f6l8:181[5e?278n:4=d79~w6de2909w0=m7;;g?85em387gb=:>h01>lj:gc8yv5c:3:18vP670=n>16>=;5f`9>67`=n01v>k<:181[5b;279hk4i7:p05`=83>p19>i:3f5?824:3l370?k6;d:?845m3l27p};1183>7}:<9l15i52407962d:=7>52z?754<5?k168<;5f99~w1752909w0:>2;04f>;39=0m46s|40194?4|5=;86?9m;<620?`>3ty?=94?:3y>042=:>h019?::g;8yv27j3:1:v3;1781`3=:<;=1m?5240;9b2=:<;h1j4524039b==:;k;1j:5rs534>5<5s4>::77k;<62e?40j2wx8<650;0x917?2;=i70:>a;d;?xu3900;6?u240;962d<5=;j6k74}r63g?6=>r7?=o4=d79>071=i:168?l5f99>044=n>16?o>5f99>7gb=n>1v9?l:181826j33o70:>e;04f>{t<8n1<771e34>:i7h6;|q74a<72?q68l=:g5896dd2o=0q~:=0;296~;39o02h63;23813g=z{=8:6=4={<615?40j27?>?4i9:p05c=83?p19<<:3f5?82513k870:>1;d:?85e83l270=me;d:?xu3:=0;6?u24319=a=:<;<1>:l4}r611?6=:r7?>84=7c9>070=n01v9;3:m0m56s|43a94?4|5=826l<4=50g>71e3ty?>h4?:3y>071=;kl019?j:g:8yv25n3:1>v3;2980fc=:<;81j55rs513>5<5s4>957=mf:?763?50;4x91552;=i708;d4?xu3<<0;68u245;96a0<5=?=6l<4=56a>c1<5=?j6k74=3f:>c>?m7>52z?70<<>l27?8n4=7c9~w12e2909w0:;b;04f>;3o6?j9;<662?g434>>m7h7;<0g=?`>3ty?8h4?:3y>01b=1m1689h526`8yv23?3:18v3;5181`3=:<<=1m>5244a9b==::m31jl5rs572>5<5s4>><77k;<666?40j2wx89650;1x91342;n=70::8;c0?84c13li7p};5583>7}:<<915i52447962d>57>53z?71200e=n01v9;m:18182203k970::c;04f>{t<6da34>?j7h6;|q71`<72;q688953cd891352o30q~::f;296~;3=108nk524479b<=z{==86=4={<062?5en279>n4i8:p022=838p1?;8:2`e?847l3l37p};7483>7}::<21?oh4=330>c><:7>52z?11<<4jo16>>95f99~w1102909w0<:a;1ab>;5;k0m46s|46:94?4|5;?i6>li;<00b?`?3ty?;44?:3y>60e=;kl01?:<:g:8yv20i3:1>v3=5e80fc=::==1j55rs55a>5<5s48>i7=mf:?10gn1j:524c19b<=:5<5s4>i<77k;<6a=?40j2wx8o=50;0x91d42;=i70:m9;d;?xu3j=0;6?u24c6962d<5=h=6k64}r6a1?6=:r7?n84=7c9>0g0=n01v9l9:18182e>38{t71e34>i47h6;|q7f=<72;q68o6526`891d>2o30q~:j5;296~X3m<168h959e9~w1c02908w0:j7;0g2>;6l00m563;b48e3>{t=;:1<7n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e<1<1<7<50;2x 16f28:=7E:94:J770=#n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e<121<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c6;a?6=:3:10D9=:;%65g?>13A;9?6*>ae8246=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4;|`66<<72;0;6=u+41c9554<@=2B:>>5+1`f9555<,8o<688;;hd4>5<#t$52b>4653A>=86F;349'03e=0?1C=?=4$0cg>4643-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:9~f`2=8381<7>t$52b>4653A>=86F;349'03e=0?1C=?=4$0cg>4643-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:9~f`d=8381<7>t$52b>4653A>=86F;349'03e=0?1C=?=4$0cg>4643-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:9~f1ef29096=4?{%63e?77:2B?:95G4278 10d21<0D<<<;%3b`?77;2.:i:4:659jb2<72->=o7??3:9l62d=83.?:n4=8298yg2b>3:1>7>50z&74d<68;1C8;:4H516?!21k32=7E?=3:&2ea<68:1/=h955768mc1=83.?:n4>0298k71e290/8;m52918?xd2=10;6?4?:1y'05g=9980D98;;I601>"3>j03:6F>229'5db=9990({e180;6?4?:1y'05g=9980D98;;I601>"3>j03:6F>229'5db=9990({e0k0;6?4?:1y'05g=9980D98;;I601>"3>j03:6F>229'5db=9990({eoa?3:1(98l:020?>i5?k0;6):9c;0;7>=zj6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6=4=:183!27i3;;>6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6=4=:183!27i3;;>6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=54;090~"38h0:>?5G4768L1523-;n;7;94:ke3?6=3`kh6=44o35a>5<5<5290;w):?a;336>"3>j03:6F>229'5db=9990({e:>n1<7=51;1x 16f2;=o7E?=3:&2ea<50:1/=h955768mc1=831bmn4?::m13g<722h?:54?:283>5}#j0:<>54i2d0>5<#5<#5=4H2d6?>{tn>0;6?uQf69>03>=n>1vlm50;0xZde<5=<36>h<;|q13g<72;qU>:l4=54;>71e3twx>:l50;0xZ71e34;;>7<8b:p55>=839pR<>7;<336?`03487<729q/8=o526a8L1033A>896*;6b8;2>N6::1/=lj52918 4c02<n:35`?M21<2B??85+47a9<3=O9;90(!21k383?65rb405>5<5290;w):?a;04g>N3>=1C8>;4$54`>=0<@8887)?nd;0;7>"6m>0>:95ff683>!21k3;;?65`26`94?"3>j094>54}c:e>5<5290;w):?a;04g>N3>=1C8>;4$54`>=0<@8887)?nd;0;7>"6m>0>:95ff683>!21k3;;?65`26`94?"3>j094>54}c72f?6=:3:10D9=:;%65g?>13A;9?6*>ae81<6=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4;|`654<72;0;6=u+41c962e<@=2B:>>5+1`f96=5<,8o<688;;hd4>5<#t$52b>71d3A>=86F;349'03e=0?1C=?=4$0cg>7>43-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:9~f1d629096=4?{%63e?40k2B?:95G4278 10d21<0D<<<;%3b`?4?;2.:i:4:659jb2<72->=o7??3:9l62d=83.?:n4=8298yg2>83:1?7?53z&74d<5?m1C8;:4H516?M75;2.:mi4=829'5`1==?>0ek950;9jef<722e9;o4?::`72=<72:0;6=u+47a97c276s|f683>7}Yn>168;65f69~wde=838pRlm4=54;>6`43ty9;o4?:3y]62d<5=<36?9m;|a0d4=8381<7>t$52b>71d3A>=86F;349'03e=0?1C=?=4$0cg>7>43-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:9~f07429096=4?{%63e?40k2B?:95G4278 10d21<0D<<<;%3b`?4?;2.:i:4:659jb2<72->=o7??3:9l62d=83.?:n4=8298yg35j3:1?7?53z&74d<5?m1C8;:4H516?M75;2.:mi4=829'5`1==?>0ek950;9jef<722e9;o4?::`72=<72:0;6=u+47a97c276s|f683>7}Yn>168;65f69~wde=838pRlm4=54;>6`43ty9;o4?:3y]62d<5=<36?9m;|a157=8381<7>t$52b>71d3A>=86F;349'03e=0?1C=?=4$0cg>7>43-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:9~f1>329096=4?{%63e?40k2B?:95G4278 10d21<0D<<<;%3b`?4?;2.:i:4:659jb2<72->=o7??3:9l62d=83.?:n4=8298yg2?j3:1>7>50z&74d<5?j1C8;:4H516?!21k32=7E?=3:&2ea<50:1/=h955768mc1=83.?:n4>0298k71e290/8;m52918?xd2::0;6?4?:1y'05g=:>i0D98;;I601>"3>j03:6F>229'5db=:190({eoa?3:1(98l:020?>i5?k0;6):9c;0;7>=zj=oh6=4<:080!27i38"6m>0>:95ff683>>ofk3:17b<8b;29?g2103:1?7>50z&72f<4n=1bj:4?:%65g?77;21b?k=50;&72f<>i21d>:l50;&72f<50:1C?k;4;|qe3?6=:rTm;63;698e3>{tij0;6?uQab9>03>=;o90q~<8b;296~X5?k168;6526`8yxd2<80;6>4>:2y'05g=:>n0D98;;I601>N6::1/=lj52918 4c02<:l50;9a03>=8391<7>t$54`>6`33`l<6=4+47a9555<3`9m?7>5$54`>5$54`>7>43A9m965rsg594?4|Vo=01987:g58yvgd2909wSol;<65:l50;0xZ71e34>=47<8b:~f1b029096=4?{%63e?40k2B?:95G4278 10d21<0D<<<;%3b`?4?;2.:i:4:659jb2<72->=o7??3:9l62d=83.?:n4=8298yg2b83:1>7>50z&74d<5?j1C8;:4H516?!21k32=7E?=3:&2ea<50:1/=h955768mc1=83.?:n4>0298k71e290/8;m52918?xd3i<0;6?4?:1y'05g=:>i0D98;;I601>"3>j03:6F>229'5db=:190({e;;i1<7<50;2x 16f2;=h7E:94:J770=#oa?3:1(98l:020?>i5?k0;6):9c;0;7>=zj:8=6=4=:183!27i38e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=47>52;294~"38h09;n5G4768L1523->=o769;I317>"6im094>5+1d591325}#<9k1>:m4H547?M24=2.?:n476:J266=#9hn1>5=4$0g4>0033`l<6=4+47a9555<3f85$54`>7>432wi?9m50;094?6|,=:j6?9l;I650>N3;<1/8;m5879K575<,8ko6?6<;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;21vn>:9:181>5<7s->;m7<8c:J721=O<:?0(98l:948L4443-;jh7<73:&2a2<2>=1bj:4?:%65g?77;21d>:l50;&72f<50:10qo=;0;296?6=8r.?7):9c;:5?M75;2.:mi4=829'5`1==?>0ek950;&72f<68:10c?9m:18'03e=:1907pl<3`83>7<729q/8=o526a8L1033A>896*;6b8;2>N6::1/=lj52918 4c02<n:35`?M21<2B??85+47a9<3=O9;90(!21k383?65rb210>5<5290;w):?a;04g>N3>=1C8>;4$54`>=0<@8887)?nd;0;7>"6m>0>:95ff683>!21k3;;?65`26`94?"3>j094>54}c11`?6=:3:10D9=:;%65g?>13A;9?6*>ae81<6=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4;|`065<72;0;6=u+41c962e<@=2B:>>5+1`f96=5<,8o<688;;hd4>5<#7E??4:&2ea<68:1/=h752b28m7b6290/8;m52e38?xd3k3:1=7>50z&72f<5l81C8>;4H027?!7fl3;;?6*>e881g==n:m;1<7*;6b81`4=o6=4>:183!21k38o=6F;349K552<,8ko6<><;%3f=?4?>2c9h<4?:%65g?4c921vn9k50;394?6|,=;I601>N68=1/=lj51118 4c>2;2<7d4<729q/8;m52e38L1523A;;86*>ae8246=#9l31>564i3f2>5<#i?4;|`64?6=93:1;;%3b`?77;2.:i44=889j6a7=83.?:n4=d098yg36290:6=4?{%65g?4c92B??85G1168 4gc28:87)?j9;0;e>o5l80;6):9c;0g5>=zj<81<7?50;2x 10d2;n:7E:<5:J241=#9hn1===4$0g:>7>e3`8o=7>5$54`>7b632wi9>4?:083>5}#i?4H516?M77<2.:mi4>029'5`?=:1i0e?j>:18'03e=:m;07pl:4;295?6=8r.?:n4=d09K063<@8:?7)?nd;337>"6m0094i5f2e394?"3>j09h<54}c76>5<6290;w):9c;0g5>N3;<1C==:4$0cg>4643-;n57=o7t$54`>7b63A>896F>059'5db=9990({e=>0;6<4?:1y'03e=:m;0D9=:;I330>"6im0:<>5+1d;96=`47>51;294~"3>j09h<5G4278L4633-;jh7??3:&2a<<5181b>i?50;&72f<5l810qo;n:182>5<7s->=o70(!21k38o=65rb4`94?7=83:p(98l:3f2?M24=2B:<95+1`f9555<,8o26?mj;h0g5?6=,=;:a1f<7280;6=u+47a96a7<@=9>7E??4:&2ea<68:1/=h752bd8m7b6290/8;m52e38?xd2l3:1=7>50z&72f<5l81C8>;4H027?!7fl3;;?6*>e881`5=n:m;1<7*;6b81`4=:183!21k38o=6F;349K552<,8ko6<><;%3f=?4>82c9h<4?:%65g?4c921vn8h50;394?6|,=;I601>N68=1/=lj51118 4c>2;327d4<729q/8;m52e38L1523A;;86*>ae8246=#9l31>l?4i3f2>5<#i?4;|`55?6=93:1;;%3b`?77;2.:i44=ag9j6a7=83.?:n4=d098yg05290:6=4?{%65g?4c92B??85G1168 4gc28:87)?j9;0a3>o5l80;6):9c;0g5>=zj1k1<7?50;2x 10d2;n:7E:<5:J241=#9hn1===4$0g:>7?53`8o=7>5$54`>7b632wi4h4?:083>5}#i?4H516?M77<2.:mi4=829'5`?=:hn0c?j>:18'03e=:m;07pl60;295?6=8r.?:n4=d09K063<@8:?7)?nd;337>"6m0095>5f2e394?"3>j09h<54}cc`>5<6290;w):9c;0g5>N3;<1C==:4$0cg>4643-;n57<65:k1`4<72->=o7t$54`>7b63A>896F>059'5db=9990({e:l<1<7?50;2x 10d2;n:7E:<5:J241=#9hn1>5=4$0g:>7g>3f8o=7>5$54`>7b632wi>h950;394?6|,=;I601>N68=1/=lj52918 4c>2;ki7b;:a6`g=83;1<7>t$54`>7b63A>896F>059'5db=:190({e:lh1<7?50;2x 10d2;n:7E:<5:J241=#9hn1>5=4$0g:>7g23f8o=7>5$54`>7b632wi>hm50;394?6|,=;I601>N68=1/=lj52918 4c>2;k87b;:a6c4=83;1<7>t$54`>7b63A>896F>059'5db=:190({e:o91<7?50;2x 10d2;n:7E:<5:J241=#9hn1>5=4$0g:>7g13f8o=7>5$54`>7b632wi>k:50;394?6|,=;I601>N68=1/=lj52918 4c>2;k37b;:a6c0=83;1<7>t$54`>7b63A>896F>059'5db=:190({e<191<7?50;2x 10d2;n:7E:<5:J241=#9hn1>5=4$0g:>7e33f8o=7>5$54`>7b632wi85750;394?6|,=;I601>N68=1/=lj52918 4c>2;i87b;:a0=`=83;1<7>t$54`>7b63A>896F>059'5db=:190(5<7s->=o70(!21k38o=65rb5c7>5<6290;w):9c;0g5>N3;<1C==:4$0cg>7>43-;n57=o7o5l80;6):9c;0g5>=zj=h;6=4>:183!21k38o=6F;349K552<,8ko6?6<;%3f=?4e12e9h<4?:%65g?4c921vn9ln:182>5<7s->=o70(!21k38o=65rb5a4>5<6290;w):9c;0g5>N3;<1C==:4$0cg>7>43-;n57<67:m1`4<72->=o7290:6=4?{%65g?4c92B??85G1168 4gc28:87)?j9;0aa>o5l80;6):9c;0g5>=zj=n:6=4>:183!21k38o=6F;349K552<,8ko6?6<;%3f=?4d=2e9h<4?:%65g?4c921vn9j::182>5<7s->=o70(!21k38o=65rb5ff>5<6290;w):9c;0g5>N3;<1C==:4$0cg>7>43-;n57=o7o5l80;6):9c;0g5>=zj=o26=4>:183!21k38o=6F;349K552<,8ko6<><;%3f=?4>i2c9h<4?:%65g?4c921vn9km:182>5<7s->=o70(!21k38o=6F50z&72f<5l81C8>;4H027?!7fl383?6*>e881e5=h:m;1<7*;6b81`4=51;294~"3>j09h<5G4278L4633-;jh7<73:&2a<<5j=1d>i?50;&72f<5l810qo;>2;295?6=8r.?:n4=d09K063<@8:?7)?nd;0;7>"6m009n85`2e394?"3>j09h<54}c720?6=93:1;;%3b`?4?;2.:i44=b29l6a7=83.?:n4=d098yg36k3:1=7>50z&72f<5l81C8>;4H027?!7b138hm6%=d083>!21k38o=65rb402>5<6290;w):9c;0g5>N3;<1C==:4$0cg>7>43-;n57<6e:m1`4<72->=o7i5l80;6):9c;0g5>=zj<836=4>:183!21k38o=6F;349K552<,8ko6<><;%3f=?4>k2c9h<4?:%65g?4c921vn85<7s->=o70(!21k38o=6F50z&72f<5l81C8>;4H027?!7fl383?6*>e881fg=h:m;1<7*;6b81`4=O;o?07pl:5483>4<729q/8;m52e38L1523A;;86*>ae81<6=#9l31>nm4o3f2>5<#i?4;|`612<7280;6=u+47a96a7<@=9>7E??4:&2ea<68:1/=h752cf8m7b6290/8;m52e38?xd2=l0;6<4?:1y'03e=:m;0D9=:;I330>"6im0:<>5+1d;96<>51;294~"3>j09h<5G4278L4633->8?7=i6:&2ea<68:1/=h752`g8m7b6290/8;m52e38?xd?l3:1>7>50z&72f2.?039'5db=9990(9=<:2d5?!52m39m:6F;349K575<,8o<688;;hd4>5<#t$54`>7b63A>896F>059'065=;o<0(!21k38o=65rb5ce>5<5290;w):9c;:5?!27i3;;>6*>ae8246=#<:91?k84$27f>6`13A>896F>229'5`1==?>0ek950;&72f<68:10c?9m:18'03e=:1907pl4<729q/8;m52e38L1523A;;86*;3280b3=#9hn1===4$0g:>7d13`8o=7>5$54`>7b632wi?n950;094?6|,=4653-;jh7??3:&776<4n?1/?8k53g48L1523A;9?6*>e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=51;294~"3>j09h<5G4278L4633->8?7=i6:&2ea<68:1/=h752c28m7b6290/8;m52e38?xd4k=0;6?4?:1y'03e=0?1/8=o51108 4gc28:87):<3;1e2>"4=l08j;5G4278L4443-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:9~f6e6290:6=4?{%65g?4c92B??85G1168 1542:l=7)?nd;337>"6m009n<5f2e394?"3>j09h<54}c1`6?6=:3:1"38h0:h9;%16a?5a>2B??85G1318 4c02<7}:<1;1>h524949b2=z{::1<77c<5=2<6k94}r1`>5<5s4>3>7=l;<6;e?`03ty?n7>53z\7f>;1;3l<70:m:3f2?xu3k3:1?vP;c:?50?`034>h6?j>;|q7`?6=;rT?h6395;d4?82c2;n:7p};e;297~X3m27=:7h8;<6f>7b63ty?j7>53z\7b>;1?3l<70:i:3f2?xu283:1?vP:0:?5;|q65?6=;rT>=6399;d4?8362;n:7p}:2;297~X2:27=m7h8;<71>7b63ty>?7>53z\67>;1j3l<70;<:3f2?xu2<3:1?vP:4:?5g?`034??6?j>;|q61?6=;rT>9639d;d4?8322;n:7p}:6;297~X2>27=i7h8;<75>7b63ty>;7>53z\63>;1n3l<70;8:3f2?xu203:1?vP:8:?44?`034?36?j>;|q6e?6=;rT>m6381;d4?83f2;n:7p}:b;297~X2j27<>7h8;<7a>7b63ty>o7>53z\6g>;0;3l<70;l:3f2?xu2l3:1?vP:d:?40?`034?o6?j>;|q6a?6=;rT>i6385;d4?83b2;n:7p}:f;297~X2n27<:7h8;<7e>7b63ty=<7>53z\54>;0?3l<708?:3f2?xu193:1?vP91:?4;|q56?6=;rT=>6389;d4?8052;n:7p}93;297~;3?o0:963:60821>;1;386}:<>l1=;52573953=:>=09;o5rs7794?5|5==m6<94=442>41<5??1>:l4}r45>5<4s4>9:?624<6127=;7<8b:p2=<72:q68:h51`9>137=9h16:54=7c9~w3?=839p199i:0`8900628h01;7526`8yv0f2908w0:8f;3`?83193;h708n:35a?xu1j3:1?v3;7g82`>;2>80:h639b;04f>{t>j0;6>u246d95`=:=?;1=h526b813g=z{?n1<74`<5?n1>:l4}r4f>5<4s4>35<5?k1v:?50;0x911a2;?01:?526`8yv152909w0;91;05?8152;=i7p}83;296~;2>809;6383;04f>{t?=0;6?u257396==:?=09;o5rs6794?4|5<<:6?74=67962d:<4=b:?43?40j2wx;54?:2y>02`=:j169;?52b9>3=<5?k1v:750;1x911a2;n0188>:3f892?=:>h0q~9n:18482?93=j70:8e;5b?82f93l<70:60;c`?835j3kh70:jc;c`?83393kh7p}72;291~;3?o03>63:608;6>;30;03>63;818;6>;3?l03>6s|8`83>6}Y0h164o4i7:?;e?4c92wx4o4?:3y>0=7=0h164o4=7c9~w=c=839pR5k4=9d962d<51o1>i?4}r:e>5<5s4>=:7<74:?;b?`03ty2<7>53z\:4>;>93l<707?:3f2?xu>93:1>v3;808:4>;>9386}Yij16i94i7:?bg?4c92wxi94?:2y>0=7=ij168:k5ab9>a1<5?k1vho50;1xZ`g<5lh1j:52e`81`4=z{lh1<78t=55e><2<5<<:64:4=5:1><2<5=2;6ho4=55f><2<5lh1>:l4}r334?6=:r7?;k4>019>0=6=99:0q~??9;296~;30;0:<452493955?52z?7<7<69m1685?510f8yv75<3:1>v3;7g8261=:<181=?:4}r306?6=:r7?4?4>3b9>0=7=9:80q~??7>52z?7<7<6=:1685>51418yv72i3:1?v3;7g821d=:<181=8o4=5:3>43f3ty:9o4?:3y>0=4=9628i97p}>c283>7}:<181=n=4=5:2>4e43ty9;:4?:3y>033=n<169d;04f>;3?o0>=n52493914e52z?7<5<5l>168:k52e58yv4b>3:1?vP=e79>776=:>h01?k9:3f2?xu5m>0;6>uQ2d5896412;=i70{t:l31<7=t^3g:?855k38;4:m09;o522dc96a753z\1ag=:;:91>:l4=3ga>7b63ty9in4?:2y]6`e<5:926?9m;<0fg?4c92wx>k?50;1xZ7`63498m7<8b:?1b4<5l81v?h=:180[4a:2788=4=7c9>6c4=:m;0q~6}Y:o>01>:l:35a?84a<38o=6s|2g794?5|V;l>70=:2;04f>;5n<09h<5rs3d5>5<4sW8m:63<59813g=::o<1>i?4}r0e137=:o20q~kk50;0x90062;ln70:70;0ea>{t;;:1<77c13499<7h8;|q063<72;q69;?52d5896412o=0q~==c;296~;2>809i45233a9b2=z{:8o6=4={<755?4bi278>i4i7:p765=838p188>:3ga?854;3l<7p}<3883>7}:=?;1>hm4=21:>c152z?624<5n816?>o5f69~w6272909w0;91;0e6>;4<90m;6s|35494?4|5<<:6?h<;<172?`03ty88n4?:3y>137=:o>01>:l:g58yv52:3:1>v3:6081b0=:;<81j:5rs27;>5<5s4?==7;30;089k5rs247>5<5s4>ok:181831939ji63;7d80ea=z{:h<6=4={<755?5ei27?;h4;3?l08h?5rs2g0>5<5s4>0=5=:m;0q~:74;296~;30109;o524969b2=z{=2>6=4={<6;2?40j27?454i8:p0=0=838p1968:35a?82?03l27p};8883>6}Y<130196m:35a?82?138o=6s|49`94?4|5=2j6?9m;<6;f?`03ty?4i4?:2y]0=b<5=2n6k94=5:g>7b63ty?4h4?:5y>137=<1i0196=:5:`?82?83>3o63;8d813g=z{=2m6=4<{_6;b>;31909;o5249d96a72=7>52z?7<4<31;1684>5f69~w1g72908wS:n0:?7e7<5?k168l>52e38yv2f:3:1>v3;a0813g=:5<4sW>j863;a4813g=:1>i?4}r6b1?6=:r7?4?4;a59>0d3=n>1v9ol:180[2fk27?mi4i7:?7ef<5l81v9ok:18182?93>j?63;ae813g=z{=h;6=4<{_6a4>;3j809;o524c296a7i=7>52z?73`<3j9168o?5f69~w1df2908wS:ma:?7fg<5?k168oo52e38yv2ej3:1>v3:6087fd=:5<4sW>h;63;c9813g=:i?4}r6`:<4;c79>0=6=0fg=n>168n752e38yv2di3:1>v3;8087g3=::l4}r6g5?6=;rT?h<524e0962d<5=n:6?j>;|q7`7<72;q685?54e3891b52o=0q~:k5;297~X3l<168i9526`891b22;n:7p};d683>7}:<1818i;4=5f4>c1oi7>53z\7``=::l4=5ff>7b63ty?i=4?:3y>0=7=0`0=n>168h;52e38yv2b>3:1>v3;7d87a0=::l4}r6f=?6=;rT?i4524dc9b2=:i?4}r6fe?6=;r7>:<4;e99>0=4=uQ4d`891cd2;=i70:jb;0g5>{t1c?34>no7h8;|q645<72:qU9=>4=422>71e34?;<7:423?83793l<7p}:1183>6}Y=8:018?>:35a?836838o=6s|50394?4|5<<:69hn;<725?`03ty>=?4?:2y]144<5<;86?9m;<726?4c92wx9<=50;0x90062<9270;>3;d4?xu29=0;6>uQ5068907e2;=i70;>4;0g5>{t=8h1<707334?:n7h8;|q65f<72:qU946?34?:o77}:<>o19?>4=43e>c153z\664=:=;91>:l4=402>7b63ty>>>4?:3y>137==;;018<<:g58yv35<3:1?vP:259>170=:>h018<;:3f2?xu2:?0;6?u25739172<5<8=6k94}r71>55253;9b2=:=;21>i?4}r71=?6=;r7>:<4:269>0=4==;=018<6:35a?xu2:h0;6>uQ53c8904e2;=i70;=a;0g5>{t=;i1<704034?9n7h8;|q605<72:qU99>4=462>71e34??<7:41e?83393l<7p}:5483>6}Y=9:4?:2y]101<57b63ty>954?:3y>0=7==<>018;7:35a?xu2=l0;6>uQ54g8903a2o=018;j:3f2?xu2=o0;68u246d910b<5<<:68;k;<6;6?32l27?;h4:5e9>10`=:>h0q~6l:180[>d342h6?j>;<:g>c1ji7>53z\7e`=:i?4=5ce>c153z\0g3=:;j<1>i?4=2a4>c153z\0g6=:;j91>i?4=2a7>c153z\0g4=:;j;1>i?4=2a1>c1{<:g>71e3ty?mk4?:0y>0d`=:>h0q~=l7;295~;4k>09;o5rs2a7>5<6s49h87<8b:p7f4=83;p1>m=:35a?x{i9k<=6=4;{I601>{i9k<<6=4;{I601>{i9k<36=4<{I601>{i9k<26=4>{I601>O5l80:w<4rZg195~7b13wvbi?51z39y_`428q:i44r}o3a2g<728qC8>;4I3f2>4}62tPm?7?t1d;9yxh6j?i1<7?tH516?L4c93;p=7sUf2824c>2twe=o8k:182M24=2C9h<4>{08~^c5=9r;n57srn0`5a?6=9rB??85F2e395~7=uSl86e88~yk7e>o0;650;3xL1523@8o=7?t1;Yb6<6s8o26psa1c52>5<6sA>896G=d08244?:0yK063:|Xe7?7|9l31qp`>b6694?7|@=9>7D51zJ770=N:m;1=v?5}[d0>4}6m00vqc?m7683>4}O<:?0E?j>:0y2>x\a;3;p=h75}|l2f2>=83;pD9=:;H0g5?7|93wQj>4>{0g:>x{i9k=26=4>{I601>O5l80:w<4rZg195~7b13wvbi?51z39y_`428q:i44r}o3a3g<728qC8>;4I3f2>4}62tPm?7?t1d;9yxh6j>i1<7?tH516?L4c93;p=7sUf2824c>2twe=o9k:182M24=2C9h<4>{08~^c5=9r;n57srn0`4a?6=9rB??85F2e395~7=uSl86e88~yk7e?o0;650;1xL1523td:n5?50;1xL1523td:n5<50;1xL1523td:n5=50;1xL1523td:n5:50;1xL1523td:n5;50;1xL1523td:n5850;1xL1523td:n5950;1xL1523td:n5650;1xL1523td:n5750;1xL1523td:n5o50;0xL1523td:n5l50;1xL1523td:n5m50;1xL1523td:n5j50;0xL1523td:n5k50;0xL1523td:n5h50;0xL1523td:n4>50;0xL1523td:n4?50;0xL1523td:n4<50;0xL1523td:n4=50;0xL1523td:n4:50;0xL1523td:n4;50;1xL1523td:n4850;1xL1523td:n4950;;xL1523td:n4650;cxL1523td:n4750;3xL1523@8o=7?t1;Yb6<6s8o26psa1c;b>5<6mrB??85rn0`:f?6=9rB??85F2e395~4=uSl86e88~yk7e1j0;65<0sA>896sa1cc2>5<6sA>896G=d0824uG4278yk7ei=0;6:uG4278yk7ei<0;68uG4278yk7ei?0;6>uG4278yk7ei>0;6:uG4278yk7ei10;68uG4278yk7ei00;69uG4278yk7eih0;69uG4278yk7eik0;6>uG4278yk7eij0;6:uG4278yk7eim0;68uG4278yk7eil0;6:uG4278yk7eio0;6>uG4278yk7ej90;69uG4278yk7ej80;68uG4278yk7ej;0;65<6sA>896G=d0827bc594?7|@=9>7D51zJ770=N:m;1=v<5}[d0>4}6m00vqc?mb`83>4}O<:?0E?j>:0y1>x\a;3;p=h75}|l2fgd=83;pD9=:;H0g5?7|:3wQj>4>{0g:>x{i9khh6=4>{I601>O5l80:w?4rZg195~7b13wvbi?51z09y_`428q:i44r}o3af`<72:qC8>;4}o3afc<72;4}o3ag5<72;4}o3ag4<72;qC8>;4}o3ag7<72;qC8>;4}o3ag6<72:qC8>;4}o3ag1<72;qC8>;4}o3ag0<72;qC8>;4}o3ag2<72;qC8>;4}o3ag=<72;qC8>;4}o3ag<<72;qC8>;4}o3agd<72;qC8>;4}o3agg<72;qC8>;4}o3agf<72;qC8>;4}o3aga<72=qC8>;4}o3ag`<72:qC8>;4}o3agc<72=qC8>;4}o3a`5<72=qC8>;4}o3a`4<72:qC8>;4}o3a`7<72:qC8>;4}o3a`6<728qC8>;4I3f2>4}52tPm?7?t1d;9yxh6jm>1<7?tH516?xh6jm?1<7?tH516?xh6jm<1<7?tH516?xh6jm=1<7?tH516?L4c93;p>7sUf2824c>2twe=oj7:182M24=2we=oj6:182M24=2C9h<4>{08~^c5=9r;n57srn0`ge?6=>rB??85rn0`gf?6=:rB??85F2e395~5=uSl86e88~yk7elj0;64uG4278yk7elm0;65<4sA>896sa1cg2>5<6sA>896G=d0824vF;349~j4db;3:1=vF;349J6a7=9r81qWh<:0y2a<bd494?2|@=9>7p`>bd594?7|@=9>7DwE:<5:m5gc>290:wE:<5:K1`4<6s80vVk=51z3f=?{zf8hnm7>52zJ770=zf8hnn7>51zJ770=N:m;1=v<5}[d0>4}6m00vqc?meb83>1}O<:?0qc?mee83>4}O<:?0E?j>:0y1>x\a;3;p=h75}|l2f`c=838pD9=:;|l2f``=83;pD9=:;H0g5?7|:3wQj>4>{0g:>x{i9kl;6=4={I601>{i9kl:6=4>{I601>O5l80:w<4rZg195~7b13wvbi?51z39y_`428q:i44r}o3ab1<72:qC8>;4}o3ab0<72;qC8>;4I3f2>4}42tPm?7?t1d;9yxh6jo<1<7;tH516?xh6jo=1<7?tH516?L4c93;p>7sUf2824c>2twe=oh7:187M24=2we=oh6:182M24=2C9h<4>{38~^c5=9r;n57srn0`ee?6=:rB??85rn0`ef?6=9rB??85F2e395~4=uSl86e88~yk7enj0;6?uG4278yk7enm0;652zJ770=zf8i;87>51zJ770=N:m;1=v<5}[d0>4}6m00vqc?l0483>7}O<:?0qc?l0783>4}O<:?0E?j>:0y2>x\a;3;p=h75}|l2g51=839pD9=:;|l2g5>=838pD9=:;H0g5?7|;3wQj>4>{0g:>x{i9j:26=4:{I601>{i9j:j6=4={I601>O5l80:w>4rZg195~7b13wvbi?51z09y_`428q:i44r}o3`4a<72=qC8>;4}o3`4`<728qC8>;4I3f2>4}62tPm?7?t1d;9yxh6k9l1<72twe=n?>:185M24=2we=i;50;3xL1523td:h;4?:0yK06351zJ770=zf8n36=4>{I601>{i9m31<7?tH516?xh6lh0;6;4}o3gb?6=9rB??85rn0g3>5<6sA>896sa1d094?4|@=9>7p`>e483>4}O<:?0qc?j6;295~N3;<1vb51zJ770=zf8oo6=4>{I601>{i9lo1<7?tH516?xh6mo0;6;4}o3e1?6=9rB??85rn0d5>5<6sA>896sa1g594?7|@=9>7p`>f983>4}O<:?0qc?i9;295~N3;<1vb51zJ770=zf8ln6=4>{I601>{i9ol1<7?tH516?xh5890;6;4}o032?6=9rB??85rn324>5<6sA>896sa21:94?7|@=9>7p`=0883>4}O<:?0qcm:182M24=2we>=m50;3xL1523td951zJ770=zf;:m6=4>{I601>{i:8:1<7?tH516?xh5980;6;4}o022?6=9rB??85rn334>5<6sA>896sa20:94?7|@=9>7p`=1883>4}O<:?0qc<>a;296~N3;<1vb??m:181M24=2we>51zJ770=zf;;m6=4>{I601>{i:;:1<7?tH516?xh11m0;6>uG4278yk0>n3:1?vF;349~j3g72908wE:<5:m2d7=838pD9=:;|l5e2<728qC8>;4}o4b5<6sA>896sa6c194?5|@=9>7p`9b583>4}O<:?0qc8m5;295~N3;<1vb;l9:182M24=2we:o950;3xL1523td=n54?:0yK06351zJ770=zf?hj6=4<{I601>{i>kh1<7?tH516?xh1jj0;6>uG4278yk0el3:1=vF;349~j3db290:wE:<5:m2g`=83;pD9=:;|l5g5<72:qC8>;4}o4`5?6=;rB??85rn7a1>5<6sA>896sa6b194?7|@=9>7p`9c583>4}O<:?0qc8l5;295~N3;<1vb;m9:180M24=2we:n950;7xL1523td=o54?:2yK06353zJ770=zf?ij6=4>{I601>{i>jh1<7?tH516?xh1kj0;6;4}o4g5?6=9rB??85rn7f1>5<6sA>896sa6e194?7|@=9>7p`9d583>4}O<:?0qc8k5;295~N3;<1vb;j9:182M24=2we:i950;3xL1523td=h54?:0yK06351zJ770=zf?nj6=4>{I601>{i>mh1<7?tH516?xh1lj0;6;4}o4f5?6=9rB??85rn7g1>5<6sA>896sa6d194?7|@=9>7p`9e583>4}O<:?0qc8j5;295~N3;<1vb;k9:182M24=2we:h950;3xL1523td=i54?:0yK06351zJ770=zf?oj6=4>{I601>{i>lh1<7?tH516?xh1mm0;6;4}o4e6?6=9rB??85rn7d0>5<6sA>896sa6g694?7|@=9>7p`9f483>4}O<:?0qc8i6;295~N3;<1vb;h8:182M24=2we:k650;3xL1523td=j44?:0yK06353zJ770=zf?li6=4:{I601>{i>oi1<7;tH516?xh1nm0;6>uG4278yk0am3:1?vF;349~j3`a2908wE:<5:m356=839pD9=:;|l444<728qC8>;4}o536?6=9rB??85rn620>5<2sA>896sa71694?5|@=9>7p`80483>4}O<:?0qc9?6;295~N3;<1vb:>8:186M24=2we;=650;1xL1523td<<44?:0yK06351zJ770=zf>:i6=4<{I601>{i?9i1<7=tH516?xh08m0;6;4}o526?6=9rB??85rn630>5<6sA>896sa70694?7|@=9>7p`81483>4}O<:?0qc9>6;296~N3;<1vb:?8:181M24=2we;<650;0xL1523td<=44?:0yK06351zJ770=zf>;i6=4>{I601>{i?8i1<7?tH516?xh09l0;6;4}o512?6=9rB??85rn604>5<6sA>896sa73:94?7|@=9>7p`82883>4}O<:?0qc9=a;295~N3;<1vb:51zJ770=zf>986=4<{I601>{i?:>1<7?tH516?xh0;<0;63:1=vF;349~j25?290:wE:<5:m36?=83;pD9=:;|l47d<728qC8>;4}o50f?6=9rB??85rn61`>5<6sA>896sa75394?7|@=9>7p`84383>4}O<:?0qc9;3;295~N3;<1vbljj:181M24=2wemh?50;1xL1523tdji?4?:2yK06353zJ770=zfho36=4<{I601>{iilk1<7=tH516?xhfmk0;6;4}oce4?6=9rB??85rn`d2>5<6sA>896saag094?7|@=9>7p`nf283>4}O<:?0qcoi4;295~N3;<1vblh::182M24=2wemk850;3xL1523tdjj:4?:0yK06351zJ770=zfhl26=4={I601>{iiok1<7;4}o`30?6=9rB??85rnc26>5<6sA>896sab1494?7|@=9>7p`m0683>6}O<:?0qcl?8;295~N3;<1vbo>6:182M24=2wen=o50;3xL1523tdi51zJ770=zfk:o6=4>{I601>{ij9o1<7?tH516?xhe8o0;6;4}o`20?6=9rB??85rnc36>5<5sA>896sab0494?7|@=9>7p`m1683>4}O<:?0qcl>8;295~N3;<1vbo?6:182M24=2wen53zJ770=zfk;n6=4>{I601>{ij8l1<7?tH516?xhe:90;6;4}o`11?6=9rB??85rnc05>5<6sA>896sab3594?7|@=9>7p`m2983>4}O<:?0qcl=9;295~N3;<1vbon4?:3yK06352zJ770=zfk8m6=4<{I601>{ij::1<7?tH516?xhe;80;6;4}o`02?6=9rB??85rnc14>5<6sA>896sab2:94?7|@=9>7p`m3883>4}O<:?0qclm50;3xL1523tdi?i4?:0yK06356zJ770=zfk9m6=4;{I601>{ij=:1<76tH516?xhe<;0;6?uG4278ykd3;3:1>vF;349~jg23290:wE:<5:mf13=83;pD9=:;|la03<728qC8>;4}o`73?6=9rB??85rnc6;>5<6sA>896sab5;94?7|@=9>7p`m4`83>4}O<:?0qcl;b;297~N3;<1vbo:l:180M24=2wen9j50;3xL1523tdi8h4?:4yK06353zJ770=zfk?;6=4>{I601>{ij<;1<7?tH516?xhe=;0;6;4}o`63?6=9rB??85rnc7;>5<6sA>896sab4;94?7|@=9>7p`m5b83>7}O<:?0qcl:d;296~N3;<1vbo;j:182M24=2wen8h50;3xL1523tdi:<4?:0yK0637>51zJ770=zfk<86=4>{I601>{ij?>1<7?tH516?xhe><0;6;4}oa16?6=9rB??85rnb00>5<6sA>896sac3694?7|@=9>7p`l2483>4}O<:?0qcm=6;295~N3;<1vbn<8:182M24=2weo?650;3xL1523tdh>o4?:0yK06351zJ770=zfj8o6=4>{I601>{ik;o1<7=tH516?xhd;90;63:1=vF;349~j47a?3:1=vF;349~j47a03:1=vF;349~j47a13:1=vF;349~j47al3:1?vF;349~j47am3:1?vF;349~j47an3:1?vF;349~j44783:1=vF;349~j44793:1=vF;349~j447:3:1=vF;349~j447;3:1=vF;349~j447<3:1=vF;349~j447>3:18vF;349~j447?3:1=uG4278yk75800;6uG4278yk75990;6uG4278yk759:0;6uG4278yk759?0;60;6?uG4278yk75910;6?uG4278yk75900;60;6>uG4278yk75:00;6>uG4278yk75:h0;60;6uG4278yk75;m0;6>uG4278yk75;l0;60;6>uG4278yk75<10;6uG4278yk75uG4278yk75=90;60;6uG4278yk75=h0;68uG4278yk75=k0;6>uG4278yk75=j0;6uG4278yk75=o0;6>uG4278yk75>90;680;6;0;6:0;6=0;6<0;6>uG4278yk75>?0;68uG4278yk75>>0;68uG4278yk75>10;6>uG4278yk75>00;6h0;6k0;6j0;6m0;6>uG4278yk75>l0;6>uG4278yk75>o0;6uG4278yk75??0;6?uG4278yk75?>0;6>uG4278yk75?10;6>uG4278yk75?h0;64uG4278yk75?k0;6>uG4278yk75?j0;6uG4278yk750h0;60;6>uG4278yk751h0;6uG4278yk75i<0;6?uG4278yk75i?0;6?uG4278yk75i>0;6uG4278yk7?lo0;60;65uG4278yk7?m10;6uG4278yk7?mk0;6uG4278yk7?mm0;6>uG4278yk7?ml0;6uG4278yk7?n90;6>uG4278yk7?n80;6uG4278yk7?n>0;6>uG4278yk7?n10;6>uG4278yk7?n00;6uG4278yk7?no0;6?uG4278yk7>890;6880;68;0;68:0;68=0;68<0;68?0;6>uG4278yk7>8>0;6>uG4278yk7>810;6?uG4278yk7>800;68h0;6ouG4278yk7>8k0;6>uG4278yk7>8j0;6?uG4278yk7>8m0;68l0;68o0;6990;6980;69;0;69:0;69=0;69<0;69?0;69>0;6910;6900;69h0;69k0;69j0;69m0;6?uG4278yk7>9l0;69o0;6:90;6:80;6:;0;6::0;6:=0;6:<0;6>uG4278yk7>:?0;6:>0;6:10;6:00;6:h0;6:k0;6>uG4278yk7>:j0;6?uG4278yk7>:m0;6:l0;6>uG4278yk7>:o0;6?uG4278yk7>;90;6;80;6>uG4278yk7>;;0;6?uG4278yk7>;:0;6;=0;6>uG4278yk7>;<0;6?uG4278yk7>;?0;6;>0;6>uG4278yk7>;10;6?uG4278yk7>;00;6;h0;6>uG4278yk7>;k0;6?uG4278yk7>;j0;6;m0;6>uG4278yk7>;l0;6?uG4278yk7>;o0;6<90;6>uG4278yk7><80;6?uG4278yk7><;0;6<:0;6?uG4278yk7><=0;6?uG4278yk7><<0;6?uG4278yk7><>0;6?uG4278yk7><10;6?uG4278yk7><00;6?uG4278yk7>1<7?tH516?xh61:1<7=tH516?xh61>;1<781<7?tH516?xh61>91<7?tH516?xh61>>1<7=tH516?xh61>?1<7<1<7?tH516?xh61>=1<7=tH516?xh61>21<731<7?tH516?xh61>k1<7=tH516?xh61>h1<7i1<7?tH516?xh61>n1<7o1<7l1<71<7=tH516?xh611?1<7?tH516?xh611<1<7:tH516?xh611=1<7=tH516?xh61121<7:tH516?xh61131<7=tH516?xh611k1<7:tH516?xh611h1<7=tH516?xh611i1<71<753zm6=>=83;pqcn850;3xyk4bj3:1?vsa2d794?7|ug9;m7>53zm752=83;pqc==9;297~{i;;91<7?t}o1753zm7=6=83;pqc=n5;297~{i;0l1<7?t}o1`0?6=;rwe?ok50;3xyk5b;3:1?vsa3ef94?7|ug>;>7>53zm7ce=83;pqc:=1;297~{i<8h1<7?t}o674?6=;rwe8>o50;3xyk22n3:1?vsa44;94?7|ug>53zm02>=83;pqc982;295~{i?1=1<7?t}o5:g?6=9rwe;ol50;1xyk1e=3:1=vsa7ec94?5|ug=o87>51zm3``=83;pqc6?e;297~{i0921<7?t}o:1`?6=;rwe4?950;3xyk>3k3:1?vsa85494?7|ug2=n7>53zm<33=83;pqc67a;297~{i01>1<7?t}o:b=?6=;rwe4l=50;3xyk>d03:1?vsa8b094?7|ug2oh7>51zm51zmfg2=839pqclne;295~{ijm?1<753zmg<1=83;pqcmmc;297~{ikk<1<7?t}oagf?6=;rweoi;50;3xykeai3:1?vsacg694?7|ugn:57>53zm`45=83;pqcj<8;297~{il:81<7?t}of63?6=;rweh8?50;3xykb0>3:1?vsad6294?7|ugn297>53zm`=`=83;pqcjm4;297~{ilho1<7?t}ofg7?6=;rwehnj50;3xykba:3:1?vsadda94?7|ugo:=7>53zma5d=83;pqck<0;297~{im;k1<7?t}og7b?6=;rwei9750;3xykc083:1>vsae7;94?7|ugo2=7>52zma=g=83;pqckm0;297~{imhk1<7?t}og`b?6=;rwein750;3xykcbm3:1?vsaed:94?7|ugl;h7>53zmb51=83;pqch=c;297~{in;<1<7?t}od7f?6=;rwej9;50;3xyk`1i3:1?vsaf7694?7|ugl357>53zmb=5=83;pqc?=e283>6}zf88oh7>51zm56652908wp`>2ga94?7|ug;8><4?:2y~j456j3:1=vsa1263>5<4std:?>o50;3xyk74=<0;69i:182xh6;0>1<7?t}o30e<<728qvb<=me;295~{i9:n86=4>{|l27`>=83;pqc?4}zf8>:>7>51zm5140290:wp`>42a94?7|ug;?9<4?:0y~j421>3:1=vsa15:6>5<4std:8:h50;3xyk73i=0;6>urn06:a?6=9rwe=9m<:180xh66}zf8?:m7>51zm505a2908wp`>52;94?7|ug;>9h4?:2y~j43203:1=vsa1450>5<6std:95650;3xyk721m0;6{|l2g44=83;pVk=51z3f=?{O:>k0qc?l1283>4}]n:0:w51zXe7?7|9l31qE<8a:m5f72290:wWh<:0y2a<c0494?7|Ro91=v?j9;K62g5<6std:o96*>N506?!7I<=?0(<@;8008 Wcqazcdb7.>.37:$!><.V;B8 X9G>9S964&^3M01Y302,T=C:7_53844=69;1:>7AZTQWW>WG;980;2<>4178JJUSS2H69?76111915?OIX\^1M1<>:0<20>442@D[YY4N_GQA875=97;97>4FNQWW>GGD\{686<0i;28JJUSS2Z]7?7?11290>JSSX\^1NLM[r=195;3G;9;4>7L2>3?78E9736<1J0<;15:C?53823H6:;3;4A=3;:0=F483586O31?78E9476>1J0??51?78E9466<1J0?<17:C?66G;07>0M1714:COA53GKM9Ufyu>?01f8EIC7Wds<=>?1d9BH@6Xe|r;<=>>0e9BH@6Xe|r;<=>=d:COA5Yj}q:;<==k;@NF4Zkrp9:;<9j4AMG3[hs89:;9i5NLD2\ip~789:=h6OCE1]nq}6789=o7LBJ0^ov|56781n0MAK?_lw{45671=1J@H?l;@NF5ZYhz9:;=i5NLD3\[jt789;:?6OAD59BJA433HDO?95NNE67?DHC==1JBI9;;@LG<1=F[{lo7L]}f^AooZOI^8=0M^|i_O2`?DUunVddx=>?1e9BWw`Xff~;<=?>9:C\BVD;99427LQISC>25;?89B[CUE489556OPFR@?518>3HUM_O2>5?;8EZ@TJ5;=245N_GQA841912KTJ^L319<:?DYA[K6:5364A^DPF97912KTJ^L321<:?DYA[K69=374A^DPF9456k1JSK]M<3195;?99B[CUE4;437LQISC>0:==FWOYI0907;@]EWG:2611JSK]M<7<;?DYA[K6<255N_GQA8=8?3HUM_O26>e9B[CUEW8:TEO[Id:C\BVDX98UBNXHk;@]EWGY6:VCIYKj4A^DPFZ74W@H^Ji5N_GQA[42XAK_Mh6OPFR@\50YNJ\Lo7LQISC]22ZOE]On0MRH\B^34[LDRNm1JSK]M_0:\MGSAl2KTJ^LP18]JFP@d3HUM_OQ>_H@VBa=FWOYIS?>PICWE`>GXNZHT>RGMUGf8EZ@TJV88SDLZFb9B[CUEW;UBNXHl;@]EWGY4W@H^Jn5N_GQA[1YNJ\Lh7LQISC]6[LDRNj1JSK]M_7]JFP@d3HUM_OQ8_H@VBf=FWOYIS5QFBTD`?DYA[KU2SDLZF79BkprHMl1Jcxz@E^ov|5678o1Jcxz@E^ov|56788l0Mb{{OD]nq}67898m7LaztNG\ip~789:8j6O`uuMF[hs89:;8k5NotvLAZkrp9:;<8h4AnwwK@Yj}q:;<=8;;CC@P0=EIJ^:96LNCU0;?GGD\{6:255MABVq878f3KKHX2<:0<;?GGD\{682:5MABVq[514BTKO@ZRFZNO_M_MG8:@VWZOINF;0O95L17O:?F71EVCIYK84C32NAKd8:A;ICgkd;20O5CPICWE0>E?E{=0O5C}<1<4?F>Jz5;5:6M7Ms]32>E?E{U:>6MJ3:AOO6=DD[90OCZ6;BMNILRSMM=0O_KNTDF1?FU43J^G:6Mce`p2b>Ekmhx:SRoad1234d=Dzlnbx~2?>`9@v`bn|z6:2l5Lrdfjpv:56h1H~hjftr>0:d=Dzlnbx~2;>`9@v`bn|z6>2l5Lrdfjpv:1601H~hjftr]357=Dzlnbx~Q?_^cm`5678890Okkiuq\4ZYffm:;<=?>3:Aqaaos{V:TSl`k0123647?01026>EummcR>P_np345649;1H~hjftr]3[Ziu89:;8<<4CsggmquX8VUd~=>?0431?Ftbl`~xS=QPos23450>3Jxnhdz|_031?Ftbl`~xS?013:?Ftbl`~xS>?>;Bpf`lrtW:UTc>?0131?Ftbl`~xS>QPos234576:2Iyiig{s^1\[jt789:956M}eekwwZ26:2Iyiig{s^6\[dhc89:;=>5LrdfjpvY3WVkeh=>?00;8Gwcca}yT9<<4CsggmquX=VUjbi>?0130?Ftbl`~xS8QPaof3456612Iyiig{s^426>EummcR8P_`lg45679:1H~hjftr]5[Zgil9:;<<=9;Bpf`lrtWDkoh~{m_^cg`vse89:;S@v`r^Pfwpjs484TAua}_Sgpqir402Iyiig{s^Ob`aurjVUjhi}zb1234ZKg{UYi~{ct=3=[H~hzVUsc>?0110?Ftbl`~xS@okdrwa[Zgclzi<=>?_LzlvZTb{|f0<0P_ymq4567;?1H~hjftr]Neabt}kUTmij|uc2345YJpfxT^h}zlu>1:ZKg{UYi~{ct2:8Gwcca}yTAljkst`\[dbc{|h;<=>PMymq[Wct}e~7>3QBxnp\[}iu89:;?>5LrdfjpvYJimnxyoQPaefpqg6789UFtb|PRdqvhq:56VUsc>?0115?Ftbl`~xS@okdrwa[Zgclzi<=>?_LzlvZTb{|f0>0PMymq[Wct}e~846M}eekwwZKflmy~nRQndeqvf5678VGscQ]erwop959WDrd~RQwos2345543Jxnhdz|_Lcg`vseWVkoh~{m0123[H~hzVXnxb{<2<\[}iu89:;?;5LrdfjpvYJimnxyoQPaefpqg6789UFtb|PRdqvhq:36VGscQ]erwop6>?329@v`bn|zUFmij|uc]\eabt}k:;<=QBxnp\V`urd}6?2RQwos2345513Jxnhdz|_Lcg`vseWVkoh~{m0123[H~hzVXnxb{<4<\I}iuW[oxyaz<8:Aqaaos{VGjhi}zb^]b`aurj9:;?379@v`bn|zUFmij|uc]\eabt}k:;<=QBxnp\V`urd}6=2RCwos]Qavsk|:20Okkiuq\Idbc{|hTSljkst`3456XEqeyS_k|umv?2;YJpfxTSua}012376=Dzlnbx~QBaefpqgYXimnxyo>?01]N|jtXZly~`y29>^]{kw6789887N|jdhvp[HgclziSRokdrwa4567WVey<=>>259@v`bn|zUFmij|uc]\eabt}k:;<=QPos234475<2Iyiig{s^Ob`aurjVUjhi}zb1234ZYhz9:;=?<;;Bpf`lrtWDkoh~{m_^cg`vse89:;SRa}012277295LrdfjpvYJimnxyoQPaefpqg6789UTc>?00710>EummcRCndeqvfZYflmy~n=>?0^]lv5679?887N|jdhvp[HgclziSRokdrwa4567WVey<=>=259@v`bn|zUFmij|uc]\eabt}k:;<=QPos234775<2Iyiig{s^Ob`aurjVUjhi}zb1234ZYhz9:;>?<;;Bpf`lrtWDkoh~{m_^cg`vse89:;SRa}012177295LrdfjpvYJimnxyoQPaefpqg6789UTc>?03710>EummcRCndeqvfZYflmy~n=>?0^]lv567:?887N|jdhvp[HgclziSRokdrwa4567WVey<=><259@v`bn|zUFmij|uc]\eabt}k:;<=QPos234675<2Iyiig{s^Ob`aurjVUjhi}zb1234ZYhz9:;??<;;Bpf`lrtWDkoh~{m_^cg`vse89:;SRa}012077295LrdfjpvYJimnxyoQPaefpqg6789UTc>?02710>EummcRCndeqvfZYflmy~n=>?0^]lv567;?887N|jdhvp[HgclziSRokdrwa4567WVey<=>;259@v`bn|zUFmij|uc]\eabt}k:;<=QPos234175<2Iyiig{s^Ob`aurjVUjhi}zb1234ZYhz9:;8?<;;Bpf`lrtWDkoh~{m_^cg`vse89:;SRa}0127772?>95LrdfjpvYJimnxyoQPaefpqg6789UTc>?05710>EummcRCndeqvfZYflmy~n=>?0^]lv567:259@v`bn|zUFmij|uc]\eabt}k:;<=QPos234075i2Iyiig{s^Ob`aurjVUjhi}zb1234Ziu89:>Sl`k01236g=Dzlnbx~QBaefpqgYXimnxyo>?01]lv567=Vkeh=>?000a?Ftbl`~xS@okdrwa[Zgclzi<=>?_np3453Xign;<=>=2`9@v`bn|zUFmij|uc]\eabt}k:;<=Q`r1231Zgil9:;=?l4CsggmquXEhnoxlP_`fgwpd789:Tc>?04]bja6788;9m6M}eekwwZKflmy~nRQndeqvf5678Vey<=>:_`lg4565:k1H~hjftr]Neabt}kUTmij|uc2345Yhz9:;9Road123644e3Jxnhdz|_Lcg`vseWVkoh~{m0123[jt789?Tmcj?010153=Dzlnbx~QPaefpqg67896:2<84CsggmquXWhnoxl?012?6;713Jxnhdz|_^cg`vse89:;0>0>6:Aqaaos{VUjhi}zb12349299?1H~hjftr]\eabt}k:;<=2:>048Gwcca}yTSljkst`3456;>7l0Okkiuq\ekb7898:<6M}eekwwZgil9:;>=2028Gwcca}yTmcj?0100b>EummcR``t123746Bf|h6;2:5Kauc?5;0h5KotvLAZiu89:;?h5KotvLAZiu89:;8h5KotvLAZiu89:;9h5KotvLAZiu89:;:<5J5:GEeij13LLj`a?9;DDbhi423LY7<3;4ER>2:0=B[58596K\<2<7?@UX8l1N_R>P0^llp5678o1N_R>P0^llp56788n0I^Q?_^cm`5678l1N_R>P_`lg45679l1N_R>P_`lg4567:l1N_R>P_`lg4567;l1N_R>P_`lg4567P_`lg4567=l1N_R>P_`lg4567>=1N_R?k;DQ\5ZYffm:;<=k4ER]2[Zgil9:;<CTW;UTmcj?012f?@UX:VUjbi>?013f?@UX:VUjbi>?0107?@UX;m1N_R=P_`lg4567m2OXS>QPaof34566m2OXS>QPaof345659o1N_RCnde]\eab789:TAua}_Sgpqir;97;m7H]PM`fg[Zgcl9:;PMymq[Wct}e~7?3?6;DQ\IdbcWVkoh=>?0^]bja6789;j7H]PM`fg[Zgcl9:;CTWDkohRQnde2345YXign;<=?>d:GP[TY6Wfx;<=>j;DQ\UZ7Xg{:;<=?i;DQ\UZ7Xg{:;<=??f:GP[TY6Wfx;<=>>1d9FWZWX9Vey<=>?2d9FWZWX9Vey<=>?3d9FWZWX9Vey<=>?4d9FWZWX9Vey<=>?5d9FWZWX9Vey<=>?6d9FWZWX9Vey<=>?7d9FWZWX9Vey<=>?8d9FWZWX9Vey<=>?9d9FWZYflm:;<=2>>d9FWZYflm:;<=2=>d9FWZYflm:;<=2<>99F\UGILLN:=6KWP@LGAAYEKMUMEAK>4:G[TDHCMMUHCC][R@KMPV763LR[MCJJD^KPAZ@NDL<0IRGMUG08Aw3CuW9>0IQ>4:D@HN?0JIMJ4:DGGU54:KAQC4OIA]Y_MYK<;HLUa>OIWGMOSL@K_CAG6>OH=2CDMNZk;HMBGQYj}q:;<=k4INC@PZkrp9:;<i;HMBGQYj}q:;<=?>f:KLEFRXe|r;<=>>2g9JKDESWds<=>?12d8MJGD\Vg~t=>?006e?LIFK]Ufyu>?0136b>OHIJ^Taxv?01222c=NGHI_S`{w012352c6:KLGV77=2CDO^<:;HM@W63OHKZ<>7DALS678MJET0<1BCN]66:KL@JHBl2CDHB@J_np3456b3@EOCCKPos23457b3@EOCCKPos23454b3@EOCCKPos23455b3@EOCCKPos23452b3@EOCCKPos23453b3@EOCCKPos23450b3@EOCCKPos23451b3@EOCCKPos2345>?3@EOCCKfn69JKAIIM{>0EBB?c:KLH5Yj}q:;<=j4INN3[hs89:;=h5FOM2\ip~789::h5FOM2\ip~789::?h5FOM2\ip~789::8h5FOM2\ip~789::9i5FOM2\ip~789:9h6G@L1]nq}67899o7DAC0^ov|5678=n0EBB?_lw{4567=m1BCA>Pmtz34561l2CD@=Qbuy23451c3@EG3@E[[_kndxc8MJVPZlkou<94INPGV@Wa3@EYH_K^_`lg4567991BC_J]EP]bja6789;:<6G@REPFUZgil9:;?3g9JKWBUMXUfyu>?0133?LIUL[OZS`{w0123547>2038MJTCZL[Taxv?01227470:KLVATBYVg~t=>?0533?LIUL[OZS`{w0123146?999JKWBUMXx=7DA]EPp4?LIUMXx:;6G@RDSq62=NG[OZ~>;4INPT5a=NG[]:Sl`k0123a>OHZ^;Tmcj?0122a>OHZ^;Tmcj?0121a>OHZ^;Tmcj?0120a>OHZ^;Tmcj?0127a>OHZ^;Tmcj?0126a>OHZ^;Tmcj?01253>OHZlkouk5FOSgb`|YXg{:;<=??;HMQadb~WVey<=>?1028MJTbimsTSb|?012155=NG[ojhtQPos23455682CD^hoky^]lv5678=;;7DA]e`fz[Ziu89:;995FOR2`?LIT8Vkeh=>?0e9JKV6Xign;<=>>d:KLW5Yffm:;<=m4INQ3[hs89:;h6G@S1]nq}6789;n7DA\0^ov|56788:o7DA\0^ov|5678;n0EB]?_lw{4567;m1BC^>Pmtz34563l2CD_=Qbuy23453c3@EXOH[9Ufyu>?01;`?LITWOYFSKHk1b9JKVYA[DUMJi Ga119JKVYA[DUMJi<"Io324>OH[VLXARHId3/Jj7773@EXSK]B_GDg6(Oi;j1BC^QISL]EBa5a3@EXSK]B_GDg7(Oi991BC^QISL]EBa5*Ag;:<6G@S^DPIZ@Al:'Bb???;HMP[CUJWOLo? Ga3028MJUXNZGTJKj<-Hl755=NGZUM_@QIFe1.Mk3682CD_RH\M^DE`6+Nf?i0EB]PFRO\BCb3k2CD_RH\M^DE`0eOH[VLXARHId7/Jj46??;HMP[CUJWOLo: Ga4028MJUXNZGTJKj9-Hl6g>OH[VLXARHId6d8MJUXNZGTJKj8-Hl24>OH[VLXARHId6/Jj4773@EXSK]B_GDg3(Oi:8:0EB]PFRO\BCb0%@d8==5FOR]EWHYANm=&Ec:>0:KLWZ@TEVLMh:#Fn448MJR^XL>0EB[?c:KLQ5Yj}q:;<=j4INW3[hs89:;=h5FOT2\ip~789::h5FOT2\ip~789::?h5FOT2\ip~789::8h5FOT2\ip~789::9i5FOT2\ip~789:9h6G@U1]nq}67899o7DAZ0^ov|5678=n0EB[?_lw{4567=m1BCX>Pmtz34561l2CDY=Qbuy23451c3@E^?013254=NG^XYI\Qbuy234575981BCZ\]EP]nq}6789;8=<5FOVPQATYj}q:;<=?;109JKRTUMXUfyu>?013655=NG^XYI\Qbuy23454682CD[_\JQ^ov|5678:;;7DAXRSGR[hs89:;8<>4INUQV@WXe|r;<=>:119JKRTUMXUfyu>?01424>OH_[XN]Rczx12342773@E\^_K^_lw{456708:0EBY]RDS\ip~789:246G@WSPFUw2e:KP[CUJWOLo= Gaf:KP[CUJWOLo= Ga1g9JWZ@TEVLMh<#Fn3`8MVYA[DUMJif:KP[CUJWOLo> Ga2g9JWZ@TEVLMh?#Fn2d8MVYA[DUMJi<"Io6e?LUXNZGTJKj=-Hl6f>OTWOYFSB{{3b9JWZ@TEVE~x>?l;HQ\BVKXG|~8>i5FS^antZcv89:8i6EJEQ]KM@TFZJBR;6BFBSCQ]3=KGHNNH>5COB58HJANKHFj7A^B_YMQ6Z7>3E^bah|30?c8HQojm{6:<3o4LUknaw:69730@Ygbes>2:<=K\`gn~1<19:NWmhcu4:427AZfmdp?0;?89OPlkbz5<556B[ilgq828>3E^bah|38?;8HQojm{62255CThofvQE6;2F_e`k}TB]`iuYby9:;<<:4LUknawRDWjg{Sh?012251=K\`gn~YMPclr\at67898:86B[ilgqPFYdeyUn}=>?0237?IRnelx_ORmbp^gr4567<8>0@YgbesV@[fkwWl{;<=>:159OPlkbz]ITo`~Pep23450?3E^bah|P099OPlkbzV;27AZfmdp\55?199OPlkbzV837AZfmdp\7==K\`gn~R:7;MVji`tX=11GXdcjr^4;?IRnelxT;55CThofvZ>?3E^bah|P9368HQojm{UFmijP_`fg4567WDrd~R\jstnw8469:=1GXdcjr^Ob`aYXimn;<=>PMymq[Wct}e~7=<0=3:NWmhcuWDkohRQnde2345YJpfxT^h}zlu>2:75?01]N|jtXZly~`y2<>318HQojm{UFmijP_`fg4567WDrd~R\jstnw8185;2F_e`k}_Lcg`ZYflm:;<=QBxnp\V`urd}6>2?=4LUknawYJimnTSljk0123[H~hzVXnxb{<7<17>JSadoyS@okd^]b`a6789UFtb|PRdqvhq:06;90@Ygbes]NeabXWhno<=>?_LzlvZTb{|f050=3:NWmhcuWDkohRQnde2345YJpfxT^h}zlu>::70d:NWmhcuWDkohRQnde2345YXign;<=?>e:NWmhcuWDkohRQnde2345YXign;<=?>1e9OPlkbzVGjhiQPaef3456XWhdo<=>=1d9OPlkbzVGjhiQPaef3456XWhdo<=>=10f8HQojm{UFmijP_`fg4567WVkeh=>?30g8HQojm{UFmijP_`fg4567WVkeh=>?303g?IRnelxTAljk_^cg`5678VUjbi>?053f?IRnelxTAljk_^cg`5678VUjbi>?0532`>JSadoyS@okd^]b`a6789UTmcj?0172a>JSadoyS@okd^]b`a6789UTmcj?01725a=K\`gn~RCnde]\eab789:TSl`k01255`=K\`gn~RCnde]\eab789:TSl`k012554b311<27>JSadoySRokd12349766880@Ygbes]\eab789:7=3?=;MVji`tXWhno<=>?<3<26>JSadoySRokd12349599;1GXdcjr^]b`a67896?2<<4LUknawYXimn;<=>35?31?IRnelxTSljk01238386:2F_e`k}_^cg`56785=5=?5CThofvZYflm:;<=27>008HQojm{UTmij?012?=;c?0b9Neoiu^lxxeb`l;LkmkwPbzzcdb?5A029M4410CO[I5:MAQCC43FDN>6A]3:MQ66=HZ:90C_:<;NWW3>Iqm{fju55@vdpoe|763Yi0\#5_1018T4443Y;8?6^>429S5055_2518T7343Y8><;Q117>V4;:1[?9=4P270?U51;2Z8;?5_429S055<;Q717>V2;:1[99=4P470?U31;2Z>4>5_5808T353:R576=W>=90\;;<;Q457>V1?;1[;>5_7118T2443Y=8?6^8429S335=87]973:R4=7=W0:1[4==4P930?U>5;2Z39>5_8718T=143Y22>6^63:R:46=W1890\4<<;Q;07>V><:1[58=4P840?U?0;2ZJH95_ASVb?UOIWK_XEIVm;QKM[GSTFHGN?6^]E09R<>Wh[[ojht?<;PmPV`gcqVif|Rk~012351=VgZXnmiwPclr\at6789;:86_`SSgb`|YdeyUn}=>?0337?TiTZlkouRmbp^gr4567;8>0]b]]e`fz[fkwWl{;<=>;159RkVTbimsTo`~Pep23453a3XeX^hoky^mq4567991Zc^\jae{\kw6789;:<6_`SSgb`|Yhz9:;?349QE969>2XJ0<>18:PB847=87<0^L2>1?78VD:66<1YM1<15:PB86813[K7?3?9;SC?7;413[K7?3=9;SC?7;223[K783;4R@>6:0=UI5<596\N<6<6?WG;07?0^L26>29QEH38:PBIFU7P;20^LCLS1Z02>TFEJY:46\NMSgb`|773[KF^hoky^ov|56788;0^LC]e`fz[hs89:;=?2038VDKUmhnrS`{w0123747?6038VDKUmhnrS`{w012330=UIZOGh6\NSDN\ip~789:n7_O\EM]nq}6789;n7_O\EM]nq}67898n7_O\EM]nq}67899n7_O\EM]nq}6789>>7_O\EOf8VDUBFVg~t=>?0d9QEVCIWds<=>?1d9QEVCIWds<=>?2d9QEVCIWds<=>?3d9QEVCIWds<=>?4d9QEVCIWds<=>?549QEVta02XJ_hPN1;8VDUunVD;=85]ARpq`>TF[{xTaxv?012f?WGTz{Ufyu>?013f?WGTz{Ufyu>?010f?WGTz{Ufyu>?011f?WGTz{Ufyu>?016f?WGTz{Ufyu>?017f?WGTz{Ufyu>?014:?WGX8VEIYKm4R@]3[hs89:;h6\N_1]nq}6789;o7_OP0^ov|5678;n0^LQ?_lw{4567;m1YMR>Pmtz34563i2XJS<>POCWE`>TFW8:Taxv?012f?WGX99Ufyu>?013f?WGX99Ufyu>?010f?WGX99Ufyu>?011f?WGX99Ufyu>?016b?WGX98UDNXH6;SC\5ZIE]Oi0^LQ>_lw{4567l2XJSTFW;UDNXHl;SC\6Zkrp9:;TFW=UDNXHl;SC\0Zkrp9:;TFW?01f8VDY2Wds<=>?1e9QEZ3Xe|r;<=>=d:PB[0Yj}q:;<==k;SC\1Zkrp9:;<974R@]5[JDRNj1YMR8Pmtz3456c3[KT:Rczx12344bTFW?Ufyu>?016:?WGX?VEIYKm4R@]4[hs89:;h6\N_6]nq}6789;o7_OP7^ov|5678;n0^LQ8_lw{4567;m1YMR9Pmtz3456312XJS5Q@BTD`?WGX0Vg~t=>?0e9QEZ>Xe|r;<=>>d:PB[=Yj}q:;<=j4R@];[hs89:;845]A^;\KGSAk2XJS4Qbuy2345bTFW0Ufyu>?011g?WGX1Vg~t=>?05a8V@VKIJOTCFOV5:PLIFU13[EFO^?9;SMNGV403[EFO^:W8:PLIFU3P820^BCLS5Z1<>THEJY?T>64RNO@W1^302XX3[Y;xb\jf9;8VV6sg[om5>5]SU`8VVYA[DUMJi?m;SQ\BVKXNOn9n6\\_GQN[C@c;k1Y_RH\M^DE`1cTTWOYFSKHk5,Kmb>TTWOYFSKHk5,Km5c=U[VLXARHId4/Jj7`TTWOYFSKHk6,Kmb>TTWOYFSKHk6,Km5c=U[VLXARHId7/Jj7`TTWOYFSKHk7,Kmb>TTWOYFSKHk7,Km5c=U[VLXARHId6/Jj7`TTWOYFSKHk8,Kmb>TTWOYFSKHk8,Km546*Ag>m7_]PFRO\BCb?%@d>j6\\_GQN[C@c0$Ce:k5]S^DPIZ@Al1'Bb:h4RR]EWHYANm2&Ec6i;SQ\BVKXNOn3!D`65:Pfea13[ojht?9;Sgb`|413[ojht=9;Sgb`|213[ojht;9;Sgb`|013[ojht99;Sgb`|>13[ojht79;SgeGim13[om^hj;SgeV`wXWfx;<=>j;SgeV`wXWfx;<=?i;SgeV`wXWfx;<=?>f:PfbWcvWVey<=>>2d9QacTbyVkeh=>?0g9QacTbyVkeh=>?00d8V``UmxUjbi>?010e?WcaZl{Tmcj?0120`>Tbn[ozSb|?012f?WcaZl{Tc>?013f?WcaZl{Tc>?010f?WcaZl{Tc>?011f?WcaZl{Tc>?016f?WcaZl{Tc>?017f?WcaZl{Tc>?014f?WcaZl{Tc>?0155?Wca]{mn7_kiUse\ip~789:m7_kiUse\ip~789::i6\jfTpd[kis89::j6\jfTpd[kis89::=i5]egWqcZiu89::i6\jfTpd[jt789;:j6\jfTpd[jt789;:4d9QacSuoVey<=>>2d9QacSuoVey<=>>3d9QacSuoVey<=>>4d9QacSuoVey<=>>5d9QacSuoVey<=>>6d9QacSuoVey<=>>7d9QacSuoVey<=>>8d9QacSuoVey<=>>979Qavsk|:1XIY74SUknaw:7611XXdcjr^224>USadoyS=QPos2345773Z^bah|P0^]lv56798;0_Ygbes]3[Ziu89::=>2038WQojm{U:SRoad1234c=T\`gn~Road123443<[^6:2l5\W=3=[LDRN<1X[1<1a:QT878XAK_M;6]X<282:0=T_595m6]X<2<\MGSA<2Ynbym4Sdlw[Ziu89:;h6]jnu]\kw6789;o7^kat^]lv5678;n0_h`{_^mq4567;m1XiczP_np34563l2YnbyQPos23453c3ZoexRQ`r12343b<[ldSRa}01233a=Tmg~TSb|?012;e>Uil[KFO^Y]b:Qm`WGJKZ]Y=n5\nePBIFUPZ8:h7^`kR@O@WRT69j1Xbi\NMBQTV44e3Zdo^LCLSVP1f>Uil[KFO^Y]3c9PjaTFEJY\^9l4SofQEHET_[?i7^`kR@O@WRT1j2Yeh_OBCRUQ3g=TfmXJAN]XR9`8WkbUIDIX[_76;RlgWiipMG;:7^`kSmmtAKYXg{:;<=?=;RlgWiipMGUTc>?01325>UilZfd{H@P_np34576:2Yeh^b`wDL\[jt789;:=?5\neQokrCIWVey<=>>2008WkbTdf}NBRQ`r123567<\:1_^D64TSKPPDRB<2^YYHl4TR]EWHYANm;n7Y]PFRO\BCb6%@dm7Y]PFRO\BCb6%@d:j6Z\_GQN[C@c9$Ce>o5[S^DPIZ@Al;o0X^QISL]EBa4*Agl0X^QISL]EBa4*Ag;:<6Z\_GQN[C@c:$Ce==h4TR]EWHYANm8&Ec Ga4g9WWZ@TEVLMh?#Fn4d8PVYA[DUMJi<"Io4e?QUXNZGTJKj=-Hl4b>RTWOYFSKHk2,Km?1018Plkbz]ITo`~Pep234546;2^bah|[C^antZcv89:;?<=4ThofvQEXkdzTi|>?01627>Rnelx_ORmbp^gr4567=>1_e`k}_133?Qojm{U;SRoad123447<\`gn~R>P_`lg456798;0Xdcjr^2\[dhc89:;>?369WmhcuW8;;7Ygbes]2[Zgil9:;<?1038PlkbzV;TSl`k012362=SadoyS???;UknawY5WVkeh=>?0038PlkbzV8TSl`k0123547<\`gn~R1_e`k}_233?Qojm{U8SRoad123447<\`gn~R=P_`lg456798;0Xdcjr^1\[dhc89:;>?<4ThofvZKflmUTmij?012\I}iuW[oxyaz31?01?Qojm{UFmijP_`fg4567WDrd~R\jstnw8785:2^bah|PM`fg[Zgcl9:;?01]\ekb789::h6Zfmdp\IdbcWVkoh=>?0^]bja6789;:o6Zfmdp\IdbcWVkoh=>?0^]bja6788;o7Ygbes]NeabXWhno<=>?_^cm`56798;:7Ygbes]\eab789:7=3?>;UknawYXimn;<=>32?32?Qojm{UTmij?012?7;b<\`gn~Road1234`=SadoySl`k012353=RF\YNHl5ZSDP\EIOF[j1^_H\PVHQJFIC43_IH56XFEV]W]UC33_ZJ~n5YP@p\jjr789;o7[^Nr^llp56798o0Zdj]ALAPSW03c8o0Zdj]ALAPSW03c;o0Zdj]ALAPSW03c:o0Zdj]ALAPSW03c=o0Zdj]ALAPSW03co0Zdj]ALAPSW03c180[^o4WR]bja6789h0[^Qnne2345713^ky|^K>d:ZJHLH_%QNI,= > RVVF%6)9)KXODG<;YMQ0>^HZ;<0T^ZCIC58\VRXOGN<7U][_WA@1>^XGGO>7UQ[RH48\Z\BZF<0TilPIe`8\anXX{cfEcxl;Yfk[Utne]s{ik5Wdi]SvlkQm{ybcc??;Yfn[Hgmg{\n~~g`n028\akXE`dd~[k}shmm2>^h494:?6V|t59YAWI43jd>6m|3:dpf1=ngkg?7dams89mEAir|EO;i6`NDnwwH@6XGK_M==5aAEmvpIC7Wfx;<=>>1:lB@jssDL:Tc>?01325>hFLf@H>Pos23454692dJHb{{LD2\kw6789927cOKotvOA4g?1g9mEVir|Vddx=>?1033?kGTg|~Tbbz?01324c=iIZe~xR``t12357`c:lBkprHMVEIYK;4nCGQV<=iJLXYSK]Me:lAAWTXNZHTCO[I109mF@TUWOYISRa}012357=iJLXYSK]M_^mq456798;0bOK]R^DPFZYhz9:;=<<4nCGQVZ@TJVUd~=>?1031?kDBZ[UM_OQPos234446:2dII_\PFR@\[jt789;856`MESP\MJDb3gHN^_QFOC]JFP@03gHLSDAMc:lACZOHJVCIYK94nCP\MJDd3gHYSDAM_N@VBc=iJ[UBCOQbuy2345773gHYSDAM_lw{456798:0bO\PIN@\ip~789:9==5aBS]JKGYj}q:;<==>0:lAVZOHJVg~t=>?0533?kDUW@EIS`{w0123146hDIZUfyu>?015g?kEF[Vg~t=>?09f8jFGTWds<=>?979m@QGDCh1eHYOLK^DPFc=iL]KHGRH\B^MAQCghC\HI@Sl`k01236c=iL]KHGRoad12346c>119m@jssGLUjbi>?00324>hCg|~DIRoad12357773gNdyyAJ_`lg4566;<1eE]B=a:lJTI4XAK_M:6`FPM0q0>hKLZ20bAJ\_GQA`>hKLZUM_OQFBTD;?kJC[VCDNh5aLEQ\MJDXGK_MIo5aLEQ\MJDh}}i0bAJ\_`lg4565l2dGH^Qnne23477c3gFO_Road12367bhKLZUjbi>?037g?kJC[Vkeh=>?27f8jIBTWhdo<=>=7e9mHAUXign;<=<7d:lO@VYffm:;hHM11eCHQ@BTDa?kIBWge<=>>c:lLAZhh|9:;=;oMuawjfqVUd~=>?0032?kIqm{fjuRQ`r12347763gE}ibny^]lv5678:;:7cAyesnb}ZYhz9:;<9?>;oMuawjfqVUd~=>?0458jWGJD^Oh7c\NMMUF[JDRN>1e^LCZWDa8jWGJ]^OTCO[I4:lQEV3hUIZ9>7c\NS578jWGT=<1e^L]99:lQEVYHJ\L?7c\JSc9mV@UXAFH^JHm4nSGP[kis89::h6`]ER]mkq6788;<7c\JSnww2>hUGDIX;6`]OLAP5g=iZFGH_RAMUG48jWIJ_L=0b_ABWD3a?kTHE^OTCO[I8:lQacEkc[;;7c\jfBnhVZhh|9:;=>159mQAU?3g_O_RH\Be9mQAUXNZHTEO[I8:lV@VYNGKo0bXJ\_HMA[JDRNLh0bXJ\_HMAkprd3g_O_Road1235a=i]MYTmcj?0132`>hRLZUjbi>?000g?kSC[Vkeh=>?12f8jPBTWhdo<=>>4e9mQAUXign;<=?:d:lV@VYffm:;<<8k;oWGWZgil9:;=:j4nTFP[dhc89::4i5aUEQ\ekb789;2o6`ZDR]mkq6788n0bXJ\_omw45669=1eYZK:;oWTA4ehR_LUjbi>?013g?kSPMVkeh=>?03f8jPQBWhdo<=>?359mRHG?3g\FMRG@Bd9mRHGXAFHTCO[IEc9mRHGXAFHdyyj4nWOB[Zgil9:;hQEHUTc>?01:`?kPJIVkeh=>?0e9mRHGXign;<=>>d:lUIDYffm:;<=j4nWOB[dhc89:;8i5aVLC\ekb789:>86`YP@:8jSVFWOYIh6`YP@]EWGYHJ\L37cX_A^KLFa=i^YKTEBLPICWEg>hQXHUjbi>?01f8jSVFWhdo<=>?1b9mRUGXff~;<=?k;oTSEZhh|9:;=<=4nVG4?kQBWOYIo6`XE^DPFZOE]O20bjjmuhng7>tfe11so4;?0yn25>~d1<;?8ub{q023)46?3qcgecvzn0:8|ihWhcR>Pxe`,gvr)pkioqMN200`?EF<181J7:51zQb3?2>;38h97?<4c00=?57m:3pb97?:09m0<7=>2.?4n4;829~Wd0=<091>n;5126a66?=;9o8h6]=5b863d<0289?n?=6:22f04=Ti?0>;l48:017f75>2::n8>5k49094?7=9rYj;7:63;0`1?74i0D1?42;i>6<=;b31:>66b;01/8:=524g8R1>a2;q~>o=51:w1f1<73t.8=?4:7e9'50d=82.:944:7g9'50g=9>l0n96=:187f?g32k3pD99>;%672?2?:2P2<7=t11827?722t.99l4;339(165=831b594?::m7``<722c?m94?::m62c<722c>8?4?::m67g<722e2:7>5;n71f?6=3`>h?7>5;n713?6=3f>o=7>5;n6`3?6=3`;987>5;h356?6=3`>n<7>5;n6b2?6=3f>i;7>5;h6eg?6=3f?957>5;h745?6=3f??87>5;n723?6=3`336=44i8094?=h<0k1<75`4`294?=n=9:1<75f4b794?=h=:21<75`4d:94?=h=981<75`54c94?=h21<75`4c`94?=h;;?1<7*<158061=i;891<65`33194?"49=08>95a30195>=h;:81<7*<158061=i;891>65`32394?"49=08>95a30197>=h;::1<7*<158061=i;891865`33d94?"49=08>95a30191>=h;;o1<7*<158061=i;891:65`33f94?"49=08>95a30193>=h;;21<7*<158061=i;891465`33594?"49=08>95a3019=>=h;;<1<7*<158061=i;891m65`33094?"49=08>95a3019f>=n=j0;6)=>4;7a?k56;3:07d;n:18'742==k1e?<=51:9j1<<72-9:87;m;o127?4<3`?36=4+30691g=i;891?65f5783>!56<3?i7c=>3;68?l32290/?<:55c9m745==21b994?:%120?3e3g9:?784;h70>5<#;8>19o5a30193>=n=;0;6)=>4;7a?k56;3207d;>:18'742==k1e?<=59:9j15<72-9:87;m;o127?g<3`>m6=4+30691g=i;891n65f4d83>!56<3?i7c=>3;a8?l2c290/?<:55c9m745=l21b:94?:%120?3e3g9:?7k4;h40>5<#;8>19o5a3019b>=n>;0;6)=>4;7a?k56;3;;76g91;29 6732?<:038?l07290/?<:55c9m745=9;10e8h50;&051<2j2d8=>4>3:9j1`<72-9:87;m;o127?7332c>h7>5$237>0d5<#;8>19o5a301953=5<#;8>18=k4n230>4=5<6290;w):;6;35a>N30?1C8:?4$5:f>1?33-8>n7;8e:m22a<72->3i7?290:6=4?{%672?20:2B?4;5G4638 1>b2;hh7)<:b;74a>i5k=0;6):7e;0`5>=zj=3=6=4>d;11>10|@==:7):;6;c;?_?728q:=7s+24c9064>o2;:0;66a>9b83>>o2?>0;66a=c383>>i4;=0;66a<9`83>>i6?10;66g=7;29 6732;<0b>?<:198m73=83.8=94=6:l056<632c987>5$237>70o583:1(>?;:348j6742=10e2d8=>4:;:k2a?6=,:;?6?84n230>3=h49:0<76g>c;29 6732;<0b>?<:998m4d=83.8=94=6:l056<>32c:m7>5$237>70o603:1(>?;:348j6742j10e<950;&051<5>2d8=>4k;:k1b?6=,:;?6?84n230>`=h49:0m76l;8683>4<729q/898517g8L1>13A><=6*;8d87=1=#:5<#<1o1>n?4;|`65`<72<096;u+4549530<@=2=7E:81:&23an7;8e:kb6?6=3`k?6=44i8394?=n98?1<75`30494?=e;8<1<7850;2x 1>b28<27d?8b;29 1>b28=i76gn2;29 1>b2h807do<:18'0=c=i:10e9>>:18'0=c=<9;07d=ne;29 1>b2:kn76a=c083>!2?m38h=65rb5:a>5<6290;w):7e;6:0>i5k80;6):7e;0`5>=z{:;=6=4={_122>;49?09o<5rs036>5<5sW;:963<17823g=z{h>1<77>50z&703<6?l1C8584H552?!2?m32n7)<:b;74a>o6>o0;6):7e;34f>=h:k31<7*;8d81g4=O<1n07pl<9c83>7<729q/898516g8L1>13A><=6*;8d8;a>"5=k0>;h5f17d94?"30l0:;o54o3`:>5<#<1o1>n?4H5:g?>{e;0i1<7=50;2x 1212;hn7E:76:J734=#<1o1>oj4$37a>01b3`;<<7>5$5:f>41e32c:;<4?:%6;a?70j21d>o750;&7<`<5k810qo=<6;296?6=8r.?8;4>7d9K0=0<@==:7):7e;:f?!42j3?6g83>!2?m3;0;6?4?:1y'010=9>o0D969;I645>"30l03i6*=5c863`=n9?l1<7*;8d823g=13A><=6*;8d875>"5=k0>;h5f16294?"30l0:;o54i052>5<#<1o1=:l4;n0a=?6=,=2n6?m>;:a76?=8391<7>t$565>14<@=2=7E:81:&7<`<392.99o4:7d9j526=83.?4h4>7c98m416290/85k516`8?j4e13:1(96j:3a2?>{e=;:1<7=50;2x 1212;hn7E:76:J734=#<1o1>oj4$37a>01b3`;<<7>5$5:f>41e32c:;<4?:%6;a?70j21d>o750;&7<`<5k810qo=6e;296?6=8r.?8;4>7d9K0=0<@==:7):7e;:f?!42j3?6g83>!2?m3;o0D969;I645>"30l03i6*=5c863`=n9?l1<7*;8d823g=2B?;<5+49g9<`=#:5<#<1o1=:l4;n0a=?6=,=2n6?m>;I6;`>=zj:k:6=4::183!23>3>?7E:76:J734=#<1o18<5+24`912c5$5:f>41e32c:;?4?:%6;a?70j21b=:=50;&7<`<6?k10c?l6:18'0=c=:j;07pl>7883><<729q/8985469K0=0<@==:7):7e;62?!42j3?7183>!2?m3;5<#<1o1=:l4;h347?6=,=2n6<9m;:k231<72->3i7?8b:9j523=83.?4h4>7c98m411290/85k516`8?l70?3:1(96j:05a?>i5j00;6):7e;0`5>=zj==<6=4::183!23>3>?7E:76:J734=#<1o18<5+24`912c5$5:f>41e32c:;?4?:%6;a?70j21b=:=50;&7<`<6?k10c?l6:18'0=c=:j;07pl;7983>6<729q/8985439K0=0<@==:7):7e;62?!42j3?7183>!2?m3;5<#<1o1>n?4;|`73<<72;0;6=u+454952c<@=2=7E:81:&7<`7c98k7d>290/85k52b38L1>c32wi8:o50;094?6|,=>=6<9j;I6;2>N3?81/85k58d9'60d==>o0e<8i:18'0=c=9>h07bb2;i:7E:7d:9~f11e29096=4?{%672?70m2B?4;5G4638 1>b21o0(?;m:45f?l71n3:1(96j:05a?>i5j00;6):7e;0`5>N30m10qo:8c;297?6=8r.?8;4;2:J7<3=O<>;0(96j:538 73e2<=n7d?80;29 1>b28=i76g>7083>!2?m3;N30?1C8:?4$5:f>17<,;?i689j;h344?6=,=2n6<9m;:k234<72->3i7?8b:9l6g?=83.?4h4=c098yg20m3:187>50z&703<3;2B?4;5G4638 1>b2=;0(?;m:45f?l7083:1(96j:05a?>o6?80;6):7e;34f>=n9>81<7*;8d823g=>?4?:283>5}#<=<18?5G4948L1163->3i7:>;%06f?30m2c:;=4?:%6;a?70j21b=:?50;&7<`<6?k10c?l6:18'0=c=:j;07pl:2283>7<729q/898516g8L1>13A><=6*;8d8;a>"5=k0>;h5f17d94?"30l0:;o54o3`:>5<#<1o1>n?4H5:g?>{e=;>1<7<50;2x 12128=n7E:76:J734=#<1o14h5+24`912c5$5:f>7e63A>3h65rb406>5<4290;w):;6;61?M2?>2B?;<5+49g904=#:5<#<1o1=:l4;h345?6=,=2n6<9m;:m1f<<72->3i7b2;ho7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=5}#<=<18?5G4948L1163->3i7:>;%06f?30m2c:;=4?:%6;a?70j21b=:?50;&7<`<6?k10c?l6:18'0=c=:j;07pl;2183>6<729q/89852cg8L1>13A><=6*;8d81fa=#:5<#<1o1=:l4;h345?6=,=2n6<9m;:m1f<<72->3i76=4?{%672?233A>3:6F;709'0=c=<81/>8l556g8m417290/85k516`8?l7093:1(96j:05a?>o6?;0;6):7e;34f>=n9>91<7*;8d823g=?4?:383>5}#<=<1=:k4H5:5?M2092.?4h47e:&11g<2?l1b=;h50;&7<`<6?k10c?l6:18'0=c=:j;0D96k;:a075=8381<7>t$565>41b3A>3:6F;709'0=c=0l1/>8l556g8m40a290/85k516`8?j4e13:1(96j:3a2?M2?l21vn9<;:181>5<7s->?:7?8e:J7<3=O<>;0(96j:9g8 73e2<=n7d?9f;29 1>b28=i76a=b883>!2?m38h=6F;8e98yg25=3:1>7>50z&703<6?l1C8584H552?!2?m32n7)<:b;74a>o6>o0;6):7e;34f>=h:k31<7*;8d81g4=O<1n07pl;2783>6<729q/8985439K0=0<@==:7):7e;62?!42j3?7183>!2?m3;5<#<1o1>n?4;|`762<72<0;6=u+454901=O<1<0D99>;%6;a?263-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98m415290/85k516`8?l70;3:1(96j:05a?>i5j00;6):7e;0`5>=zj=;o6=4<:183!23>3>97E:76:J734=#<1o18<5+24`912c5$5:f>41e32e9n44?:%6;a?4d921vn9?j:180>5<7s->?:7;0(96j:3`g?!42j3?7183>!2?m3;5<#<1o1>n?4;|`75c<72:0;6=u+454907=O<1<0D99>;%6;a?263-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98k7d>290/85k52b38?xd61m0;6>4?:1y'010=:ko0D969;I645>"30l09ni5+24`912c5$5:f>41e32e9n44?:%6;a?4d921vn<7i:181>5<7s->?:7?8e:J7<3=O<>;0(96j:9g8 73e2<=n7d?9f;29 1>b28=i76a=b883>!2?m38h=6F;8e98yg7f83:197>50z&703<3<2B?4;5G4638 1>b2=;0(?;m:45f?l7083:1(96j:05a?>o6?80;6):7e;34f>=n9>81<7*;8d823g=5$5:f>7e632wi=l?50;194?6|,=>=69<4H5:5?M2092.?4h4;1:&11g<2?l1b=:>50;&7<`<6?k10e<9>:18'0=c=9>h07bb2;i:76sm1`094?4=83:p(9:9:05f?M2?>2B?;<5+49g9<`=#:5<#<1o1=:l4;n0a=?6=,=2n6?m>;I6;`>=zj8k86=4<:183!23>38ii6F;879K027<,=2n6?lk;%06f?30m2c:;=4?:%6;a?70j21b=:?50;&7<`<6?k10c?l6:18'0=c=:j;07pl>a583>6<729q/8985439K0=0<@==:7):7e;62?!42j3?7183>!2?m3;5<#<1o1>n?4;|`2e0<72:0;6=u+454907=O<1<0D99>;%6;a?263-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98k7d>290/85k52b38?xd6i?0;6>4?:1y'010=<;1C8584H552?!2?m3>:7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=5}#<=<1>oh4H5:5?M2092.?4h4=be9'60d==>o0e<9?:18'0=c=9>h07d?81;29 1>b28=i76g>7383>!2?m3;5<4sW;<70:=1;344>;3:;0::k5rs0:94?5|V82019<>:052?825;3;=j6s|1883>6}Y90168??51608914328a;296~X6i27?=n4>709~w4d=839pR41434>997?9f:p5f<72;qU=n5240a95264}r3f>5<3sW;n70?89;345>;3?>0:;<521`2952763;768237=:9h:1=:<4}r03>5<3sW8;70?89;347>;3?>0:;>521`29525m3;=j63>788231=:<>21=:>4=0;g>41634;j=7?81:p61<72?qU>95238d953`<58=26<9:;<64719>5d7=9>:0q~<::185[423498:7?9f:?0e4<6?916=:751648911f28{t:>0;6;uQ269>761=9?l01>o?:04e?87013;<;63;7c822c=:90o1=:?4}r0f>5<5sW8n70:=0;344>{t:o0;6?uQ2g9>076=9>;0q~6;:187[>334?9<7?81:?667<6?9169?=517d8yvg42909wSo<;<72a??63ty:;54?:3y]52><58=26?l6;|q2=f<72;qU=4m4=0;f>7d>3ty:5i4?:3y>5;|q2e5<72;q6=l>52c;894g128=;7p}>a083>7}:9h;1>o74=0c7>4173ty:m?4?:3y>5d4=:k3016<9>;|q2e1<72;q6=l:52c;894g128=:7p}>a483>7}:9h?1>o74=0;f>4173ty:m;4?:3y>5d0=:k301<7j:051?xu6k10;6>uQ1b:8911b2;h270:=6;344>{t:k?1<740c34?:i7o;;|q1g7<72;qU>n<4=3a0>7d>3ty8?94?:3y]762<5:926?l6;|q070<72:qU?>;4=21;>7d>349857?80:p760=838p1>=9:3`:?85403;<<6s|32594?4|5:9<6?l6;<107m:3`:?85>k3;<=6s|38f94?2|V:3o70=6c;344>;4i809n452530952752z?0=`<5j016?l?51638yv5>n3:1>v3<9g81f<=:;h;1=:<4}r1b4?6=:r78m=4=b89>7d7=9>90q~:>b;296~X39k168v3;1b81f<=:<8n1=:>4}r62`?6=:r7?=i4=b89>04`=9>:0q~:>e;296~;39l09n45240d95279<7>52z?765<5j0168?851638yv2593:1>v3;2081f<=:<8o1=:>4}r616?6=:r7?>?4=b89>071=9>:0q~:=3;296~;3::09n4524359527987>52z?761<5j0168?951608yv25=3:1>v3;2481f<=:<;=1=:=4}r612?6=:r7?>;4=b89>04b=9>;0q~:=7;296~;3:>09n45240g9527<;7>52z?732<5j0168:k51638yv2003:1>v3;7981f<=:<>n1=:>4}r64=?6=:r7?;44=b89>02e=9>:0q~:8a;296~;3?h09n45246a952752z?73g<5j0168:k51608yv20k3:1>v3;7b81f<=:<>n1=:?4}r64`?6=:r7?;i4=b89>02c=9>:0q~;>e;291~;29l08=;522b1953`<5:3i6<8i;<10=?70927:5k4>6g9~w07a2909w0;>e;321>;2:909n45rs402>5<5s4?:i7o=;<712?4e12wx9?<50;0x90452;h270;=6;344>{t=;91<77d>34?997?80:p172=838p18<;:3`:?835=3;<=6s|53794?4|5<8>6?l6;<712?7092wx9>=50;1xZ05434?9<7?80:?661<6>o1v898:180[30?27?;44>6g9>5d4=9?l0qpl:7c83>61=j80o>vF;709'010=;:90V4>53z33>42=9;0v(?;n:511?lg42900e9jk:188k0342900e9o<:188k05e2900c8:188m=2=831d9<950;9j7ag=831b8kh50;9j121=831b99?50;9j762=831d9>650;9j7o50;9l147=831d??;50;&051<4:=1e?<=50:9l775=83.8=94<259m745=921d?><50;&051<4:=1e?<=52:9l767=83.8=94<259m745=;21d?>>50;&051<4:=1e?<=54:9l77`=83.8=94<259m745==21d??k50;&051<4:=1e?<=56:9l77b=83.8=94<259m745=?21d??650;&051<4:=1e?<=58:9l771=83.8=94<259m745=121d??850;&051<4:=1e?<=5a:9l774=83.8=94<259m745=j21b4l4?:%120?>>3g9:?7>4;h:;>5<#;8>1445a30195>=n0>0;6)=>4;::?k56;3807d<;:18'742=::1e?<=50:9j67<72-9:87<<;o127?7<3`8;6=4+306966=i;891>65f1g83>!56<3887c=>3;18?l7b290/?<:5229m745=<21b=i4?:%120?443g9:?7;4;h3`>5<#;8>1>>5a30192>=n9k0;6)=>4;00?k56;3=07d?n:18'742=::1e?<=58:9j5<<72-9:87<<;o127??<3`;36=4+306966=i;891m65f1683>!56<3887c=>3;`8?l4a290/?<:5229m745=k21b>h4?:%120?443g9:?7j4;h0g>5<#;8>1>>5a3019a>=n:j0;6)=>4;00?k56;3l07d44?:%120?443g9:?7?=;:k145<3`8:6=4+306966=i;891=954b5:4>5<6290;w):;6;35a>N30?1C8:?4$5:f>1?33-8>n7;8e:m22a<72->3i76?49{%672?71>2B?4;5G4638 73e2<=n7do=:188md2=831b5<4?::k250<722e8=;4?::`053<72?0;6=u+49g953?=n<9;1<7*;8d8744=5$5:f>7e632wi85l50;394?6|,=2n697;;n0`5?6=,=2n6?m>;:p740=838pR>?9;<122?4d92wx=<;50;0xZ472349::7?8b:pe1<72;qUm95230497dc3k97p}61;296~X>9278=;4n3:p53c=838p1>?9:522?82?j38h=6srb21b>5<42;0?w):;6;350>N30?1C8:?4$37a>01b3`3:6=44i036>5<5<22;0=w):;6;353>"5=k0>;h5`30494?=n;8?1<75f10794?=ni=0;66g61;29?g71?3:1:7>50z&7<`<6>01b=:l50;&7<`<6?k10el<50;&7<`4?:%6;a?g432c?<<4?:%6;a?27921b?lk50;&7<`<4il10c?m>:18'0=c=:j;07pl;8883>4<729q/85k52ca8k7e3290/85k52b38?xu69<0;6?uQ1078940028=i7p}61;296~X>927:::4n3:pe1<72;qUm95217597dc52z\050=:9?=18=?4}r122?6=:rT8=;5217596f7<>7>52z?222t$553><=#<=<1=;k4$5:f>1?33-8>n7;8e:m22a<72->3i76:?053<49?1v149~w<7=838pR4?4=235><7672349::7o;;<0953b<,==;6n5r}c723?6=;3818v*;478221=O<1<0D99>;%06f?30m2c2=7>5;h321?6=3f9::7>5;c122?6==381:v*;478222=#:5<6=44i036>5<1<75f9083>>d6>>0;6;4?:1y'0=c=9?30e<9m:18'0=c=9>h07do=:18'0=c=i;10el=50;&7<`oj:18'0=c=;ho07bb2;i:76sm49;94?7=83:p(96j:3``?j4d<3:1(96j:3a2?>{t98?1<77}Y1816=;95a29~wd2=838pRl:4=044>6gb3ty8=84?:3y]743<58<<69>>;|q053<72;qU?<84=044>7e63ty?;?4?:3y>531=i;1685752b68yxd5290:6=4?{%644??<,=>=6<8j;%6;a?2><2.99o4:7d9l53b=83.?4h4=c098yv56>3:1>vP<179>740=;8<0q~?>5;296~X69<16?<851078yv?62909wS7>;<122??63ty>6=4<{<122?56=278=;4n4:?1>40c3-><<7m4}|`67`<72:0969u+4549532<@=2=7E:81:&11g<2?l1b5<4?::k250<722e8=;4?::`053<72<096;u+4549531<,;?i689j;n122?6=3`9:97>5;h321?6=3`k?6=44i8394?=e9?=1<7850;2x 1>b28<27d?8b;29 1>b28=i76gn2;29 1>b2h807do<:18'0=c=i:10e9>>:18'0=c=<9;07d=ne;29 1>b2:kn76a=c083>!2?m38h=65rb5::>5<6290;w):7e;0ag>i5k=0;6):7e;0`5>=z{8;>6=4={_321>;6>>0:;o5rs8394?4|V0;01<88:`18yvg32909wSo;;<353?5fm2wx?<;50;0xZ67234;=;7:?1:p740=838pR>?9;<353?4d92wx8:<50;0x94002h801966:3a7?x{e:3:1=7>50z&735<>3->?:7?9e:&7<`<31=1/>8l556g8k40c290/85k52b38?xu49?0;6?uQ304896712:;=7p}>1483>7}Y98?01>?9:036?xu>93:1>vP61:?053<>92wx97>53z?053<49<16?<85a59>6?71l2.?;=4l;|a541=8391>7:t$565>4033A>3:6F;709'60d==>o0e4?50;9j543=831d?<850;9a740=83?1>78t$565>4003-8>n7;8e:m053<722c8=84?::k250<722cj87>5;h;2>5<7c83>!2?m3;!2?m3k976gn3;29 1>b2h907d:?1;29 1>b2=::76g!2?m39ji65`2b394?"30l09o<54}c6;=?6=93:11<7*;8d81g4=52z\250=:9?=1=:l4}r;2>5<5sW3:70?97;c0?xuf<3:1>vPn4:?222<4il1v>?::181[56=27:::4;009~w6712909wS=>6:?222<5k81v99=:181871?3k970:79;0`0>{zj;0;6<4?:1y'026=12.?8;4>6d9'0=c=<0>0(?;m:45f?j71l3:1(96j:3a2?>{t;8<1<739::6s|10794?4|V8;>70=>6;321>{t180;6?uQ909>740=181v84?:2y>740=;8?01>?9:`6897<6>m1/8:>5c:~f6cd290>6?49{%672?71>2B?4;5G4638 73e2<=n7do=:188md2=831b5<4?::k250<722e8=;4?::`053<72?0;6=u+49g953?=n<9;1<7*;8d8744=5$5:f>7e632wi85l50;394?6|,=2n697;;n0`5?6=,=2n6?m>;:p740=838pR>?9;<122?4d92wx=<;50;0xZ472349::7?8b:pe1<72;qUm95230497dc3k97p}61;296~X>9278=;4n3:p53c=838p1>?9:522?82?j38h=6srb260>5<42;0?w):;6;350>N30?1C8:?4$05g>`=#:i49?0;66g<1483>>o69<0;66gn4;29?l?62900n<88:185>5<7s->3i7?99:k23g<72->3i7?8b:9je7<72->3i7o=;:kb7?6=,=2n6l=4;h635?6=,=2n69>>;:k0e`<72->3i7=ne:9l6f7=83.?4h4=c098yg2?13:1=7>50z&7<`<5jj1d>n:50;&7<`<5k810q~?>5;296~X69<16=;9516`8yv?62909wS7>;<353?g43tyj87>52z\b0>;6>>08mh5rs236>5<5sW9:963>668744=z{:;=6=4={_122>;6>>09o<5rs551>5<5s4;=;7o=;<6;=?4d<2wvn?4?:083>5}#<>:156*;47822`=#<1o184:4$37a>01b3f;=h7>5$5:f>7e632wx?<850;0xZ671349::7=>6:p543=838pR6}:;8<1?<;4=235>d2<5;0::i5+4629g>{zj:?86=4<:387!23>3;=86F;879K027<,8=o6h5+24`912c>o49<0;66g>1483>>of<3:17d7>:188f400290=6=4?{%6;a?7112c:;o4?:%6;a?70j21bm?4?:%6;a?g532cj?7>5$5:f>d5<3`>;=7>5$5:f>16632c8mh4?:%6;a?5fm21d>n?50;&7<`<5k810qo:79;295?6=8r.?4h4=bb9l6f2=83.?4h4=c098yv76=3:1>vP>149>531=9>h0q~7>:181[?634;=;7o<;|qb0?6=:rTj863>6680e`=z{:;>6=4={_121>;6>>0?<<5rs235>5<5sW9::63>6681g4=z{==96=4={<353?g534>357"3;:p740=838pR>?9;<122?56>2wx=<;50;0xZ472349::7?>5:p=4<72;qU5<523049=4=z{<0;6>u23049743<5:;=6l:4=3822a=#<>:1o6srb26:>5<42;0?w):;6;350>N30?1C8:?4$05g>`=#:i49?0;66g<1483>>o69<0;66gn4;29?l?62900n<88:185>5<7s->3i7?99:k23g<72->3i7?8b:9je7<72->3i7o=;:kb7?6=,=2n6l=4;h635?6=,=2n69>>;:k0e`<72->3i7=ne:9l6f7=83.?4h4=c098yg2?13:1=7>50z&7<`<5jj1d>n:50;&7<`<5k810q~?>5;296~X69<16=;9516`8yv?62909wS7>;<353?g43tyj87>52z\b0>;6>>08mh5rs236>5<5sW9:963>668744=z{:;=6=4={_122>;6>>09o<5rs551>5<5s4;=;7o=;<6;=?4d<2wvn?4?:083>5}#<>:156*;47822`=#<1o184:4$37a>01b3f;=h7>5$5:f>7e632wx?<850;0xZ671349::7=>6:p543=838pR6}:;8<1?<;4=235>d2<5;0::i5+4629g>{zj:?;6=4<:387!23>3;=86F;879K027<,8=o6h5+24`912c>o49<0;66g>1483>>of<3:17d7>:188f400290=6=4?{%6;a?7112c:;o4?:%6;a?70j21bm?4?:%6;a?g532cj?7>5$5:f>d5<3`>;=7>5$5:f>16632c8mh4?:%6;a?5fm21d>n?50;&7<`<5k810qo:79;295?6=8r.?4h4=bb9l6f2=83.?4h4=c098yv76=3:1>vP>149>531=9>h0q~7>:181[?634;=;7o<;|qb0?6=:rTj863>6680e`=z{:;>6=4={_121>;6>>0?<<5rs235>5<5sW9::63>6681g4=z{==96=4={<353?g534>357"3;:p740=838pR>?9;<122?56>2wx=<;50;0xZ472349::7?>5:p=4<72;qU5<523049=4=z{<0;6>u23049743<5:;=6l:4=3822a=#<>:1o6srb5f2>5<42;0?w):;6;350>N30?1C8:?4$37a>01b3`3:6=44i036>5<5<22;0=w):;6;353>"5=k0>;h5`30494?=n;8?1<75f10794?=ni=0;66g61;29?g71?3:1:7>50z&7<`<6>01b=:l50;&7<`<6?k10el<50;&7<`4?:%6;a?g432c?<<4?:%6;a?27921b?lk50;&7<`<4il10c?m>:18'0=c=:j;07pl;8883>4<729q/85k52ca8k7e3290/85k52b38?xu69<0;6?uQ1078940028=i7p}61;296~X>927:::4n3:pe1<72;qUm95217597dc52z\050=:9?=18=?4}r122?6=:rT8=;5217596f7<>7>52z?222t$553><=#<=<1=;k4$5:f>1?33-8>n7;8e:m22a<72->3i76:?053<49?1v149~w<7=838pR4?4=235><7672349::7o;;<0953b<,==;6n5r}c1bb?6=;3818v*;478221=O<1<0D99>;%34`?c<,;?i689j;h;2>5<6=44o235>5<3;=;6*=5c863`=h;8<1<75f30794?=n98?1<75fa583>>o>93:17o?97;292?6=8r.?4h4>689j52d=83.?4h4>7c98md4=83.?4h4n2:9je6<72->3i7o<;:k744<72->3i7:?1:9j7dc=83.?4h41483>7}Y98?01<88:05a?xu>93:1>vP61:?22252z\053=:9?=1>n?4}r646?6=:r7:::4n2:?7<<<5k=1vqo<50;394?6|,==;645+454953c<,=2n697;;%06f?30m2e::i4?:%6;a?4d921v>?9:181[56>278=;4<179~w4722909wS?>5:?053<69<1v4?50;0xZ<7<5:;=64?4}r794?5|5:;=6>?:;<122?g33481=;j4$553>f=zuk9i<7>53;090~"301b3`3:6=44i036>5<5<22;0=w):;6;353>"5=k0>;h5`30494?=n;8?1<75f10794?=ni=0;66g61;29?g71?3:1:7>50z&7<`<6>01b=:l50;&7<`<6?k10el<50;&7<`4?:%6;a?g432c?<<4?:%6;a?27921b?lk50;&7<`<4il10c?m>:18'0=c=:j;07pl;8883>4<729q/85k52ca8k7e3290/85k52b38?xu69<0;6?uQ1078940028=i7p}61;296~X>927:::4n3:pe1<72;qUm95217597dc52z\050=:9?=18=?4}r122?6=:rT8=;5217596f7<>7>52z?222t$553><=#<=<1=;k4$5:f>1?33-8>n7;8e:m22a<72->3i76:?053<49?1v149~w<7=838pR4?4=235><7672349::7o;;<0953b<,==;6n5r}c1a5?6=;3818v*;478221=O<1<0D99>;%34`?c<,;?i689j;h;2>5<6=44o235>5<3;=;6*=5c863`=h;8<1<75f30794?=n98?1<75fa583>>o>93:17o?97;292?6=8r.?4h4>689j52d=83.?4h4>7c98md4=83.?4h4n2:9je6<72->3i7o<;:k744<72->3i7:?1:9j7dc=83.?4h41483>7}Y98?01<88:05a?xu>93:1>vP61:?22252z\053=:9?=1>n?4}r646?6=:r7:::4n2:?7<<<5k=1vqo<50;394?6|,==;645+454953c<,=2n697;;%06f?30m2e::i4?:%6;a?4d921v>?9:181[56>278=;4<179~w4722909wS?>5:?053<69<1v4?50;0xZ<7<5:;=64?4}r794?5|5:;=6>?:;<122?g33481=;j4$553>f=zuk9i>7>53;090~"301b3`3:6=44i036>5<5<22;0=w):;6;353>"5=k0>;h5`30494?=n;8?1<75f10794?=ni=0;66g61;29?g71?3:1:7>50z&7<`<6>01b=:l50;&7<`<6?k10el<50;&7<`4?:%6;a?g432c?<<4?:%6;a?27921b?lk50;&7<`<4il10c?m>:18'0=c=:j;07pl;8883>4<729q/85k52ca8k7e3290/85k52b38?xu69<0;6?uQ1078940028=i7p}61;296~X>927:::4n3:pe1<72;qUm95217597dc52z\050=:9?=18=?4}r122?6=:rT8=;5217596f7<>7>52z?222t$553><=#<=<1=;k4$5:f>1?33-8>n7;8e:m22a<72->3i76:?053<49?1v149~w<7=838pR4?4=235><7672349::7o;;<0953b<,==;6n5r}c1a1?6=;3818v*;478221=O<1<0D99>;%34`?c<,;?i689j;h;2>5<6=44o235>5<3;=;6*=5c863`=h;8<1<75f30794?=n98?1<75fa583>>o>93:17o?97;292?6=8r.?4h4>689j52d=83.?4h4>7c98md4=83.?4h4n2:9je6<72->3i7o<;:k744<72->3i7:?1:9j7dc=83.?4h41483>7}Y98?01<88:05a?xu>93:1>vP61:?22252z\053=:9?=1>n?4}r646?6=:r7:::4n2:?7<<<5k=1vqo<50;394?6|,==;645+454953c<,=2n697;;%06f?30m2e::i4?:%6;a?4d921v>?9:181[56>278=;4<179~w4722909wS?>5:?053<69<1v4?50;0xZ<7<5:;=64?4}r794?5|5:;=6>?:;<122?g33481=;j4$553>f=zuk9im7>53;090~"301b3`3:6=44i036>5<5<22;0=w):;6;353>"5=k0>;h5`30494?=n;8?1<75f10794?=ni=0;66g61;29?g71?3:1:7>50z&7<`<6>01b=:l50;&7<`<6?k10el<50;&7<`4?:%6;a?g432c?<<4?:%6;a?27921b?lk50;&7<`<4il10c?m>:18'0=c=:j;07pl;8883>4<729q/85k52ca8k7e3290/85k52b38?xu69<0;6?uQ1078940028=i7p}61;296~X>927:::4n3:pe1<72;qUm95217597dc52z\050=:9?=18=?4}r122?6=:rT8=;5217596f7<>7>52z?222t$553><=#<=<1=;k4$5:f>1?33-8>n7;8e:m22a<72->3i76:?053<49?1v149~w<7=838pR4?4=235><7672349::7o;;<0953b<,==;6n5r}c1ab?6=;3818v*;478221=O<1<0D99>;%34`?c<,;?i689j;h;2>5<6=44o235>5<3;=;6*=5c863`=h;8<1<75f30794?=n98?1<75fa583>>o>93:17o?97;292?6=8r.?4h4>689j52d=83.?4h4>7c98md4=83.?4h4n2:9je6<72->3i7o<;:k744<72->3i7:?1:9j7dc=83.?4h41483>7}Y98?01<88:05a?xu>93:1>vP61:?22252z\053=:9?=1>n?4}r646?6=:r7:::4n2:?7<<<5k=1vqo<50;394?6|,==;645+454953c<,=2n697;;%06f?30m2e::i4?:%6;a?4d921v>?9:181[56>278=;4<179~w4722909wS?>5:?053<69<1v4?50;0xZ<7<5:;=64?4}r794?5|5:;=6>?:;<122?g33481=;j4$553>f=zuk9h87>53;090~"301b3`3:6=44i036>5<5<22;0=w):;6;353>"5=k0>;h5`30494?=n;8?1<75f10794?=ni=0;66g61;29?g71?3:1:7>50z&7<`<6>01b=:l50;&7<`<6?k10el<50;&7<`4?:%6;a?g432c?<<4?:%6;a?27921b?lk50;&7<`<4il10c?m>:18'0=c=:j;07pl;8883>4<729q/85k52ca8k7e3290/85k52b38?xu69<0;6?uQ1078940028=i7p}61;296~X>927:::4n3:pe1<72;qUm95217597dc52z\050=:9?=18=?4}r122?6=:rT8=;5217596f7<>7>52z?222t$553><=#<=<1=;k4$5:f>1?33-8>n7;8e:m22a<72->3i76:?053<49?1v149~w<7=838pR4?4=235><7672349::7o;;<0953b<,==;6n5r}c70=?6=:3:1;%6;a?>b3-8>n7;8e:k22c<72->3i7?8b:9l6g?=83.?4h4=c09K0=b<3th>994?:383>5}#<=<1=:k4H5:5?M2092.?4h47e:&11g<2?l1b=;h50;&7<`<6?k10c?l6:18'0=c=:j;0D96k;:a144=8381<7>t$565>41b3A>3:6F;709'0=c=0l1/>8l556g8m40a290/85k516`8?j4e13:1(96j:3a2?M2?l21vn>k>:181>5<7s->?:7?8e:J7<3=O<>;0(96j:9g8 73e2<=n7d?9f;29 1>b28=i76a=b883>!2?m38h=6F;8e98yg5b:3:1>7>50z&703<6?l1C8584H552?!2?m32n7)<:b;74a>o6>o0;6):7e;34f>=h:k31<7*;8d81g4=O<1n07pl6<729q/8985439K0=0<@==:7):7e;62?!42j3?7183>!2?m3;5<#<1o1>n?4;|`25<<72;0;6=u+454952c<@=2=7E:81:&7<`7c98k7d>290/85k52b38L1>c32wi99>50;094?6|,=>=6<9j;I6;2>N3?81/85k58d9'60d==>o0e<8i:18'0=c=9>h07bb2;i:7E:7d:9~f6`729096=4?{%672?70m2B?4;5G4638 1>b21o0(?;m:45f?l71n3:1(96j:05a?>i5j00;6):7e;0`5>N30m10qo=ke;296?6=8r.?8;4>7d9K0=0<@==:7):7e;:f?!42j3?6g83>!2?m3;4?:1y'010=:ko0D969;I645>"30l09ni5+24`912c5$5:f>41e32e9n44?:%6;a?4d921vn>l;:180>5<7s->?:7:=;I6;2>N3?81/85k5409'60d==>o0e<9?:18'0=c=9>h07d?81;29 1>b28=i76a=b883>!2?m38h=65rb271>5<5290;w):;6;34a>N30?1C8:?4$5:f>=c<,;?i689j;h35b?6=,=2n6<9m;:m1f<<72->3i753;294~"33i750;&7<`<6?k10e<9>:18'0=c=9>h07bb2;i:76sm35`94?4=83:p(9:9:05f?M2?>2B?;<5+49g9<`=#:5<#<1o1=:l4;n0a=?6=,=2n6?m>;I6;`>=zj:>h6=4=:183!23>3;01b3`;=j7>5$5:f>41e32e9n44?:%6;a?4d92B?4i54}c17`?6=;3:1N30?1C8:?4$5:f>17<,;?i689j;h344?6=,=2n6<9m;:k234<72->3i7?8b:9l6g?=83.?4h4=c098yg53m3:1?7>50z&703<3:2B?4;5G4638 1>b2=;0(?;m:45f?l7083:1(96j:05a?>o6?80;6):7e;34f>=h:k31<7*;8d81g4=54;294~"33i750;&7<`<6?k10e<9>:18'0=c=9>h07d?82;29 1>b28=i76a=b883>!2?m38h=65rb21`>5<4290;w):;6;0aa>N30?1C8:?4$5:f>7dc3-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98k7d>290/85k52b38?xd4;m0;6?4?:1y'010=9>o0D969;I645>"30l03i6*=5c863`=n9?l1<7*;8d823g=2B?;<5+49g9<`=#:5<#<1o1=:l4;n0a=?6=,=2n6?m>;I6;`>=zj:9m6=4<:183!23>3>97E:76:J734=#<1o18<5+24`912c5$5:f>41e32e9n44?:%6;a?4d921vn>:?:180>5<7s->?:7:=;I6;2>N3?81/85k5409'60d==>o0e<9?:18'0=c=9>h07d?81;29 1>b28=i76a=b883>!2?m38h=65rb262>5<4290;w):;6;0aa>N30?1C8:?4$5:f>7dc3-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98k7d>290/85k52b38?xd4<;0;694?:1y'010=:kl0D969;I645>"30l09ni5+24`912c5$5:f>41e32c:;?4?:%6;a?70j21d>o750;&7<`<5k810qo:k4;297?6=8r.?8;4=bd9K0=0<@==:7):7e;0a`>"5=k0>;h5f16294?"30l0:;o54i052>5<#<1o1=:l4;n0a=?6=,=2n6?m>;:a0a3=8391<7>t$565>14<@=2=7E:81:&7<`<392.99o4:7d9j526=83.?4h4>7c98m416290/85k516`8?j4e13:1(96j:3a2?>{e5$5:f>7e63A>3h65rb5f4>5<4290;w):;6;0aa>N30?1C8:?4$5:f>7dc3-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98k7d>290/85k52b38?xd3l10;6?4?:1y'010=9>o0D969;I645>"30l03i6*=5c863`=n9?l1<7*;8d823g=2B?;<5+49g96gb<,;?i689j;h344?6=,=2n6<9m;:k234<72->3i7?8b:9l6g?=83.?4h4=c098yg2ci3:1?7>50z&703<3:2B?4;5G4638 1>b2=;0(?;m:45f?l7083:1(96j:05a?>o6?80;6):7e;34f>=h:k31<7*;8d81g4=on7>53;294~"36F;879K027<,=2n69?4$37a>01b3`;<<7>5$5:f>41e32c:;<4?:%6;a?70j21d>o750;&7<`<5k810qo:kc;291?6=8r.?8;4=c19K0=0<@==:7):7e;0a`>"5=k0>;h5f16294?"30l0:;o54i052>5<#<1o1=:l4;h346?6=,=2n6<9m;:k236<72->3i7?8b:9l6g?=83.?4h4=c098yg32>3:1>7>50z&703<6?l1C8584H552?!2?m32n7)<:b;74a>o6>o0;6):7e;34f>=h:k31<7*;8d81g4=O<1n07pl:5683>7<729q/898516g8L1>13A><=6*;8d8;a>"5=k0>;h5f17d94?"30l0:;o54o3`:>5<#<1o1>n?4H5:g?>{e=<21<7:50;2x 1212=90D969;I645>"30l0?=6*=5c863`=n9>:1<7*;8d823g=7>5$5:f>41e32e9n44?:%6;a?4d921vn8?;:181>5<7s->?:7?8e:J7<3=O<>;0(96j:9g8 73e2<=n7d?9f;29 1>b28=i76a=b883>!2?m38h=6F;8e98yg36=3:1>7>50z&703<6?l1C8584H552?!2?m32n7)<:b;74a>o6>o0;6):7e;34f>=h:k31<7*;8d81g4=O<1n07pl:1783>1<729q/8985429K0=0<@==:7):7e;62?!42j3?7183>!2?m3;5<#<1o1=:l4;n0a=?6=,=2n6?m>;:a70b=8391<7>t$565>14<@=2=7E:81:&7<`<392.99o4:7d9j526=83.?4h4>7c98m416290/85k516`8?j4e13:1(96j:3a2?>{e;5$5:f>7e63A>3h65rb27e>5<4290;w):;6;61?M2?>2B?;<5+49g904=#:5<#<1o1=:l4;h345?6=,=2n6<9m;:m1f<<72->3i7b2;ho7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=5}#<=<18?5G4948L1163->3i7:>;%06f?30m2c:;=4?:%6;a?70j21b=:?50;&7<`<6?k10c?l6:18'0=c=:j;07pl<6c83>7<729q/898516g8L1>13A><=6*;8d8;a>"5=k0>;h5f17d94?"30l0:;o54o3`:>5<#<1o1>n?4H5:g?>{e;?i1<7=50;2x 1212=80D969;I645>"30l0?=6*=5c863`=n9>:1<7*;8d823g=5$5:f>7e632wi?;j50;194?6|,=>=6?lj;I6;2>N3?81/85k52cf8 73e2<=n7d?80;29 1>b28=i76g>7083>!2?m3;N30?1C8:?4$5:f>17<,;?i689j;h344?6=,=2n6<9m;:k234<72->3i7?8b:9l6g?=83.?4h4=c098yg5003:1>7>50z&703<6?l1C8584H552?!2?m32n7)<:b;74a>o6>o0;6):7e;34f>=h:k31<7*;8d81g4=O<1n07pl<7883>6<729q/8985439K0=0<@==:7):7e;62?!42j3?7183>!2?m3;5<#<1o1>n?4;|`03d<72:0;6=u+45496gc<@=2=7E:81:&7<`<5jm1/>8l556g8m417290/85k516`8?l7093:1(96j:05a?>i5j00;6):7e;0`5>=zj:=o6=4<:183!23>3>97E:76:J734=#<1o18<5+24`912c5$5:f>41e32e9n44?:%6;a?4d921vn>9j:181>5<7s->?:7?8e:J7<3=O<>;0(96j:9g8 73e2<=n7d?9f;29 1>b28=i76a=b883>!2?m38h=6F;8e98yg50n3:1?7>50z&703<3:2B?4;5G4638 1>b2=;0(?;m:45f?l7083:1(96j:05a?>o6?80;6):7e;34f>=h:k31<7*;8d81g4=53;294~"33i750;&7<`<6?k10e<9>:18'0=c=9>h07bb2;i:76sm39194?5=83:p(9:9:508L1>13A><=6*;8d875>"5=k0>;h5f16294?"30l0:;o54i052>5<#<1o1=:l4;n0a=?6=,=2n6?m>;:a7=2=8381<7>t$565>41b3A>3:6F;709'0=c=0l1/>8l556g8m40a290/85k516`8?j4e13:1(96j:3a2?M2?l21vn>6::180>5<7s->?:7:=;I6;2>N3?81/85k5409'60d==>o0e<9?:18'0=c=9>h07d?81;29 1>b28=i76a=b883>!2?m38h=65rb2:5>5<4290;w):;6;0aa>N30?1C8:?4$5:f>7dc3-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98k7d>290/85k52b38?xd4000;6>4?:1y'010=<;1C8584H552?!2?m3>:7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=5}#<=<1=:k4H5:5?M2092.?4h47e:&11g<2?l1b=;h50;&7<`<6?k10c?l6:18'0=c=:j;0D96k;:a7=d=8391<7>t$565>14<@=2=7E:81:&7<`<392.99o4:7d9j526=83.?4h4>7c98m416290/85k516`8?j4e13:1(96j:3a2?>{e;1i1<7=50;2x 1212;hn7E:76:J734=#<1o1>oj4$37a>01b3`;<<7>5$5:f>41e32c:;<4?:%6;a?70j21d>o750;&7<`<5k810qo=7f;297?6=8r.?8;4;2:J7<3=O<>;0(96j:538 73e2<=n7d?80;29 1>b28=i76g>7083>!2?m3;;%6;a?>b3-8>n7;8e:k22c<72->3i7?8b:9l6g?=83.?4h4=c09K0=b<3th85<4?:283>5}#<=<18?5G4948L1163->3i7:>;%06f?30m2c:;=4?:%6;a?70j21b=:?50;&7<`<6?k10c?l6:18'0=c=:j;07pl<9383>6<729q/89852cg8L1>13A><=6*;8d81fa=#:5<#<1o1=:l4;h345?6=,=2n6<9m;:m1f<<72->3i73:6F;709'0=c=<81/>8l556g8m417290/85k516`8?l7093:1(96j:05a?>i5j00;6):7e;0`5>=zj:3=6=4=:183!23>3;01b3`;=j7>5$5:f>41e32e9n44?:%6;a?4d92B?4i54}c1:3?6=;3:1N30?1C8:?4$5:f>17<,;?i689j;h344?6=,=2n6<9m;:k234<72->3i7?8b:9l6g?=83.?4h4=c098yg5>03:1?7>50z&703<5jl1C8584H552?!2?m38ih6*=5c863`=n9>:1<7*;8d823g=5$5:f>7e632wi?:>50;194?6|,=>=69<4H5:5?M2092.?4h4;1:&11g<2?l1b=:>50;&7<`<6?k10e<9>:18'0=c=9>h07bb2;i:76sm36394?4=83:p(9:9:05f?M2?>2B?;<5+49g9<`=#:5<#<1o1=:l4;n0a=?6=,=2n6?m>;I6;`>=zj:=96=4<:183!23>3>97E:76:J734=#<1o18<5+24`912c5$5:f>41e32e9n44?:%6;a?4d921vn>9<:180>5<7s->?:7;0(96j:3`g?!42j3?7183>!2?m3;5<#<1o1>n?4;|`026<72:0;6=u+454907=O<1<0D99>;%6;a?263-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98k7d>290/85k52b38?xd4>=0;6?4?:1y'010=9>o0D969;I645>"30l03i6*=5c863`=n9?l1<7*;8d823g=13A><=6*;8d875>"5=k0>;h5f16294?"30l0:;o54i052>5<#<1o1=:l4;n0a=?6=,=2n6?m>;:a730=8391<7>t$565>7db3A>3:6F;709'0=c=:kn0(?;m:45f?l7083:1(96j:05a?>o6?80;6):7e;34f>=h:k31<7*;8d81g4=53;294~"36F;879K027<,=2n69?4$37a>01b3`;<<7>5$5:f>41e32c:;<4?:%6;a?70j21d>o750;&7<`<5k810qo==a;296?6=8r.?8;4>7d9K0=0<@==:7):7e;:f?!42j3?6g83>!2?m3;4?:1y'010=<;1C8584H552?!2?m3>:7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=n4?:283>5}#<=<1>ok4H5:5?M2092.?4h4=be9'60d==>o0e<9?:18'0=c=9>h07d?81;29 1>b28=i76a=b883>!2?m38h=65rb2a5>5<5290;w):;6;34a>N30?1C8:?4$5:f>=c<,;?i689j;h35b?6=,=2n6<9m;:m1f<<72->3i752;294~"33i76j;%06f?30m2c::k4?:%6;a?70j21d>o750;&7<`<5k81C85j4;|`0g<<72;0;6=u+454952c<@=2=7E:81:&7<`7c98k7d>290/85k52b38L1>c32wi?no50;094?6|,=>=6<9j;I6;2>N3?81/85k58d9'60d==>o0e<8i:18'0=c=9>h07bb2;i:7E:7d:9~f6ee29096=4?{%672?70m2B?4;5G4638 1>b21o0(?;m:45f?l71n3:1(96j:05a?>i5j00;6):7e;0`5>N30m10qo=lc;297?6=8r.?8;4;2:J7<3=O<>;0(96j:538 73e2<=n7d?80;29 1>b28=i76g>7083>!2?m3;;%6;a?4el2.99o4:7d9j526=83.?4h4>7c98m416290/85k516`8?l70:3:1(96j:05a?>i5j00;6):7e;0`5>=zj:in6=4<:183!23>38ii6F;879K027<,=2n6?lk;%06f?30m2c:;=4?:%6;a?70j21b=:?50;&7<`<6?k10c?l6:18'0=c=:j;07pl1<729q/8985429K0=0<@==:7):7e;62?!42j3?7183>!2?m3;5<#<1o1=:l4;n0a=?6=,=2n6?m>;:a7f1=8391<7>t$565>7db3A>3:6F;709'0=c=:kn0(?;m:45f?l7083:1(96j:05a?>o6?80;6):7e;34f>=h:k31<7*;8d81g4=53;294~"36F;879K027<,=2n69?4$37a>01b3`;<<7>5$5:f>41e32c:;<4?:%6;a?70j21d>o750;&7<`<5k810qo=l2;297?6=8r.?8;4;2:J7<3=O<>;0(96j:538 73e2<=n7d?80;29 1>b28=i76g>7083>!2?m3;;%6;a?4el2.99o4:7d9j526=83.?4h4>7c98m416290/85k516`8?j4e13:1(96j:3a2?>{e;ki1<7;50;2x 1212=>0D969;I645>"30l0?=6*=5c863`=n9>:1<7*;8d823g=7>5$5:f>41e32c:;>4?:%6;a?70j21d>o750;&7<`<5k810qo=md;296?6=8r.?8;4>7d9K0=0<@==:7):7e;:f?!42j3?6g83>!2?m3;4?:1y'010=<;1C8584H552?!2?m3>:7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=5}#<=<1=:k4H5:5?M2092.?4h47e:&11g<2?l1b=;h50;&7<`<6?k10c?l6:18'0=c=:j;0D96k;:a7g>=8391<7>t$565>14<@=2=7E:81:&7<`<392.99o4:7d9j526=83.?4h4>7c98m416290/85k516`8?j4e13:1(96j:3a2?>{e;k31<7=50;2x 1212;hn7E:76:J734=#<1o1>oj4$37a>01b3`;<<7>5$5:f>41e32c:;<4?:%6;a?70j21d>o750;&7<`<5k810qo=je;290?6=8r.?8;4;3:J7<3=O<>;0(96j:538 73e2<=n7d?80;29 1>b28=i76g>7083>!2?m3;5<#<1o1>n?4;|`0a0<72:0;6=u+454907=O<1<0D99>;%6;a?263-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98k7d>290/85k52b38?xd4m?0;6?4?:1y'010=9>o0D969;I645>"30l03i6*=5c863`=n9?l1<7*;8d823g=2B?;<5+49g9<`=#:5<#<1o1=:l4;n0a=?6=,=2n6?m>;I6;`>=zj:o36=4=:183!23>3;01b3`;=j7>5$5:f>41e32e9n44?:%6;a?4d92B?4i54}c1f=?6=:3:1;%6;a?>b3-8>n7;8e:k22c<72->3i7?8b:9l6g?=83.?4h4=c09K0=b<3th8il4?:483>5}#<=<1895G4948L1163->3i7:>;%06f?30m2c:;=4?:%6;a?70j21b=:?50;&7<`<6?k10e<9=:18'0=c=9>h07d?83;29 1>b28=i76a=b883>!2?m38h=65rb2ga>5<4290;w):;6;0aa>N30?1C8:?4$5:f>7dc3-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98k7d>290/85k52b38?xd4i:0;6?4?:1y'010=9>o0D969;I645>"30l03i6*=5c863`=n9?l1<7*;8d823g=2B?;<5+49g9<`=#:5<#<1o1=:l4;n0a=?6=,=2n6?m>;I6;`>=zj:k<6=4=:183!23>3;01b3`;=j7>5$5:f>41e32e9n44?:%6;a?4d92B?4i54}c1bN30?1C8:?4$5:f>17<,;?i689j;h344?6=,=2n6<9m;:k234<72->3i7?8b:9l6g?=83.?4h4=c098yg5f13:1>7>50z&703<6?l1C8584H552?!2?m32n7)<:b;74a>o6>o0;6):7e;34f>=h:k31<7*;8d81g4=O<1n07pl7<729q/898516g8L1>13A><=6*;8d8;a>"5=k0>;h5f17d94?"30l0:;o54o3`:>5<#<1o1>n?4H5:g?>{e;hh1<7:50;2x 1212;hm7E:76:J734=#<1o1>oj4$37a>01b3`;<<7>5$5:f>41e32c:;<4?:%6;a?70j21b=:<50;&7<`<6?k10c?l6:18'0=c=:j;07pl6<729q/8985439K0=0<@==:7):7e;62?!42j3?7183>!2?m3;5<#<1o1>n?4;|`0ea<72:0;6=u+454907=O<1<0D99>;%6;a?263-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98k7d>290/85k52b38?xd4i=0;6>4?:1y'010=<;1C8584H552?!2?m3>:7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=5}#<=<1>ok4H5:5?M2092.?4h4=be9'60d==>o0e<9?:18'0=c=9>h07d?81;29 1>b28=i76a=b883>!2?m38h=65rb40`>5<5290;w):;6;34a>N30?1C8:?4$5:f>=c<,;?i689j;h35b?6=,=2n6<9m;:m1f<<72->3i752;294~"33i76j;%06f?30m2c::k4?:%6;a?70j21d>o750;&7<`<5k81C85j4;|`66`<72;0;6=u+454952c<@=2=7E:81:&7<`7c98k7d>290/85k52b38L1>c32wi9?h50;094?6|,=>=6<9j;I6;2>N3?81/85k58d9'60d==>o0e<8i:18'0=c=9>h07bb2;i:7E:7d:9~f057290?6=4?{%672?4en2B?4;5G4638 1>b2;ho7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=5$5:f>7e632wi9>?50;694?6|,=>=69=4H5:5?M2092.?4h4;1:&11g<2?l1b=:>50;&7<`<6?k10e<9>:18'0=c=9>h07d?82;29 1>b28=i76a=b883>!2?m38h=65rb43b>5<4290;w):;6;61?M2?>2B?;<5+49g904=#:5<#<1o1=:l4;h345?6=,=2n6<9m;:m1f<<72->3i7b21o0(?;m:45f?l71n3:1(96j:05a?>i5j00;6):7e;0`5>N30m10qo;>c;296?6=8r.?8;4>7d9K0=0<@==:7):7e;:f?!42j3?6g83>!2?m3;"30l09ni5+24`912c5$5:f>41e32c:;?4?:%6;a?70j21d>o750;&7<`<5k810qo=i2;296?6=8r.?8;4>7d9K0=0<@==:7):7e;:f?!42j3?6g83>!2?m3;o0D969;I645>"30l03i6*=5c863`=n9?l1<7*;8d823g=2B?;<5+49g9<`=#:5<#<1o1=:l4;n0a=?6=,=2n6?m>;I6;`>=zj:lj6=4=:183!23>3;01b3`;=j7>5$5:f>41e32e9n44?:%6;a?4d92B?4i54}c1ef?6=:3:1;%6;a?>b3-8>n7;8e:k22c<72->3i7?8b:9l6g?=83.?4h4=c09K0=b<3th8jn4?:383>5}#<=<1=:k4H5:5?M2092.?4h47e:&11g<2?l1b=;h50;&7<`<6?k10c?l6:18'0=c=:j;0D96k;:a7cb=8381<7>t$565>41b3A>3:6F;709'0=c=0l1/>8l556g8m40a290/85k516`8?j4e13:1(96j:3a2?M2?l21vn>hj:181>5<7s->?:7?8e:J7<3=O<>;0(96j:9g8 73e2<=n7d?9f;29 1>b28=i76a=b883>!2?m38h=6F;8e98yg5an3:1?7>50z&703<5jl1C8584H552?!2?m38ih6*=5c863`=n9>:1<7*;8d823g=5$5:f>7e632wi?k=50;194?6|,=>=69<4H5:5?M2092.?4h4;1:&11g<2?l1b=:>50;&7<`<6?k10e<9>:18'0=c=9>h07bb2;i:76sm3g694?5=83:p(9:9:508L1>13A><=6*;8d875>"5=k0>;h5f16294?"30l0:;o54i052>5<#<1o1=:l4;n0a=?6=,=2n6?m>;:a7c3=83>1<7>t$565>15<@=2=7E:81:&7<`<392.99o4:7d9j526=83.?4h4>7c98m416290/85k516`8?l70:3:1(96j:05a?>i5j00;6):7e;0`5>=zj:l=6=4<:183!23>3>97E:76:J734=#<1o18<5+24`912c5$5:f>41e32e9n44?:%6;a?4d921vn>h8:180>5<7s->?:7;0(96j:3`g?!42j3?7183>!2?m3;5<#<1o1>n?4;|`000<72<0;6=u+454901=O<1<0D99>;%6;a?263-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98m415290/85k516`8?l70;3:1(96j:05a?>i5j00;6):7e;0`5>=zj:>=6=4=:183!23>3;01b3`;=j7>5$5:f>41e32e9n44?:%6;a?4d92B?4i54}c173?6=;3:1N30?1C8:?4$5:f>17<,;?i689j;h344?6=,=2n6<9m;:k234<72->3i7?8b:9l6g?=83.?4h4=c098yg5303:1?7>50z&703<5jl1C8584H552?!2?m38ih6*=5c863`=n9>:1<7*;8d823g=5$5:f>7e632wi?8;50;194?6|,=>=69<4H5:5?M2092.?4h4;1:&11g<2?l1b=:>50;&7<`<6?k10e<9>:18'0=c=9>h07bb2;i:76sm34494?2=83:p(9:9:518L1>13A><=6*;8d875>"5=k0>;h5f16294?"30l0:;o54i052>5<#<1o1=:l4;h346?6=,=2n6<9m;:m1f<<72->3i7b2;ho7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=5}#<=<1>ok4H5:5?M2092.?4h4=be9'60d==>o0e<9?:18'0=c=9>h07d?81;29 1>b28=i76a=b883>!2?m38h=65rb27:>5<4290;w):;6;61?M2?>2B?;<5+49g904=#:5<#<1o1=:l4;h345?6=,=2n6<9m;:m1f<<72->3i7b2;ho7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=52z\23>;4>j0:;=5rs0:94?4|V8201>96:053?xu613:1>vP>9:?03c<6?91v6<9?;|q2f?6=:rT:n63<8c8235=z{8i1<7d;296~X6l278;?4>719~w4c=838pR4173ty:j7>52z\2b>;4180:;=5rs3294?4|V;:01>vP=1:?01a<6?81v?<50;0xZ74<5:826<9>;|q10?6=:rT9863<8g8234=z{;21<7709~w7g=838pR?o4=25g>4163ty9n7>52z\1f>;40:0:;<5rs3a94?4|V;i01>66:052?xu5l3:1?vP=d:?035<6?816?;=51638yv4b2909wSk4?:3y]6c=:;4}r:7>5;4jj0:;=523`4953`<5:k36<9?;<72g?71n278884>719>700=9>:0q~68:180[>0349j57?9f:?65d<6?81vl=50;30[g4349on77>;<10e??634?:;77>;<70a??634;:;77>;<1fg??6349??77>;<167??6349?577>;<1bb??6349i<77>;<1a5??6349i>77>;<1a1??6349im77>;<1ab??6349h877>;<166?71n2wx=<950;7x94702:;=70=m4;344>;3l<0:;=523c5953`<5<;j6<9?;|q25=<72;q6=<951078947>2;h27p}=b483>6}:<1=1=;j4=2fa>d2<5:oh6l:4}r116?6=:rT8>?5237296g?52z\066=:;?<1>o74}r112?6=:rT8>;5237f96g?52z\062=:;>91>o74}r1155Q3378964d2;h27p}<2883>7}:;;31>o74=20`>4173ty8>l4?:3y>77g=:k301>;|q06a<72;qU??j4=25b>7d>3ty8>h4?:3y]77c<5:2;6?l6;|q06c<72;qU??h4=2:5>7d>3ty8?=4?:3y]766<5:2h6?l6;|q074<72;qU?>?4=2;1>7d>3ty8??4?:3y]764<5:336?l6;|q071<72?qU?>:4=2a;>40a349io7?82:?66f<6>o16?9;51608963128=:7p}<3483>6}Y;:?01>o<:04e?85fi3;=j6s|32c94?4|V:9j70={t;:h1<7472349?>7=l:3`:?853:3;<=6s|32f94?4|5:9o6?l6;<10b?7092wx?>k50;0x965b2;h270=;0;345>{t;:l1<77d>349?=7?81:p716=838p1>:?:3`:?853:3;<>6s|35394?4|5:>:6?l6;<176?7082wx?9=50;3:853;39::63:598234=:=8<1=:?4=27g>417349>i7?9f:?02d<6?916?;l517d8961028=;70=88;35b>;4?m0:;=5236g953`<5:286<9?;<1;0?71n278444>719>7=g=9?l01>6i:053?85>83;=j63<948235=:;0<1=;h4=253>417349<=7?9f:?026<6?916?;:517d8964>28=;70==a;35b>{t;=>1<7472349?47:::3`:?853?3;<<6s|35494?4|5:>=6?l6;<173?7092wx?9950;0x96202;h270=;8;345>{t;=31<79t=26:>671349hm7?9f:?0ff<6?:16?l9517d8904c28;4=?0:;?5rs26b>5<5s49?57?>5:?00c<5j01v>:m:181853j38i563<4e8234=z{:>h6=4={<17g?4e12788h4>709~w62c2909w0=;d;0a=>;45<5s49?i7;?:181852839::63:2g822c=z{:?:6=4<{<164??634>o=77>;<166?4e12wx?8=50;0x96342:;=70;=e;35b>{t;<>1<7472349>m7;::3`:?852?3;<=6s|34494?4|5:?=6?l6;<16{t;<21<77d>349>57?81:p70?=838p1>;6:3`:?852i3;<=6s|34a94?4|5:?o6?l6;<154?7082wx?8j50;0x963b2;h270=:f;345>{t;7d>349=<7?81:p734=838p1>8<:3`:?851>3;<<6s|37194?4|5:{t;?31<77d>349=h7?80:p73g=838p1>8m:3`:?851k3;<=6s|37`94?4|5:{t;>:1<77d>349<>7?81:p727=838p1>9=:3`:?850;3;<=6s|36494?4|5:=<6?l6;<14e?7082wx?:950;0x961?2;h270=89;345>{t;>21<77d>3499k:3`:?85?83;<<6s|36f94?4|5:=n6?l6;<14b?7092wx?:k50;0x961a2;h270=70;345>{t;181<77d>3493:7?80:p7=5=838p1>6;:3`:?85?=3;<=6s|39694?4|5:2>6?l6;<1;2?7092wx?5650;0x96>>2;h270=7c;344>{t;131<77d>3493n7?81:p7=g=838p1>6m:3`:?85?k3;<=6s|39g94?4|5:2m6?l6;<1:6?7082wx?5h50;0x96?72;h270=61;345>{t;0:1<77d>3492>7?81:p7<2=838p1>7::3`:?85>03;<<6s|38794?4|5:3=6?l6;<1:3?7092wx?4850;0x96?02;h270=68;345>{t;0k1<7h6<8i;<10a?71n27?h;4>6g9>7fd=9?l01>m>:053?85el3;=j634173ty8m>4?:3y>7d5=:k301>om:052?xu4i=0;6?u23`696g?<5:k>6<9>;|q0e3<72;q6?l852c;896ge28=;7p}7}:;h=1>o74=2ca>4153ty8m54?:3y>7d>=:k301>o;:053?xu4i00;6?u23`;96g?<5:kh6<9?;|q0ed<72;q6?lo52c;896gd28=:7p}7}:;hh1>o74=2cg>4163ty8mn4?:3y>7de=:k301>o;:052?xu4im0;6?u23`f96g?<5:k>6<9?;|q0ec<72>q6?lh53048962a28=:70=;1;344>;3l00:;=523bg9526<5:o26<8i;<1eg?71n2wx?o>50;7x96ga28;>70=m0;122>;3l=0:;=523d:953`<5:li6<8i;|q0f4<725107896d62:;=70:k4;345>;4m>0::k523g;953`7>57z?0f7<49?16?9j51628965a28=;70:k7;344>;4kj0:;=523b09527<5:?>6<9>;|q0f6<72;q6?o<5107896d32;h27p}0}:;k?1?<84=2`7>4163498o7?80:?7`0<6?816?o651628yv5e>3:1>v3o74}r1a3?6=:r78n:4=b89>7g>=9>;0q~=m8;296~;4j109n4523c;952755z?015<69<16?oo53048965d28=:70=m9;344>;4<10:;=5rs2`a>5<5s49im7?>5:?0f`<5j01v>ll:18185ek38i563709~w6da290=w0=m1;321>;4jo08=;524e59527<5:o=6<8i;<1ee?71n2789:4>719~w6e72909w0=mf;321>;4k:09n45rs2a2>5<5s49h=7m=:18185d:38i5632788h4>719>716=9>:019jn:053?85dn3;<=63416349>57?80:p7f3=838p1>m;:036?85d?38i56s|3b494?4|5:i=6?l6;<1`g?7092wx?n650;0x96e?2;h270=ld;345>{t;j31<77d>349hh7?80:p7fg=838p1>mn:3`:?85dl3;<>6s|3b`94?4|5:ii6?l6;<1`b?70:2wx?nm50;0x96ed2;h270=le;345>{t;jn1<77d>349hj7?80:p7fc=838p1>mj:3`:?85d?3;<<6s|3bd94?4|5:im6?l6;<1`3?7092wx?io50;5xZ6bf349n>7?9f:?605<6>o16?hk5160896c228=:70=i8;35b>;4nl0::k5rs2fa>5<4s49on7=>6:?0a`<6?916?l651638yv5ck3:1?v3o74=2fe>4173ty8hi4?:3y>7ad=i;16?ih52c;8yv5cm3:1>v37`5=9>:0q~=j1;296~;4m;09n4523d1952752z?0``<6>o16?hl52c;8yv5b=3:1>v34}r1f2?6=:r78i;4=b89>7`g=9>:0q~=j7;296~;4m>09n4523dc952752z?0a=<5j016?ho51608yv5b13:1>v37`d=9>;0q~=jc;29<~;4mj08=;5235`953`<5:9o6<8i;<6g6g9>7f4=9>:01>ok:053?852=3;<<6s|3df94?4|5:oh6h?:3`:?xu4n80;6?u23g2953`<5:l<6?l6;|q0b7<72;q6?k<52c;896`a28=;7p}7}:;o91>o74=2d6>4153ty8j94?:3y>7c2=:k301>h8:052?xu4n<0;6?u23g796g?<5:l=6<9?;|q0b3<72;q6?k852c;896`028=;7p}7}:;o21>o74=2de>4163ty8j44?:3y>7c?=:k301>h::053?xu4nh0;6?u23gc96g?<5:l86<9?;|q0bg<72;q6?kl52c;896`428=:7p}7}:;oi1>o74=2d5>4163ty8ji4?:3y>7cb=:k301>h;:053?xu4nl0;6?u23gg96g?<5:l?6<9>;|q0bc<72;q6?kh52c;896`228=:7p};a283>6}Y{t47234>oo76?l6;<6g=?7092wx8i850;0x91b12;h270:ka;345>{t7d>34>on7?80:p0a>=838p19j7:3`:?82cj3;<=6s|4e;94?4|5=n26?l6;<6gg?7092wx8io50;0x91bf2;h270:kc;346>{t7d>34>oo7?83:p0ab=838pR9jk;<32=?71n2wx8kh50;0xZ1`a34?:97?9f:p147=838pR8?>;<726?4e12wx9<=50;0x9075286;0a=>{t=8>1<77d>34?::7?80:p143=838p18?::3`:?836>3;<>6s|50594?4|V<;<70;>7;122>{t=831<747234?:h7d;346>{t=;h1<7{t=;o1<77d>34?8=7?80:p17`=838p186s|52294?4|5<9;6?l6;<705?7092wx9>650;0xZ05?34?857k50;4x905b2:;=70=j1;35b>;4ml0:;<523d79526<5:l96<8i;<1e`?71n2wx9>h50;0x905b28;>70;;0;0a=>{t==;1<7{t=40a34?>47o169<:517d8907e28of;3:17d?65;29?l7d03:17d?71;29?l7e93:17b?l9;29?l2f;3:17d6;:188k4ee2900e9hi:188m4?d2900e898:188m0262900c8l556g8k40c290/85k52b38?xd6mj0;684=:7y'010=9?<0D969;I645>"5=k0>;h5fa383>>of<3:17d7>:188m4722900c>?9:188f671290=6=4?{%6;a?7112c:;o4?:%6;a?70j21bm?4?:%6;a?g532cj?7>5$5:f>d5<3`>;=7>5$5:f>16632c8mh4?:%6;a?5fm21d>n?50;&7<`<5k810qo:7b;295?6=8r.?4h4;959l6f7=83.?4h4=c098yv56>3:1>vP<179>740=:j;0q~?>5;296~X69<16?<8516`8yvg32909wSo;;<122?5fm2wxm?4?:3y]e7=:;8<1m?5rs8394?4|V0;01>?9:`18yv71m3:1>v3<178744=:<1h1>n?4}|`754<72<096;u+4549530<@=2=7E:81:&23an7;8e:kb6?6=3`k?6=44i8394?=n98?1<75`30494?=e;8<1<7850;2x 1>b28<27d?8b;29 1>b28=i76gn2;29 1>b2h807do<:18'0=c=i:10e9>>:18'0=c=<9;07d=ne;29 1>b2:kn76a=c083>!2?m38h=65rb5:a>5<6290;w):7e;6:0>i5k80;6):7e;0`5>=z{:;=6=4={_122>;49?09o<5rs036>5<5sW;:963<17823g=z{h>1<76?49{%672?71>2B?4;5G4638 73e2<=n7do=:188md2=831b5<4?::k250<722e8=;4?::`053<72?0;6=u+49g953?=n<9;1<7*;8d8744=5$5:f>7e632wi85l50;394?6|,=2n697;;n0`5?6=,=2n6?m>;:p740=838pR>?9;<122?4d92wx=<;50;0xZ472349::7?8b:pe1<72;qUm95230497dc3k97p}61;296~X>9278=;4n3:p53c=838p1>?9:522?82?j38h=6srb`c94?5=:3>p(9:9:047?M2?>2B?;<5+24`912c>o49<0;66g>1483>>of<3:17d7>:188f400290=6=4?{%6;a?7112c:;o4?:%6;a?70j21bm?4?:%6;a?g532cj?7>5$5:f>d5<3`>;=7>5$5:f>16632c8mh4?:%6;a?5fm21d>n?50;&7<`<5k810qo:79;295?6=8r.?4h4=bb9l6f2=83.?4h4=c098yv76=3:1>vP>149>531=9>h0q~7>:181[?634;=;7o<;|qb0?6=:rTj863>6680e`=z{:;>6=4={_121>;6>>0?<<5rs235>5<5sW9::63>6681g4=z{==96=4={<353?g534>357"3;:p740=838pR>?9;<122?56>2wx=<;50;0xZ472349::7?>5:p=4<72;qU5<523049=4=z{<0;6>u23049743<5:;=6l:4=3822a=#<>:1o6srb3`2>5<42;0?w):;6;350>N30?1C8:?4$37a>01b3`3:6=44i036>5<5<22;0=w):;6;353>"5=k0>;h5`30494?=n;8?1<75f10794?=ni=0;66g61;29?g71?3:1:7>50z&7<`<6>01b=:l50;&7<`<6?k10el<50;&7<`4?:%6;a?g432c?<<4?:%6;a?27921b?lk50;&7<`<4il10c?m>:18'0=c=:j;07pl;8883>4<729q/85k52ca8k7e3290/85k52b38?xu69<0;6?uQ1078940028=i7p}61;296~X>927:::4n3:pe1<72;qUm95217597dc52z\050=:9?=18=?4}r122?6=:rT8=;5217596f7<>7>52z?222t$553><=#<=<1=;k4$5:f>1?33-8>n7;8e:m22a<72->3i76:?053<49?1v149~w<7=838pR4?4=235><7672349::7o;;<0953b<,==;6n5r}c6af?6==381:v*;478223=O<1<0D99>;%06f?30m2cj>7>5;hc7>5<of:3:1(96j:`08?lg4290/85k5a298m166290/85k54138?l5fm3:1(96j:2cf?>i5k80;6):7e;0`5>=zj=2i6=4>:183!2?m3>286a=c083>!2?m38h=65rs235>5<5sW9::63<1781g4=z{8;>6=4={_321>;49?0:;o5rs`694?4|Vh>01>?9:2cf?xuf:3:1>vPn2:?0535rs04f>5<5s49::7:?1:?77<3s->?:7?94:J7<3=O<>;0(?;m:45f?l?62900e?9:186>7<1s->?:7?97:&11g<2?l1d?<850;9j743=831b=<;50;9je1<722c2=7>5;c353?6=>3:1h1<7*;8d823g==ni:0;6):7e;c0?>o3880;6):7e;635>=n;ho1<7*;8d80e`=5}#<1o1>om4o3a7>5<#<1o1>n?4;|q250<72;qU=<;4=044>41e3ty2=7>52z\:5>;6>>0j?6s|a583>7}Yi=16=;953`g8yv56=3:1>vP<149>531=<9;0q~=>6;296~X49?16=;952b38yv20:3:1>v3>668b6>;30009o95r}c094?7=83:p(99?:89'010=9?o0(96j:5;7?!42j3?6e83>!2?m38h=65rs235>5<5sW9::63<178053=z{8;>6=4={_321>;49?0:=85rs8394?4|V0;01>?9:838yv3=839p1>?9:236?856>3k?70<517f8 1172j1vqo<=2;297?4=659K0=0<@==:7)<:b;74a>o>93:17d?>5;29?j56>3:17o=>6;291?4=>r.?8;4>669'60d==>o0c>?9:188m6722900e=n<9;1<7*;8d8744=5$5:f>7e632wi85750;394?6|,=2n6?ll;n0`0?6=,=2n6?m>;:p543=838pR5rs`694?4|Vh>01<88:2cf?xu49<0;6?uQ307894002=::7p}<1783>7}Y;8<01<88:3a2?xu3?;0;6?u21759e7=:<131>n:4}|`1>5<6290;w):80;;8 12128"5=k0>;h5`17f94?"30l09o<54}r122?6=:rT8=;52304974052z\250=:;8<1=<;4}r;2>5<5sW3:70=>6;;2?xu22908w0=>6;121>;49?0j863=:04g?!2083i0qpl>b283>6<52=q/89851768L1>13A><=6*=5c863`=n180;66g>1483>>i49?0;66l<1783>0<52?q/89851758 73e2<=n7b=>6;29?l56=3:17d?>5;29?lg32900e4?50;9a531=83<1<7>t$5:f>40>3`;5$5:f>41e32cj>7>5$5:f>d4<3`k86=4+49g9e6=5$5:f>6gb32e9o<4?:%6;a?4d921vn966:182>5<7s->3i73i75:?222<6?k1v4?50;0xZ<7<58<<6l=4}rc7>5<5sWk?70?97;1ba>{t;8?1<7;=6s|30494?4|V:;=70?97;0`5>{t<>81<7d4<5=226?m;;|a6?6=93:13;=i6*;8d87=1=#:5<#<1o1>n?4;|q053<72;qU?<84=235>6713ty:=84?:3y]543<5:;=6{t=3:1?v3<178050=:;8<1m9522;35`>"3?90h7psm22594?5=:3>p(9:9:047?M2?>2B?;<5+24`912c>o49<0;66g>1483>>of<3:17d7>:188f400290=6=4?{%6;a?7112c:;o4?:%6;a?70j21bm?4?:%6;a?g532cj?7>5$5:f>d5<3`>;=7>5$5:f>16632c8mh4?:%6;a?5fm21d>n?50;&7<`<5k810qo:79;295?6=8r.?4h4=bb9l6f2=83.?4h4=c098yv76=3:1>vP>149>531=9>h0q~7>:181[?634;=;7o<;|qb0?6=:rTj863>6680e`=z{:;>6=4={_121>;6>>0?<<5rs235>5<5sW9::63>6681g4=z{==96=4={<353?g534>357"3;:p740=838pR>?9;<122?56>2wx=<;50;0xZ472349::7?>5:p=4<72;qU5<523049=4=z{<0;6>u23049743<5:;=6l:4=3822a=#<>:1o6srb0aa>5<42;0?w):;6;350>N30?1C8:?4$37a>01b3`3:6=44i036>5<5<22;0=w):;6;353>"5=k0>;h5`30494?=n;8?1<75f10794?=ni=0;66g61;29?g71?3:1:7>50z&7<`<6>01b=:l50;&7<`<6?k10el<50;&7<`4?:%6;a?g432c?<<4?:%6;a?27921b?lk50;&7<`<4il10c?m>:18'0=c=:j;07pl;8883>4<729q/85k52ca8k7e3290/85k52b38?xu69<0;6?uQ1078940028=i7p}61;296~X>927:::4n3:pe1<72;qUm95217597dc52z\050=:9?=18=?4}r122?6=:rT8=;5217596f7<>7>52z?222t$553><=#<=<1=;k4$5:f>1?33-8>n7;8e:m22a<72->3i76:?053<49?1v149~w<7=838pR4?4=235><7672349::7o;;<0953b<,==;6n5r}c3a6?6=;3818v*;478221=O<1<0D99>;%06f?30m2c2=7>5;h321?6=3f9::7>5;c122?6==381:v*;478222=#:5<6=44i036>5<1<75f9083>>d6>>0;6;4?:1y'0=c=9?30e<9m:18'0=c=9>h07do=:18'0=c=i;10el=50;&7<`oj:18'0=c=;ho07bb2;i:76sm49;94?7=83:p(96j:3``?j4d<3:1(96j:3a2?>{t98?1<77}Y1816=;95a29~wd2=838pRl:4=044>6gb3ty8=84?:3y]743<58<<69>>;|q053<72;qU?<84=044>7e63ty?;?4?:3y>531=i;1685752b68yxd5290:6=4?{%644??<,=>=6<8j;%6;a?2><2.99o4:7d9l53b=83.?4h4=c098yv56>3:1>vP<179>740=;8<0q~?>5;296~X69<16?<851078yv?62909wS7>;<122??63ty>6=4<{<122?56=278=;4n4:?1>40c3-><<7m4}|`176<72<096;u+4549530<@=2=7E:81:&11g<2?l1bm?4?::kb0?6=3`3:6=44i036>5<5<1290;w):7e;35=>o6?k0;6):7e;34f>=ni;0;6):7e;c1?>of;3:1(96j:`18?l2793:1(96j:522?>o4il0;6):7e;1ba>=h:j;1<7*;8d81g4=3n7>51;294~"30l0?595`2b394?"30l09o<54}r122?6=:rT8=;5230496f752z\250=:;8<1=:l4}rc7>5<5sWk?70=>6;1ba>{ti;0;6?uQa39>740=i;1v4?50;0xZ<7<5:;=6l=4}r35a?6=:r78=;4;009>0=d=:j;0qpl>c583>0<52?q/89851748L1>13A><=6*=5c863`=ni;0;66gn4;29?l?62900e?9:185>5<7s->3i7?99:k23g<72->3i7?8b:9je7<72->3i7o=;:kb7?6=,=2n6l=4;h635?6=,=2n69>>;:k0e`<72->3i7=ne:9l6f7=83.?4h4=c098yg2?j3:1=7>50z&7<`<31=1d>n?50;&7<`<5k810q~=>6;296~X49?16?<852b38yv76=3:1>vP>149>740=9>h0q~o;:181[g3349::7=ne:pe7<72;qUm?523049e7=z{0;1<73}#<=<1=;84H5:5?M2092.99o4:7d9je7<722cj87>5;h;2>5<6=44o235>5<7c83>!2?m3;!2?m3k976gn3;29 1>b2h907d:?1;29 1>b2=::76g!2?m39ji65`2b394?"30l09o<54}c6;f?6=93:152z\053=:;8<1>n?4}r321?6=:rT:=852304952d39ji6s|a383>7}Yi;16?<85a39~w<7=838pR4?4=235>d552z?053<3881685l52b38yxd61=0;6>4=:5y'010=9?>0D969;I645>"6?m0n7)<:b;74a>o>93:17d?>5;29?j56>3:17o=>6;291?4=>r.?8;4>669'60d==>o0c>?9:188m6722900e=n<9;1<7*;8d8744=5$5:f>7e632wi85750;394?6|,=2n6?ll;n0`0?6=,=2n6?m>;:p543=838pR5rs`694?4|Vh>01<88:2cf?xu49<0;6?uQ307894002=::7p}<1783>7}Y;8<01<88:3a2?xu3?;0;6?u21759e7=:<131>n:4}|`1>5<6290;w):80;;8 12128"5=k0>;h5`17f94?"30l09o<54}r122?6=:rT8=;52304974052z\250=:;8<1=<;4}r;2>5<5sW3:70=>6;;2?xu22908w0=>6;121>;49?0j863=:04g?!2083i0qpl;3283>6<52=q/89851768L1>13A><=6*>7e8f?!42j3?6;29?g56>3:197<56z&703<6>>1/>8l556g8k6712900e>?::188m4722900el:50;9j=4<722h:::4?:783>5}#<1o1=;74i05a>5<#<1o1=:l4;hc1>5<#<1o1m?54i`194?"30l0j?65f41394?"30l0?<<54i2cf>5<#<1o1?lk4;n0`5?6=,=2n6?m>;:a0=?=83;1<7>t$5:f>7dd3f8h87>5$5:f>7e632wx=<;50;0xZ47234;=;7?8b:p=4<72;qU5<521759e6=z{h>1<77}Y;8?01<88:522?xu49?0;6?uQ304894002;i:7p};7383>7}:9?=1m?5249;96f2:183!208330(9:9:04f?!2?m3>286*=5c863`=h9?n1<7*;8d81g4=52z\053=:;8<1?<84}r321?6=:rT:=852304954333:7p}::180856>39:963<178b0>;5280;6>4=:5y'010=9?>0D969;I645>"6?m0n7)<:b;74a>o>93:17d?>5;29?j56>3:17o=>6;291?4=>r.?8;4>669'60d==>o0c>?9:188m6722900e=n<9;1<7*;8d8744=5$5:f>7e632wi85750;394?6|,=2n6?ll;n0`0?6=,=2n6?m>;:p543=838pR5rs`694?4|Vh>01<88:2cf?xu49<0;6?uQ307894002=::7p}<1783>7}Y;8<01<88:3a2?xu3?;0;6?u21759e7=:<131>n:4}|`1>5<6290;w):80;;8 12128"5=k0>;h5`17f94?"30l09o<54}r122?6=:rT8=;52304974052z\250=:;8<1=<;4}r;2>5<5sW3:70=>6;;2?xu22908w0=>6;121>;49?0j863=:04g?!2083i0qpl>c`83>7<729q/898516g8L1>13A><=6*;8d8;a>"5=k0>;h5f17d94?"30l0:;o54o3`:>5<#<1o1>n?4H5:g?>{e5$5:f>7e63A>3h65rb0a5>5<5290;w):;6;34a>N30?1C8:?4$5:f>=c<,;?i689j;h35b?6=,=2n6<9m;:m1f<<72->3i752;294~"33i76j;%06f?30m2c::k4?:%6;a?70j21d>o750;&7<`<5k81C85j4;|`74?6=;3:1;%6;a?4el2.99o4:7d9j526=83.?4h4>7c98m416290/85k516`8?j4e13:1(96j:3a2?>{e5$5:f>7e63A>3h65rb567>5<5290;w):;6;34a>N30?1C8:?4$5:f>=c<,;?i689j;h35b?6=,=2n6<9m;:m1f<<72->3i7?97>53;294~"36F;879K027<,=2n69?4$37a>01b3`;<<7>5$5:f>41e32c:;<4?:%6;a?70j21d>o750;&7<`<5k810qo:i0;297?6=8r.?8;4;2:J7<3=O<>;0(96j:538 73e2<=n7d?80;29 1>b28=i76g>7083>!2?m3;;%6;a?>b3-8>n7;8e:k22c<72->3i7?8b:9l6g?=83.?4h4=c09K0=b<3th?j?4?:383>5}#<=<1=:k4H5:5?M2092.?4h47e:&11g<2?l1b=;h50;&7<`<6?k10c?l6:18'0=c=:j;0D96k;:a0c5=8391<7>t$565>14<@=2=7E:81:&7<`<392.99o4:7d9j526=83.?4h4>7c98m416290/85k516`8?j4e13:1(96j:3a2?>{e1<7=50;2x 1212=80D969;I645>"30l0?=6*=5c863`=n9>:1<7*;8d823g=5$5:f>7e632wi=nj50;194?6|,=>=69<4H5:5?M2092.?4h4;1:&11g<2?l1b=:>50;&7<`<6?k10e<9>:18'0=c=9>h07bb2;i:76sm1bg94?4=83:p(9:9:05f?M2?>2B?;<5+49g9<`=#:5<#<1o1=:l4;n0a=?6=,=2n6?m>;I6;`>=zj8im6=4<:183!23>3>97E:76:J734=#<1o18<5+24`912c5$5:f>41e32e9n44?:%6;a?4d921vn9=6:181>5<7s->?:7?8e:J7<3=O<>;0(96j:9g8 73e2<=n7d?9f;29 1>b28=i76a=b883>!2?m38h=6F;8e98yg24j3:1?7>50z&703<5jl1C8584H552?!2?m38ih6*=5c863`=n9>:1<7*;8d823g=5$5:f>7e632wi8>m50;194?6|,=>=69<4H5:5?M2092.?4h4;1:&11g<2?l1b=:>50;&7<`<6?k10e<9>:18'0=c=9>h07bb2;i:76sm42f94?4=83:p(9:9:05f?M2?>2B?;<5+49g9<`=#:5<#<1o1=:l4;n0a=?6=,=2n6?m>;I6;`>=zj=9n6=4=:183!23>3;01b3`;=j7>5$5:f>41e32e9n44?:%6;a?4d92B?4i54}c60b?6=;3:1N30?1C8:?4$5:f>17<,;?i689j;h344?6=,=2n6<9m;:k234<72->3i7?8b:9l6g?=83.?4h4=c098yg2383:1?7>50z&703<3:2B?4;5G4638 1>b2=;0(?;m:45f?l7083:1(96j:05a?>o6?80;6):7e;34f>=h:k31<7*;8d81g4=?=7>53;294~"33i750;&7<`<6?k10e<9>:18'0=c=9>h07bb2;i:76sm45094?5=83:p(9:9:508L1>13A><=6*;8d875>"5=k0>;h5f16294?"30l0:;o54i052>5<#<1o1=:l4;n0a=?6=,=2n6?m>;:a06g=8391<7>t$565>7db3A>3:6F;709'0=c=:kn0(?;m:45f?l7083:1(96j:05a?>o6?80;6):7e;34f>=h:k31<7*;8d81g4=897>53;294~"36F;879K027<,=2n69?4$37a>01b3`;<<7>5$5:f>41e32c:;<4?:%6;a?70j21d>o750;&7<`<5k810qo:<6;297?6=8r.?8;4=bd9K0=0<@==:7):7e;0a`>"5=k0>;h5f16294?"30l0:;o54i052>5<#<1o1=:l4;n0a=?6=,=2n6?m>;:a0f6=8391<7>t$565>14<@=2=7E:81:&7<`<392.99o4:7d9j526=83.?4h4>7c98m416290/85k516`8?j4e13:1(96j:3a2?>{e5$5:f>7e63A>3h65rb5a1>5<4290;w):;6;0aa>N30?1C8:?4$5:f>7dc3-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98k7d>290/85k52b38?xd6ml0;6>4?:1y'010=<;1C8584H552?!2?m3>:7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=5}#<=<1=:k4H5:5?M2092.?4h47e:&11g<2?l1b=;h50;&7<`<6?k10c?l6:18'0=c=:j;0D96k;:a5c6=8381<7>t$565>41b3A>3:6F;709'0=c=0l1/>8l556g8m40a290/85k516`8?j4e13:1(96j:3a2?M2?l21vn:180>5<7s->?:7:=;I6;2>N3?81/85k5409'60d==>o0e<9?:18'0=c=9>h07d?81;29 1>b28=i76a=b883>!2?m38h=65rb0d1>5<3290;w):;6;0ab>N30?1C8:?4$5:f>7dc3-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98m415290/85k516`8?j4e13:1(96j:3a2?>{e9m;1<7=50;2x 1212=80D969;I645>"30l0?=6*=5c863`=n9>:1<7*;8d823g=5$5:f>7e632wi=i950;094?6|,=>=6<9j;I6;2>N3?81/85k58d9'60d==>o0e<8i:18'0=c=9>h07bb2;i:7E:7d:9~f4b?29096=4?{%672?70m2B?4;5G4638 1>b21o0(?;m:45f?l71n3:1(96j:05a?>i5j00;6):7e;0`5>N30m10qo?k9;297?6=8r.?8;4;2:J7<3=O<>;0(96j:538 73e2<=n7d?80;29 1>b28=i76g>7083>!2?m3;N30?1C8:?4$5:f>17<,;?i689j;h344?6=,=2n6<9m;:k234<72->3i7?8b:9l6g?=83.?4h4=c098yg7cj3:1>7>50z&703<6?l1C8584H552?!2?m32n7)<:b;74a>o6>o0;6):7e;34f>=h:k31<7*;8d81g4=O<1n07pl>db83>7<729q/898516g8L1>13A><=6*;8d8;a>"5=k0>;h5f17d94?"30l0:;o54o3`:>5<#<1o1>n?4H5:g?>{e9mn1<7<50;2x 12128=n7E:76:J734=#<1o14h5+24`912c5$5:f>7e63A>3h65rb0ff>5<4290;w):;6;0aa>N30?1C8:?4$5:f>7dc3-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98k7d>290/85k52b38?xd6l;0;6>4?:1y'010=<;1C8584H552?!2?m3>:7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=4?:283>5}#<=<18?5G4948L1163->3i7:>;%06f?30m2c:;=4?:%6;a?70j21b=:?50;&7<`<6?k10c?l6:18'0=c=:j;07pl>d583>6<729q/89852cg8L1>13A><=6*;8d81fa=#:5<#<1o1=:l4;h345?6=,=2n6<9m;:m1f<<72->3i73:6F;709'0=c=<81/>8l556g8m417290/85k516`8?l7093:1(96j:05a?>o6?;0;6):7e;34f>=h:k31<7*;8d81g4=53;294~"33i750;&7<`<6?k10e<9>:18'0=c=9>h07bb2;i:76sm22;94?5=83:p(9:9:508L1>13A><=6*;8d875>"5=k0>;h5f16294?"30l0:;o54i052>5<#<1o1=:l4;n0a=?6=,=2n6?m>;:a616=8381<7>t$565>41b3A>3:6F;709'0=c=0l1/>8l556g8m40a290/85k516`8?j4e13:1(96j:3a2?M2?l21vn?:>:181>5<7s->?:7?8e:J7<3=O<>;0(96j:9g8 73e2<=n7d?9f;29 1>b28=i76a=b883>!2?m38h=6F;8e98yg43:3:1>7>50z&703<6?l1C8584H552?!2?m32n7)<:b;74a>o6>o0;6):7e;34f>=h:k31<7*;8d81g4=O<1n07pl=4283>7<729q/898516g8L1>13A><=6*;8d8;a>"5=k0>;h5f17d94?"30l0:;o54o3`:>5<#<1o1>n?4H5:g?>{e:=>1<7<50;2x 12128=n7E:76:J734=#<1o14h5+24`912c5$5:f>7e63A>3h65rb366>5<5290;w):;6;34a>N30?1C8:?4$5:f>=c<,;?i689j;h35b?6=,=2n6<9m;:m1f<<72->3i752;294~"33i76j;%06f?30m2c::k4?:%6;a?70j21d>o750;&7<`<5k81C85j4;|`102<72:0;6=u+45496gc<@=2=7E:81:&7<`<5jm1/>8l556g8m417290/85k516`8?l7093:1(96j:05a?>i5j00;6):7e;0`5>=zj;9j6=4<:183!23>3>97E:76:J734=#<1o18<5+24`912c5$5:f>41e32e9n44?:%6;a?4d921vn?=m:187>5<7s->?:7:<;I6;2>N3?81/85k5409'60d==>o0e<9?:18'0=c=9>h07d?81;29 1>b28=i76g>7383>!2?m3;N30?1C8:?4$5:f>17<,;?i689j;h344?6=,=2n6<9m;:k234<72->3i7?8b:9l6g?=83.?4h4=c098yg44l3:1?7>50z&703<5jl1C8584H552?!2?m38ih6*=5c863`=n9>:1<7*;8d823g=5$5:f>7e632wi>>k50;694?6|,=>=69=4H5:5?M2092.?4h4;1:&11g<2?l1b=:>50;&7<`<6?k10e<9>:18'0=c=9>h07d?82;29 1>b28=i76a=b883>!2?m38h=65rb31e>5<4290;w):;6;0aa>N30?1C8:?4$5:f>7dc3-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98k7d>290/85k52b38?xd6m?0;6>4?:1y'010=<;1C8584H552?!2?m3>:7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=5}#<=<1>ok4H5:5?M2092.?4h4=be9'60d==>o0e<9?:18'0=c=9>h07d?81;29 1>b28=i76a=b883>!2?m38h=65rb0g;>5<5290;w):;6;34a>N30?1C8:?4$5:f>=c<,;?i689j;h35b?6=,=2n6<9m;:m1f<<72->3i752;294~"33i76j;%06f?30m2c::k4?:%6;a?70j21d>o750;&7<`<5k81C85j4;|`2ad<72:0;6=u+454907=O<1<0D99>;%6;a?263-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98k7d>290/85k52b38?xd6mk0;694?:1y'010=<:1C8584H552?!2?m3>:7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=5$5:f>7e632wi=o;50;194?6|,=>=69<4H5:5?M2092.?4h4;1:&11g<2?l1b=:>50;&7<`<6?k10e<9>:18'0=c=9>h07bb2;i:76sm1ca94?4=83:p(9:9:05f?M2?>2B?;<5+49g9<`=#:5<#<1o1=:l4;n0a=?6=,=2n6?m>;I6;`>=zj8ho6=4=:183!23>3;01b3`;=j7>5$5:f>41e32e9n44?:%6;a?4d92B?4i54}c3aa?6=;3:1;%6;a?4el2.99o4:7d9j526=83.?4h4>7c98m416290/85k516`8?j4e13:1(96j:3a2?>{e9kl1<7<50;2x 12128=n7E:76:J734=#<1o14h5+24`912c5$5:f>7e63A>3h65rb0a3>5<5290;w):;6;34a>N30?1C8:?4$5:f>=c<,;?i689j;h35b?6=,=2n6<9m;:m1f<<72->3i752;294~"33i76j;%06f?30m2c::k4?:%6;a?70j21d>o750;&7<`<5k81C85j4;|`2g7<72:0;6=u+454907=O<1<0D99>;%6;a?263-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98k7d>290/85k52b38?xd6k:0;6?4?:1y'010=9>o0D969;I645>"30l03i6*=5c863`=n9?l1<7*;8d823g=13A><=6*;8d875>"5=k0>;h5f16294?"30l0:;o54i052>5<#<1o1=:l4;h346?6=,=2n6<9m;:m1f<<72->3i73:6F;709'0=c=<81/>8l556g8m417290/85k516`8?l7093:1(96j:05a?>o6?;0;6):7e;34f>=h:k31<7*;8d81g4=53;294~"36F;879K027<,=2n69?4$37a>01b3`;<<7>5$5:f>41e32c:;<4?:%6;a?70j21d>o750;&7<`<5k810qo?m9;297?6=8r.?8;4=bd9K0=0<@==:7):7e;0a`>"5=k0>;h5f16294?"30l0:;o54i052>5<#<1o1=:l4;n0a=?6=,=2n6?m>;:a5gg=8391<7>t$565>14<@=2=7E:81:&7<`<392.99o4:7d9j526=83.?4h4>7c98m416290/85k516`8?j4e13:1(96j:3a2?>{e9kh1<7:50;2x 1212;hm7E:76:J734=#<1o1>oj4$37a>01b3`;<<7>5$5:f>41e32c:;<4?:%6;a?70j21b=:<50;&7<`<6?k10c?l6:18'0=c=:j;07pl=2583>6<729q/8985439K0=0<@==:7):7e;62?!42j3?7183>!2?m3;5<#<1o1>n?4;|`16g<72;0;6=u+454952c<@=2=7E:81:&7<`7c98k7d>290/85k52b38L1>c32wi>?m50;094?6|,=>=6<9j;I6;2>N3?81/85k58d9'60d==>o0e<8i:18'0=c=9>h07bb2;i:7E:7d:9~f74c29086=4?{%672?4em2B?4;5G4638 1>b2;ho7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=h4?:383>5}#<=<1=:k4H5:5?M2092.?4h47e:&11g<2?l1b=;h50;&7<`<6?k10c?l6:18'0=c=:j;0D96k;:a67`=8381<7>t$565>41b3A>3:6F;709'0=c=0l1/>8l556g8m40a290/85k516`8?j4e13:1(96j:3a2?M2?l21vn?=?:181>5<7s->?:7?8e:J7<3=O<>;0(96j:9g8 73e2<=n7d?9f;29 1>b28=i76a=b883>!2?m38h=6F;8e98yg4493:1?7>50z&703<3:2B?4;5G4638 1>b2=;0(?;m:45f?l7083:1(96j:05a?>o6?80;6):7e;34f>=h:k31<7*;8d81g4=7>52;294~"33i76j;%06f?30m2c::k4?:%6;a?70j21d>o750;&7<`<5k81C85j4;|`160<72=0;6=u+454906=O<1<0D99>;%6;a?263-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98m415290/85k516`8?j4e13:1(96j:3a2?>{e:;<1<7:50;2x 1212=90D969;I645>"30l0?=6*=5c863`=n9>:1<7*;8d823g=7>5$5:f>41e32e9n44?:%6;a?4d921vn?<8:180>5<7s->?:7:=;I6;2>N3?81/85k5409'60d==>o0e<9?:18'0=c=9>h07d?81;29 1>b28=i76a=b883>!2?m38h=65rb30;>5<4290;w):;6;0aa>N30?1C8:?4$5:f>7dc3-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98k7d>290/85k52b38?xd5:00;6>4?:1y'010=<;1C8584H552?!2?m3>:7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=l4?:583>5}#<=<1>oh4H5:5?M2092.?4h4=be9'60d==>o0e<9?:18'0=c=9>h07d?81;29 1>b28=i76g>7383>!2?m3;;%6;a?>b3-8>n7;8e:k22c<72->3i7?8b:9l6g?=83.?4h4=c09K0=b<3th?=94?:383>5}#<=<1=:k4H5:5?M2092.?4h47e:&11g<2?l1b=;h50;&7<`<6?k10c?l6:18'0=c=:j;0D96k;:a043=8381<7>t$565>41b3A>3:6F;709'0=c=0l1/>8l556g8m40a290/85k516`8?j4e13:1(96j:3a2?M2?l21vn9?9:181>5<7s->?:7?8e:J7<3=O<>;0(96j:9g8 73e2<=n7d?9f;29 1>b28=i76a=b883>!2?m38h=6F;8e98yg26?3:1?7>50z&703<3:2B?4;5G4638 1>b2=;0(?;m:45f?l7083:1(96j:05a?>o6?80;6):7e;34f>=h:k31<7*;8d81g4=:47>53;294~"33i750;&7<`<6?k10e<9>:18'0=c=9>h07bb2;i:76sm40;94?2=83:p(9:9:518L1>13A><=6*;8d875>"5=k0>;h5f16294?"30l0:;o54i052>5<#<1o1=:l4;h346?6=,=2n6<9m;:m1f<<72->3i7b2;ho7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=52z\04>;4839::6s|3d83>c}Y;l16?h4<179>5fg=9?l019=m:053?87bn3;=j63>d88235=:9mh1=;h4=360>40a3488m7?80:?2a=<6>o16=n?517d894e528=:70<<0;35b>;5;80:;<52407953`d4<5=:1>o74}r:7>5;3980:=8524cg953`<58io6<9>;<60g?70927?o<4>6g9>5c6=9?l013;=j6s|a283>45|Vh901k5909>ed<>9279n<461:?7fg<>9278<77>;<016??634;i?77>;<003??634;hn77>;<3a6??63488?77>;<3`0??634;n877>;<3:0??634>8?77>;<603??63tyjm7>52z?be?56>27?<7?80:peg<72;q6ml4>149>013=:k30q~?71;296~X60816=4:51078yv7><3:19v3>958053=:<:31=;h4=516>41634;o;7?9f:?105<6>o1v<7::181[7>=27?o=4>709~w4?d290>wS?6c:?7b5<6?916=nj51628915d28=;70:>9;345>{t9k;1<7?9;<3fa?7092wx=o=50;6xZ4d434;i?7=>6:?2f0<6?916=o851638yv7e<3:1>v3>b28250=:9kh1>o74}r3a1?6=:r7:n84=b89>5gd=9>;0q~?m6;296~;6j?09n4521c;952652z?2f2<5j016=o751638yv7e03:1>v3>b981f<=:9kh1=:<4}r3a=?6=:r7:n44=b89>5gg=9>:0q~?ma;296~;6jh09n4521c`952652z?2ff<5j016=o851628yv7el3:1>v3>be81f<=:9k<1=:<4}r3aa?6=:r7:nh4=b89>5g1=9>;0q~?mf;296~;6jo09n4521c5952652z?2g5<5j016=o951608yv7d93:1>v3>c081f<=:9kk1=:?4}r3`6?6=:r7:o?4=b89>5g>=9>:0q~?l3;296~;6k:09n4521c:952752z?2g1<49?16=n<51628yv7d=3:1>v3>c58250=:9j<1>o74}r3`;<6234;hm727:h<4>719~w4ed290;6lh0:;=5222`9527<58ho6<8i;<3aa?709279>o4>6g9>67b=9>;0q~?ld;296~;6km09n4521bd952652z?2g`<5j016=nh51638yv7c83:1>v3>cc8250=:9m<1>o74}r3g5?6=:r7:h<4=b89>5a5=9>:0q~?k2;296~;6l;09n4521e6952752z?2`6<5j016=i851628yv7c<3:1>v3>d581f<=:9m?1=:?4}r3g1?6=:r7:h84=b89>5a0=9>;0q~?k7;296~;6l>09n4521eg952652z?2`=<5j016=ik51638yv7c13:1>v3>d881f<=:9m>1=:>4}r3ge?6=:r7:hl4=b89>5a4=9>:0q~?kb;296~;6lk09n4521e0952752z?2`f<5j016=i;51628yv7cl3:1>v3>de81f<=:9m?1=:<4}r3ga?6=:r7:hh4=b89>5a5=9>;0q~?j4;297~;6m=08=;521e;9527<5;>96<8i;|q2a0<72;q6=h852c;894ce28=:7p}>e783>7}:9l=1>o74=0gb>4173ty:i:4?:3y>5`>=:k301eb83>7}Y9li01o74}r3fa?6=:r7:ih4=b89>5c4=9>;0q~?jf;296~;6mo09n4521g3952752z?2b5<5j016=k<51608yv7a93:1>v3>f081f<=:9o81=:>4}r016?6=?522309740<5;8?6<9?;<011?7092wx>?=50;0x974528;>70<=a;0a=>{t:;>1<77d>3489m7?81:p673=838p1?<::3`:?84503;<<6s|23494?4|5;8=6?l6;<01?950;0x97402;h270<=a;346>{t:;21<77d>348957?80:p67?=838p1?<6:3`:?845i3;<<6s|23`94?4|5;8i6?l6;<011?7082wx>?m50;0x974d2;h270<=5;346>{t:;n1<77d>3489:7?81:p67c=838p1?3;<<6s|23d94?4|5;8m6?l6;<012?70:2wx>>>50;0x97572;h270<=9;345>{t::;1<77d>3489;7?80:p664=838p1?==:3`:?845?3;<=6s|22194?4|5;986>?9;<005?7082wx>>:50;0x975428;>70<<5;0a=>{t::=1<7=t^314?844?39::63=388235=z{;936=4={<003?76=279?k4=b89~w75>2909w0<<9;0a=>;5;j0:;=5rs31b>5<5s488m7719~w75c2909w0<;5;l0:;<5rs31f>5<5s488i7:6=4={<075?4e12798:4>709~w7252909w0<;2;0a=>;5;h0:;<5rs360>5<5s48??7>6=4={<071?4e1279?h4>719~w7212909w0<;6;0a=>;5;l0:;?5rs364>5<5s48?;7:18685b28;>70;5;:0j>63>c58b6>;383;<=6s|2c094?5|5;h:61;c7?85b2h>019lm:`6897542h>010q~40a34>h<7?80:?2a`<6?916=h7517d8yv26:3:1>v3;108b6>;39h09n45rs530>5<5s4>:?76=4={<621?4e127?=44>739~w1712909w0:>6;0a=>;39h0:;<5rs534>5<5s4>:;7719~w154290:1=;h4=51f>40a34>897?80:?2`=<6>o16=im517d8972628;6m>0:;<521c79527<58i;6<8i;<3`7?71n279>94>709>67`=9?l01?==:04e?826<3;=j6s|42694?4|5=986;50;0x91522;h270:<6;345>{t<:=1<7??{<13>47234>8;7=>6:?700<6?9168>l51638915a28=;70:<6;344>;6l80:;<521ef953`<5;926<9>;<072?71n27:i:4>719>5ge=9?l01149>06g=:k30q~:<9;296~;3;009n45242d95278n7>52z?77g<5j01689?51628yv24k3:1>v3;3b81f<=:<=:1=:>4}r60`?6=:r7??i4=b89>016=9>;0q~:8j7>52z?77c<5j0168>o51628yv2383:1>v3;4181f<=:<=;1=:?4}r675?6=:r7?8<4=b89>014=9>:0q~:;2;296~;3<;09n45242c9527??7>52z?701<5j01689;51638yv2f;3:1>vP;a29>0c7=9?l0q~:mb;296~X3jk168ol53048yv2el3:1>v3;bc8250=:o74}r6ab?6=:r7?no4n2:?7g7<5j01v9m?:18182d838i563;c38235=z{=i:6=4={<6`5?4e127?o?4>709~w1cd2909wS:jc:?7aa<5j01v9ki:18182bl3;=j63;f581f<=z{=l;6=4={<6e4?4e127?j94>719~w1`62909w0:i1;0a=>;3n:0:;=5rs5d1>5<5s4>m>7;6k?0::k521cd953`53z\604=:::?1=;h4=30f>40a3ty>;:4?:4y]121<58o?6709>612=9?l0qpl;9683>47=9k099vF;709'010=9?i0V4>51z35>x"5=h0???5`57g94?=n9j21<75`4ef94?=n9j31<75f4`194?=h0=0;66g>6383>>i3?o0;66g<1683>>o4;=0;66g<9`83>>o6?10;66g<3`83>>o6mj0;66a7a;29 6732130b>?<:198k=>=83.8=9479:l056<632e3;7>5$237>=?p(9:9:047?M2?>2B?;<5+24`912c>o49<0;66g>1483>>of<3:17d7>:188f400290=6=4?{%6;a?7112c:;o4?:%6;a?70j21bm?4?:%6;a?g532cj?7>5$5:f>d5<3`>;=7>5$5:f>16632c8mh4?:%6;a?5fm21d>n?50;&7<`<5k810qo:79;295?6=8r.?4h4=bb9l6f2=83.?4h4=c098yv76=3:1>vP>149>531=9>h0q~7>:181[?634;=;7o<;|qb0?6=:rTj863>6680e`=z{:;>6=4={_121>;6>>0?<<5rs235>5<5sW9::63>6681g4=z{==96=4={<353?g534>357"3;:p740=838pR>?9;<122?56>2wx=<;50;0xZ472349::7?>5:p=4<72;qU5<523049=4=z{<0;6>u23049743<5:;=6l:4=3822a=#<>:1o6srb9a94?5=:3>p(9:9:047?M2?>2B?;<5+24`912c>o49<0;66g>1483>>of<3:17d7>:188f400290=6=4?{%6;a?7112c:;o4?:%6;a?70j21bm?4?:%6;a?g532cj?7>5$5:f>d5<3`>;=7>5$5:f>16632c8mh4?:%6;a?5fm21d>n?50;&7<`<5k810qo:79;295?6=8r.?4h4=bb9l6f2=83.?4h4=c098yv76=3:1>vP>149>531=9>h0q~7>:181[?634;=;7o<;|qb0?6=:rTj863>6680e`=z{:;>6=4={_121>;6>>0?<<5rs235>5<5sW9::63>6681g4=z{==96=4={<353?g534>357"3;:p740=838pR>?9;<122?56>2wx=<;50;0xZ472349::7?>5:p=4<72;qU5<523049=4=z{<0;6>u23049743<5:;=6l:4=3822a=#<>:1o6srb9`94?5=:3>p(9:9:047?M2?>2B?;<5+24`912c>o49<0;66g>1483>>of<3:17d7>:188f400290=6=4?{%6;a?7112c:;o4?:%6;a?70j21bm?4?:%6;a?g532cj?7>5$5:f>d5<3`>;=7>5$5:f>16632c8mh4?:%6;a?5fm21d>n?50;&7<`<5k810qo:79;295?6=8r.?4h4=bb9l6f2=83.?4h4=c098yv76=3:1>vP>149>531=9>h0q~7>:181[?634;=;7o<;|qb0?6=:rTj863>6680e`=z{:;>6=4={_121>;6>>0?<<5rs235>5<5sW9::63>6681g4=z{==96=4={<353?g534>357"3;:p740=838pR>?9;<122?56>2wx=<;50;0xZ472349::7?>5:p=4<72;qU5<523049=4=z{<0;6>u23049743<5:;=6l:4=3822a=#<>:1o6srb5fg>5<42;0?w):;6;350>N30?1C8:?4$37a>01b3`3:6=44i036>5<5<22;0=w):;6;353>"5=k0>;h5`30494?=n;8?1<75f10794?=ni=0;66g61;29?g71?3:1:7>50z&7<`<6>01b=:l50;&7<`<6?k10el<50;&7<`4?:%6;a?g432c?<<4?:%6;a?27921b?lk50;&7<`<4il10c?m>:18'0=c=:j;07pl;8883>4<729q/85k52ca8k7e3290/85k52b38?xu69<0;6?uQ1078940028=i7p}61;296~X>927:::4n3:pe1<72;qUm95217597dc52z\050=:9?=18=?4}r122?6=:rT8=;5217596f7<>7>52z?222t$553><=#<=<1=;k4$5:f>1?33-8>n7;8e:m22a<72->3i76:?053<49?1v149~w<7=838pR4?4=235><7672349::7o;;<0953b<,==;6n5r}c64b?6=;3818v*;478221=O<1<0D99>;%06f?30m2c2=7>5;h321?6=3f9::7>5;c122?6==381:v*;478222=#:5<6=44i036>5<1<75f9083>>d6>>0;6;4?:1y'0=c=9?30e<9m:18'0=c=9>h07do=:18'0=c=i;10el=50;&7<`oj:18'0=c=;ho07bb2;i:76sm49;94?7=83:p(96j:3``?j4d<3:1(96j:3a2?>{t98?1<77}Y1816=;95a29~wd2=838pRl:4=044>6gb3ty8=84?:3y]743<58<<69>>;|q053<72;qU?<84=044>7e63ty?;?4?:3y>531=i;1685752b68yxd5290:6=4?{%644??<,=>=6<8j;%6;a?2><2.99o4:7d9l53b=83.?4h4=c098yv56>3:1>vP<179>740=;8<0q~?>5;296~X69<16?<851078yv?62909wS7>;<122??63ty>6=4<{<122?56=278=;4n4:?1>40c3-><<7m4}|`7;%34`?c<,;?i689j;h;2>5<6=44o235>5<3;=;6*=5c863`=h;8<1<75f30794?=n98?1<75fa583>>o>93:17o?97;292?6=8r.?4h4>689j52d=83.?4h4>7c98md4=83.?4h4n2:9je6<72->3i7o<;:k744<72->3i7:?1:9j7dc=83.?4h41483>7}Y98?01<88:05a?xu>93:1>vP61:?22252z\053=:9?=1>n?4}r646?6=:r7:::4n2:?7<<<5k=1vqo<50;394?6|,==;645+454953c<,=2n697;;%06f?30m2e::i4?:%6;a?4d921v>?9:181[56>278=;4<179~w4722909wS?>5:?053<69<1v4?50;0xZ<7<5:;=64?4}r794?5|5:;=6>?:;<122?g33481=;j4$553>f=zuk?=i7>54;091~"301b3`3:6=44i036>5<6=44o235>5<3;=;6*=5c863`=h;8<1<75f30794?=n98?1<75fa583>>o>93:17o?97;292?6=8r.?4h4>689j52d=83.?4h4>7c98md4=83.?4h4n2:9je6<72->3i7o<;:k744<72->3i7:?1:9j7dc=83.?4h41483>7}Y98?01<88:05a?xu>93:1>vP61:?22252z\053=:9?=1>n?4}r646?6=:r7:::4n2:?7<<<5k=1vqo?50;394?6|,==;655+454953c<,=2n697;;%06f?30m2e::i4?:%6;a?4d921v>?9:181[56>278=;4<179~w6722909wS=>5:?053<49<1v149~w<7=838pR4?4=235><71<7d2<580::i5+4629f>{zj1?1<7<50;2x 12128=n7E:76:J734=#<1o14h5+24`912c5$5:f>7e63A>3h65rb9494?5=83:p(9:9:3`f?M2?>2B?;<5+49g96gb<,;?i689j;h344?6=,=2n6<9m;:k234<72->3i7?8b:9l6g?=83.?4h4=c098yg2d29096=4?{%672?70m2B?4;5G4638 1>b21o0(?;m:45f?l71n3:1(96j:05a?>i5j00;6):7e;0`5>N30m10qo:j7;296?6=8r.?8;4>7d9K0=0<@==:7):7e;:f?!42j3?6g83>!2?m3;o0D969;I645>"30l03i6*=5c863`=n9?l1<7*;8d823g=7<729q/898516g8L1>13A><=6*;8d8;a>"5=k0>;h5f17d94?"30l0:;o54o3`:>5<#<1o1>n?4H5:g?>{e"30l0?=6*=5c863`=n9>:1<7*;8d823g=5$5:f>7e632wi8h:50;094?6|,=>=6<9j;I6;2>N3?81/85k58d9'60d==>o0e<8i:18'0=c=9>h07bb2;i:7E:7d:9~f1c229086=4?{%672?253A>3:6F;709'0=c=<81/>8l556g8m417290/85k516`8?l7093:1(96j:05a?>i5j00;6):7e;0`5>=zj<=?6=4;:183!23>3>87E:76:J734=#<1o18<5+24`912c5$5:f>41e32c:;?4?:%6;a?70j21d>o750;&7<`<5k810qo:71;291?6=8r.?8;4;4:J7<3=O<>;0(96j:538 73e2<=n7d?80;29 1>b28=i76g>7083>!2?m3;5<#<1o1=:l4;n0a=?6=,=2n6?m>;:a7a7=8391<7>t$565>14<@=2=7E:81:&7<`<392.99o4:7d9j526=83.?4h4>7c98m416290/85k516`8?j4e13:1(96j:3a2?>{e;m81<7=50;2x 1212=80D969;I645>"30l0?=6*=5c863`=n9>:1<7*;8d823g=5$5:f>7e632wi?i=50;194?6|,=>=69<4H5:5?M2092.?4h4;1:&11g<2?l1b=:>50;&7<`<6?k10e<9>:18'0=c=9>h07bb2;i:76sm3e694?4=83:p(9:9:05f?M2?>2B?;<5+49g9<`=#:5<#<1o1=:l4;n0a=?6=,=2n6?m>;I6;`>=zj:n>6=4<:183!23>38ii6F;879K027<,=2n6?lk;%06f?30m2c:;=4?:%6;a?70j21b=:?50;&7<`<6?k10c?l6:18'0=c=:j;07pl6<729q/8985439K0=0<@==:7):7e;62?!42j3?7183>!2?m3;5<#<1o1>n?4;|`0`2<72:0;6=u+45496gc<@=2=7E:81:&7<`<5jm1/>8l556g8m417290/85k516`8?l7093:1(96j:05a?>i5j00;6):7e;0`5>=zj:n36=4<:183!23>3>97E:76:J734=#<1o18<5+24`912c5$5:f>41e32e9n44?:%6;a?4d921vn>j6:180>5<7s->?:7;0(96j:3`g?!42j3?7183>!2?m3;5<#<1o1>n?4;|q76:?;2?7082wx8l4?:3y>0=<>927?57149>0f<5j01v5:50;4xZ=2<51h1=<;4=9496g?<5=o86<9?;<740?70927?4<4>719~w=3=838p15;52c;89=0=9>;0q~6m:187[>0342h667134>3=7?81:p<2<72=qU45528e8250=:0j08=;524939524c2:;=70:71;347>{t9?81<76t^041?8>c20;015m5909>927?hi461:?73c<>927>:h461:?7=?71n2wx=:650;1xZ41?34>n87?9f:?631<6?;1v4>719>7a2=9?l0q~?l9;296~X6k016?i=51638yv7bk3:1>vP>eb9>7a3=9>:0q~=>7;297~X49>16?i?5162896b128=;7p}<3583>7}Y;:>01>j=:052?xu4;h0;6?uQ32c896b528=;7p}<9`83>7}Y;0k01>j>:052?xu4l90;6>u24d19527<5<=?6<9?;<1g=?4e12wx?i?50;0x96b62;h270=k5;345>{t;m81<77d>349o47?80:p7a5=838p1>j<:3`:?85c?3;<<6s|3e694?4|5:n?6?l6;<1g2?7092wx?i;50;0x96b22;h270=k9;344>{t;m<1<77d>349o;7?81:p7a1=838p1>j8:3`:?85c03;<=6s|3e:94?4|5:n36?l6;<1g=?7092wx8:h50;0xZ11a34>6:p0=6=838p199i:036?82?938i56s|4`194?2|V=k870;9e;121>;?=3;=j63;c;35b>{t{t1<77d>34>n97?81:p0`0=838p19jk:036?82b?38i56s|57g94?4|V<{t=>91<740a34?<8738i56srb5;;>5<6>3h26n;tH552?!23>3;246*=5`8777=h90?1<75f57g94?=hcc83>>o>:3:17b:if;29?l7d?3:17d9l:188k0262900e?=9:188m7502900e9ln:188k1c?2900c9h::188k66=831d98750;9a0=1=83;1<7>t$565>40b3A>3:6F;709'0=c=<0>0(?;m:45f?j71l3:1(96j:3a2?>{e=<31<7;52;4x 12128<=7E:76:J734=#:6;29?g56>3:1:7>50z&7<`<6>01b=:l50;&7<`<6?k10el<50;&7<`4?:%6;a?g432c?<<4?:%6;a?27921b?lk50;&7<`<4il10c?m>:18'0=c=:j;07pl;8c83>4<729q/85k54868k7e6290/85k52b38?xu49?0;6?uQ304896712;i:7p}>1483>7}Y98?01>?9:05a?xuf<3:1>vPn4:?053<4il1vl<50;0xZd4<5:;=6l<4}r;2>5<5sW3:70=>6;c0?xu6>l0;6?u23049057<5=2i6?m>;|a56c=8391>7:t$565>4033A>3:6F;709'60d==>o0e4?50;9j543=831d?<850;9a740=83?1>78t$565>4003-8>n7;8e:m053<722c8=84?::k250<722cj87>5;h;2>5<7c83>!2?m3;!2?m3k976gn3;29 1>b2h907d:?1;29 1>b2=::76g!2?m39ji65`2b394?"30l09o<54}c6;=?6=93:11<7*;8d81g4=52z\250=:9?=1=:l4}r;2>5<5sW3:70?97;c0?xuf<3:1>vPn4:?222<4il1v>?::181[56=27:::4;009~w6712909wS=>6:?222<5k81v99=:181871?3k970:79;0`0>{zj;0;6<4?:1y'026=12.?8;4>6d9'0=c=<0>0(?;m:45f?j71l3:1(96j:3a2?>{t;8<1<739::6s|10794?4|V8;>70=>6;321>{t180;6?uQ909>740=181v84?:2y>740=;8?01>?9:`6897<6>m1/8:>5c:~f45f29086?4;{%672?71<2B?4;5G4638 73e2<=n7d7>:188m4722900c>?9:188f671290>6?49{%672?71?2.99o4:7d9l740=831b?<;50;9j543=831bm94?::k:5?6=3k;=;7>56;294~"30l0::45f16`94?"30l0:;o54i`094?"30l0j>65fa283>!2?m3k876g;0083>!2?m3>;=65f3`g94?"30l08mh54o3a2>5<#<1o1>n?4;|`7<<<7280;6=u+49g96ge668b7>{ti=0;6?uQa59>531=;ho0q~=>5;296~X49<16=;954138yv56>3:1>vP<179>531=:j;0q~:82;296~;6>>0j>63;8881g1=zuk81<7?50;2x 117201/898517g8 1>b2=3?7)<:b;74a>i6>m0;6):7e;0`5>=z{:;=6=4={_122>;49?08=;5rs036>5<5sW;:963<178250=z{0;1<770=>6;c7?84=9?n0(99?:b9~yg74>3:1?7<54z&703<6>=1C8584H552?!42j3?6;29?g56>3:197<56z&703<6>>1/>8l556g8k6712900e>?::188m4722900el:50;9j=4<722h:::4?:783>5}#<1o1=;74i05a>5<#<1o1=:l4;hc1>5<#<1o1m?54i`194?"30l0j?65f41394?"30l0?<<54i2cf>5<#<1o1?lk4;n0`5?6=,=2n6?m>;:a0=?=83;1<7>t$5:f>7dd3f8h87>5$5:f>7e632wx=<;50;0xZ47234;=;7?8b:p=4<72;qU5<521759e6=z{h>1<77}Y;8?01<88:522?xu49?0;6?uQ304894002;i:7p};7383>7}:9?=1m?5249;96f2:183!208330(9:9:04f?!2?m3>286*=5c863`=h9?n1<7*;8d81g4=52z\053=:;8<1?<84}r321?6=:rT:=852304954333:7p}::180856>39:963<178b0>;5284=:5y'010=9?>0D969;I645>"5=k0>;h5f9083>>o69<0;66a<1783>>d49?0;684=:7y'010=9?=0(?;m:45f?j56>3:17d=>5;29?l76=3:17do;:188m<7=831i=;950;494?6|,=2n6<86;h34f?6=,=2n6<9m;:kb6?6=,=2n6l<4;hc0>5<#<1o1m>54i522>5<#<1o18=?4;h1ba?6=,=2n6>oj;:m1g4<72->3i7>290:6=4?{%6;a?4ek2e9o94?:%6;a?4d921v7c9~w<7=838pR4?4=044>d570?97;635>{t;8<1<77e33twi>7>51;294~"3?9027):;6;35a>"30l0?595+24`912c?9;|q250<72;qU=<;4=235>4723ty2=7>52z\:5>;49?02=6s|5;297~;49?08=8523049e1=::3;=h6*;718`?x{e;90;6>4=:5y'010=9?>0D969;I645>"5=k0>;h5f9083>>o69<0;66a<1783>>d49?0;684=:7y'010=9?=0(?;m:45f?j56>3:17d=>5;29?l76=3:17do;:188m<7=831i=;950;494?6|,=2n6<86;h34f?6=,=2n6<9m;:kb6?6=,=2n6l<4;hc0>5<#<1o1m>54i522>5<#<1o18=?4;h1ba?6=,=2n6>oj;:m1g4<72->3i7>290:6=4?{%6;a?4ek2e9o94?:%6;a?4d921v7c9~w<7=838pR4?4=044>d570?97;635>{t;8<1<77e33twi>7>51;294~"3?9027):;6;35a>"30l0?595+24`912c?9;|q250<72;qU=<;4=235>4723ty2=7>52z\:5>;49?02=6s|5;297~;49?08=8523049e1=::3;=h6*;718`?x{e91;1<7=52;6x 12128i49?0;66g<1483>>o69<0;66gn4;29?l?62900n<88:185>5<7s->3i7?99:k23g<72->3i7?8b:9je7<72->3i7o=;:kb7?6=,=2n6l=4;h635?6=,=2n69>>;:k0e`<72->3i7=ne:9l6f7=83.?4h4=c098yg2?13:1=7>50z&7<`<5jj1d>n:50;&7<`<5k810q~?>5;296~X69<16=;9516`8yv?62909wS7>;<353?g43tyj87>52z\b0>;6>>08mh5rs236>5<5sW9:963>668744=z{:;=6=4={_122>;6>>09o<5rs551>5<5s4;=;7o=;<6;=?4d<2wvn?4?:083>5}#<>:156*;47822`=#<1o184:4$37a>01b3f;=h7>5$5:f>7e632wx?<850;0xZ671349::7=>6:p543=838pR6}:;8<1?<;4=235>d2<5;0::i5+4629g>{zj8l86=4<:387!23>3;=86F;879K027<,;?i689j;h;2>5<6=44o235>5<3;=;6*=5c863`=h;8<1<75f30794?=n98?1<75fa583>>o>93:17o?97;292?6=8r.?4h4>689j52d=83.?4h4>7c98md4=83.?4h4n2:9je6<72->3i7o<;:k744<72->3i7:?1:9j7dc=83.?4h41483>7}Y98?01<88:05a?xu>93:1>vP61:?22252z\053=:9?=1>n?4}r646?6=:r7:::4n2:?7<<<5k=1vqo<50;394?6|,==;645+454953c<,=2n697;;%06f?30m2e::i4?:%6;a?4d921v>?9:181[56>278=;4<179~w4722909wS?>5:?053<69<1v4?50;0xZ<7<5:;=64?4}r794?5|5:;=6>?:;<122?g33481=;j4$553>f=zuk8?47>53;090~"3n7;8e:k:5?6=3`;:97>5;n122?6=3k9::7>55;092~"35<6=44i`694?=n180;66l>6683>3<729q/85k517;8m41e290/85k516`8?lg5290/85k5a398md5=83.?4h4n3:9j057=83.?4h4;0098m6gb290/85k53`g8?j4d93:1(96j:3a2?>{e<131<7?50;2x 1>b2;hh7bb2;i:76s|10794?4|V8;>70?97;34f>{t180;6?uQ909>531=i:1vl:50;0xZd2<58<<6>oj;|q050<72;qU?<;4=044>1663ty8=;4?:3y]740<58<<6?m>;|q737<72;q6=;95a39>0=?=:j>0qpl=:182>5<7s-><<774$565>40b3->3i7:64:&11g<2?l1d=;j50;&7<`<5k810q~=>6;296~X49?16?<853048yv76=3:1>vP>149>740=98?0q~7>:181[?6349::77>;|q6>5<4s49::7=>5:?0531}#<=<1=;:4H5:5?M2092.99o4:7d9j=4<722c:=84?::m053<722h8=;4?:481>3}#<=<1=;94$37a>01b3f9::7>5;h121?6=3`;:97>5;hc7>5<of:3:1(96j:`08?lg4290/85k5a298m166290/85k54138?l5fm3:1(96j:2cf?>i5k80;6):7e;0`5>=zj=226=4>:183!2?m38io6a=c583>!2?m38h=65rs036>5<5sW;:963>66823g=z{0;1<7?:;<353?2792wx?<850;0xZ67134;=;7>2;i?7psm2;295?6=8r.?;=46;%672?71m2.?4h4;959'60d==>o0c<8k:18'0=c=:j;07p}<1783>7}Y;8<01>?9:235?xu69<0;6?uQ1078967128;>7p}61;296~X>9278=;461:p1?6=;r78=;4<149>740=i=16>7?9d:&7351}#<=<1=;:4H5:5?M2092.99o4:7d9j=4<722c:=84?::m053<722h8=;4?:481>3}#<=<1=;94$37a>01b3f9::7>5;h121?6=3`;:97>5;hc7>5<of:3:1(96j:`08?lg4290/85k5a298m166290/85k54138?l5fm3:1(96j:2cf?>i5k80;6):7e;0`5>=zj=226=4>:183!2?m38io6a=c583>!2?m38h=65rs036>5<5sW;:963>66823g=z{0;1<7?:;<353?2792wx?<850;0xZ67134;=;7>2;i?7psm2;295?6=8r.?;=46;%672?71m2.?4h4;959'60d==>o0c<8k:18'0=c=:j;07p}<1783>7}Y;8<01>?9:235?xu69<0;6?uQ1078967128;>7p}61;296~X>9278=;461:p1?6=;r78=;4<149>740=i=16>7?9d:&735=6<8;;I6;2>N3?81/>8l556g8m<7=831b=<;50;9l740=831i?<850;796?0|,=>=6<88;%06f?30m2e8=;4?::k050<722c:=84?::kb0?6=3`3:6=44b044>5<1290;w):7e;35=>o6?k0;6):7e;34f>=ni;0;6):7e;c1?>of;3:1(96j:`18?l2793:1(96j:522?>o4il0;6):7e;1ba>=h:j;1<7*;8d81g4=357>51;294~"30l09nn5`2b694?"30l09o<54}r321?6=:rT:=852175952d5:?222<3881v>?9:181[56>27:::4=c09~w1152909w0?97;c1?82?138h86srb383>4<729q/8:>59:&703<6>l1/85k54868 73e2<=n7b?9d;29 1>b2;i:76s|30494?4|V:;=70=>6;122>{t98?1<73;:96s|9083>7}Y1816?<85909~w0<72:q6?<85307896712h>01?4>6e9'026=k2wvn9hi:180>7<3s->?:7?94:J7<3=O<>;0(?;m:45f?l?62900e?9:186>7<1s->?:7?97:&11g<2?l1d?<850;9j743=831b=<;50;9je1<722c2=7>5;c353?6=>3:1h1<7*;8d823g==ni:0;6):7e;c0?>o3880;6):7e;635>=n;ho1<7*;8d80e`=5}#<1o1>om4o3a7>5<#<1o1>n?4;|q250<72;qU=<;4=044>41e3ty2=7>52z\:5>;6>>0j?6s|a583>7}Yi=16=;953`g8yv56=3:1>vP<149>531=<9;0q~=>6;296~X49?16=;952b38yv20:3:1>v3>668b6>;30009o95r}c094?7=83:p(99?:89'010=9?o0(96j:5;7?!42j3?6e83>!2?m38h=65rs235>5<5sW9::63<178053=z{8;>6=4={_321>;49?0:=85rs8394?4|V0;01>?9:838yv3=839p1>?9:236?856>3k?70<517f8 1172j1vqo:n9;297?4=659K0=0<@==:7)<:b;74a>o>93:17d?>5;29?j56>3:17o=>6;291?4=>r.?8;4>669'60d==>o0c>?9:188m6722900e=n<9;1<7*;8d8744=5$5:f>7e632wi85750;394?6|,=2n6?ll;n0`0?6=,=2n6?m>;:p543=838pR5rs`694?4|Vh>01<88:2cf?xu49<0;6?uQ307894002=::7p}<1783>7}Y;8<01<88:3a2?xu3?;0;6?u21759e7=:<131>n:4}|`1>5<6290;w):80;;8 12128"5=k0>;h5`17f94?"30l09o<54}r122?6=:rT8=;52304974052z\250=:;8<1=<;4}r;2>5<5sW3:70=>6;;2?xu22908w0=>6;121>;49?0j863=:04g?!2083i0qpl;e983>6<52=q/89851768L1>13A><=6*=5c863`=n180;66g>1483>>i49?0;66l<1783>0<52?q/89851758 73e2<=n7b=>6;29?l56=3:17d?>5;29?lg32900e4?50;9a531=83<1<7>t$5:f>40>3`;5$5:f>41e32cj>7>5$5:f>d4<3`k86=4+49g9e6=5$5:f>6gb32e9o<4?:%6;a?4d921vn966:182>5<7s->3i73i75:?222<6?k1v4?50;0xZ<7<58<<6l=4}rc7>5<5sWk?70?97;1ba>{t;8?1<7;=6s|30494?4|V:;=70?97;0`5>{t<>81<7d4<5=226?m;;|a6?6=93:13;=i6*;8d87=1=#:5<#<1o1>n?4;|q053<72;qU?<84=235>6713ty:=84?:3y]543<5:;=6{t=3:1?v3<178050=:;8<1m9522;35`>"3?90h7psm14f94?5=:3>p(9:9:047?M2?>2B?;<5+24`912c>o49<0;66g>1483>>of<3:17d7>:188f400290=6=4?{%6;a?7112c:;o4?:%6;a?70j21bm?4?:%6;a?g532cj?7>5$5:f>d5<3`>;=7>5$5:f>16632c8mh4?:%6;a?5fm21d>n?50;&7<`<5k810qo:79;295?6=8r.?4h4=bb9l6f2=83.?4h4=c098yv76=3:1>vP>149>531=9>h0q~7>:181[?634;=;7o<;|qb0?6=:rTj863>6680e`=z{:;>6=4={_121>;6>>0?<<5rs235>5<5sW9::63>6681g4=z{==96=4={<353?g534>357"3;:p740=838pR>?9;<122?56>2wx=<;50;0xZ472349::7?>5:p=4<72;qU5<523049=4=z{<0;6>u23049743<5:;=6l:4=3822a=#<>:1o6srb557>5<42;0?w):;6;350>N30?1C8:?4$37a>01b3`3:6=44i036>5<5<22;0=w):;6;353>"5=k0>;h5`30494?=n;8?1<75f10794?=ni=0;66g61;29?g71?3:1:7>50z&7<`<6>01b=:l50;&7<`<6?k10el<50;&7<`4?:%6;a?g432c?<<4?:%6;a?27921b?lk50;&7<`<4il10c?m>:18'0=c=:j;07pl;8883>4<729q/85k52ca8k7e3290/85k52b38?xu69<0;6?uQ1078940028=i7p}61;296~X>927:::4n3:pe1<72;qUm95217597dc52z\050=:9?=18=?4}r122?6=:rT8=;5217596f7<>7>52z?222t$553><=#<=<1=;k4$5:f>1?33-8>n7;8e:m22a<72->3i76:?053<49?1v149~w<7=838pR4?4=235><7672349::7o;;<0953b<,==;6n5r}c3:1?6=<3819v*;478220=O<1<0D99>;%06f?30m2cj87>5;h;2>5<6=44o235>5<3;=;6*=5c863`=h;8<1<75f30794?=n98?1<75fa583>>o>93:17o?97;292?6=8r.?4h4>689j52d=83.?4h4>7c98md4=83.?4h4n2:9je6<72->3i7o<;:k744<72->3i7:?1:9j7dc=83.?4h41483>7}Y98?01<88:05a?xu>93:1>vP61:?22252z\053=:9?=1>n?4}r646?6=:r7:::4n2:?7<<<5k=1vqo=50;394?6|,==;6l5+454953c<,=2n697;;%06f?30m2e::i4?:%6;a?4d921v>?9:181[56>278=;4<179~w4722909wS?>5:?053<69<1vl:50;0xZd2<5:;=6l:4}r;2>5<5sW3:70=>6;;2?xu12909w0=>6;121>;4280;694=:4y'010=9??0D969;I645>"5=k0>;h5fa583>>o>93:17d?>5;29?j56>3:17o=>6;291?4=>r.?8;4>669'60d==>o0c>?9:188m6722900e=n<9;1<7*;8d8744=5$5:f>7e632wi85750;394?6|,=2n6?ll;n0`0?6=,=2n6?m>;:p543=838pR5rs`694?4|Vh>01<88:2cf?xu49<0;6?uQ307894002=::7p}<1783>7}Y;8<01<88:3a2?xu3?;0;6?u21759e7=:<131>n:4}|`0>5<6290;w):80;c8 12128"5=k0>;h5`17f94?"30l09o<54}r122?6=:rT8=;52304974052z\250=:;8<1=<;4}rc7>5<5sWk?70=>6;c7?xu>93:1>vP61:?053<>92wx:7>52z?053<49<16?7?9d:&735=6<8;;I6;2>N3?81/=:j5e:&11g<2?l1b5<4?::k250<722e8=;4?::`053<72<096;u+4549531<,;?i689j;n122?6=3`9:97>5;h321?6=3`k?6=44i8394?=e9?=1<7850;2x 1>b28<27d?8b;29 1>b28=i76gn2;29 1>b2h807do<:18'0=c=i:10e9>>:18'0=c=<9;07d=ne;29 1>b2:kn76a=c083>!2?m38h=65rb5::>5<6290;w):7e;0ag>i5k=0;6):7e;0`5>=z{8;>6=4={_321>;6>>0:;o5rs8394?4|V0;01<88:`18yvg32909wSo;;<353?5fm2wx?<;50;0xZ67234;=;7:?1:p740=838pR>?9;<353?4d92wx8:<50;0x94002h801966:3a7?x{e:3:1=7>50z&735<>3->?:7?9e:&7<`<31=1/>8l556g8k40c290/85k52b38?xu49?0;6?uQ304896712:;=7p}>1483>7}Y98?01>?9:036?xu>93:1>vP61:?053<>92wx97>53z?053<49<16?<85a59>6?71l2.?;=4l;|a655=8391>7:t$565>4033A>3:6F;709'52b=m2.99o4:7d9j=4<722c:=84?::m053<722h8=;4?:481>3}#<=<1=;94$37a>01b3f9::7>5;h121?6=3`;:97>5;hc7>5<of:3:1(96j:`08?lg4290/85k5a298m166290/85k54138?l5fm3:1(96j:2cf?>i5k80;6):7e;0`5>=zj=226=4>:183!2?m38io6a=c583>!2?m38h=65rs036>5<5sW;:963>66823g=z{0;1<7?:;<353?2792wx?<850;0xZ67134;=;7>2;i?7psm2;295?6=8r.?;=46;%672?71m2.?4h4;959'60d==>o0c<8k:18'0=c=:j;07p}<1783>7}Y;8<01>?9:235?xu69<0;6?uQ1078967128;>7p}61;296~X>9278=;461:p1?6=;r78=;4<149>740=i=16>7?9d:&735=:50;196?2|,=>=6<8;;I6;2>N3?81/=:j5e:&11g<2?l1b5<4?::k250<722e8=;4?::`053<72<096;u+4549531<,;?i689j;n122?6=3`9:97>5;h321?6=3`k?6=44i8394?=e9?=1<7850;2x 1>b28<27d?8b;29 1>b28=i76gn2;29 1>b2h807do<:18'0=c=i:10e9>>:18'0=c=<9;07d=ne;29 1>b2:kn76a=c083>!2?m38h=65rb5::>5<6290;w):7e;0ag>i5k=0;6):7e;0`5>=z{8;>6=4={_321>;6>>0:;o5rs8394?4|V0;01<88:`18yvg32909wSo;;<353?5fm2wx?<;50;0xZ67234;=;7:?1:p740=838pR>?9;<353?4d92wx8:<50;0x94002h801966:3a7?x{e:3:1=7>50z&735<>3->?:7?9e:&7<`<31=1/>8l556g8k40c290/85k52b38?xu49?0;6?uQ304896712:;=7p}>1483>7}Y98?01>?9:036?xu>93:1>vP61:?053<>92wx97>53z?053<49<16?<85a59>6?71l2.?;=4l;|a65?=8391>7:t$565>4033A>3:6F;709'52b=m2.99o4:7d9j=4<722c:=84?::m053<722h8=;4?:481>3}#<=<1=;94$37a>01b3f9::7>5;h121?6=3`;:97>5;hc7>5<of:3:1(96j:`08?lg4290/85k5a298m166290/85k54138?l5fm3:1(96j:2cf?>i5k80;6):7e;0`5>=zj=226=4>:183!2?m38io6a=c583>!2?m38h=65rs036>5<5sW;:963>66823g=z{0;1<7?:;<353?2792wx?<850;0xZ67134;=;7>2;i?7psm2;295?6=8r.?;=46;%672?71m2.?4h4;959'60d==>o0c<8k:18'0=c=:j;07p}<1783>7}Y;8<01>?9:235?xu69<0;6?uQ1078967128;>7p}61;296~X>9278=;461:p1?6=;r78=;4<149>740=i=16>7?9d:&735=6<8;;I6;2>N3?81/=:j5e:&11g<2?l1b5<4?::k250<722e8=;4?::`053<72<096;u+4549531<,;?i689j;n122?6=3`9:97>5;h321?6=3`k?6=44i8394?=e9?=1<7850;2x 1>b28<27d?8b;29 1>b28=i76gn2;29 1>b2h807do<:18'0=c=i:10e9>>:18'0=c=<9;07d=ne;29 1>b2:kn76a=c083>!2?m38h=65rb5::>5<6290;w):7e;0ag>i5k=0;6):7e;0`5>=z{8;>6=4={_321>;6>>0:;o5rs8394?4|V0;01<88:`18yvg32909wSo;;<353?5fm2wx?<;50;0xZ67234;=;7:?1:p740=838pR>?9;<353?4d92wx8:<50;0x94002h801966:3a7?x{e:3:1=7>50z&735<>3->?:7?9e:&7<`<31=1/>8l556g8k40c290/85k52b38?xu49?0;6?uQ304896712:;=7p}>1483>7}Y98?01>?9:036?xu>93:1>vP61:?053<>92wx97>53z?053<49<16?<85a59>6?71l2.?;=4l;|a644=8391>7:t$565>4033A>3:6F;709'52b=m2.99o4:7d9j=4<722c:=84?::m053<722h8=;4?:481>3}#<=<1=;94$37a>01b3f9::7>5;h121?6=3`;:97>5;hc7>5<of:3:1(96j:`08?lg4290/85k5a298m166290/85k54138?l5fm3:1(96j:2cf?>i5k80;6):7e;0`5>=zj=226=4>:183!2?m38io6a=c583>!2?m38h=65rs036>5<5sW;:963>66823g=z{0;1<7?:;<353?2792wx?<850;0xZ67134;=;7>2;i?7psm2;295?6=8r.?;=46;%672?71m2.?4h4;959'60d==>o0c<8k:18'0=c=:j;07p}<1783>7}Y;8<01>?9:235?xu69<0;6?uQ1078967128;>7p}61;296~X>9278=;461:p1?6=;r78=;4<149>740=i=16>7?9d:&735<=50;196?2|,=>=6<8;;I6;2>N3?81/=:j5e:&11g<2?l1b5<4?::k250<722e8=;4?::`053<72<096;u+4549531<,;?i689j;n122?6=3`9:97>5;h321?6=3`k?6=44i8394?=e9?=1<7850;2x 1>b28<27d?8b;29 1>b28=i76gn2;29 1>b2h807do<:18'0=c=i:10e9>>:18'0=c=<9;07d=ne;29 1>b2:kn76a=c083>!2?m38h=65rb5::>5<6290;w):7e;0ag>i5k=0;6):7e;0`5>=z{8;>6=4={_321>;6>>0:;o5rs8394?4|V0;01<88:`18yvg32909wSo;;<353?5fm2wx?<;50;0xZ67234;=;7:?1:p740=838pR>?9;<353?4d92wx8:<50;0x94002h801966:3a7?x{e:3:1=7>50z&735<>3->?:7?9e:&7<`<31=1/>8l556g8k40c290/85k52b38?xu49?0;6?uQ304896712:;=7p}>1483>7}Y98?01>?9:036?xu>93:1>vP61:?053<>92wx97>53z?053<49<16?<85a59>6?71l2.?;=4l;|a64g=8391>7:t$565>4033A>3:6F;709'52b=n2.99o4:7d9j=4<722c:=84?::m053<722h8=;4?:481>3}#<=<1=;94$37a>01b3f9::7>5;h121?6=3`;:97>5;hc7>5<of:3:1(96j:`08?lg4290/85k5a298m166290/85k54138?l5fm3:1(96j:2cf?>i5k80;6):7e;0`5>=zj=226=4>:183!2?m38io6a=c583>!2?m38h=65rs036>5<5sW;:963>66823g=z{0;1<7?:;<353?2792wx?<850;0xZ67134;=;7>2;i?7psm2;295?6=8r.?;=46;%672?71m2.?4h4;959'60d==>o0c<8k:18'0=c=:j;07p}<1783>7}Y;8<01>?9:235?xu69<0;6?uQ1078967128;>7p}61;296~X>9278=;461:p1?6=;r78=;4<149>740=i=16>7?9d:&735o69h0;66g>1c83>>i3890;66l;9383>6<729q/89854978L1>13A><=6*;8d87<1=#:5<#<1o1=:l4;h345?6=,=2n6<9m;:m1f<<72->3i7a:?7=7<6?81v719~w1672909wS:?0:?7=7<5j01vqo?;3;297?7=;rB?;<5+454960`5<5<4290;w):;6;6;1>N30?1C8:?4$5:f>1>33-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98k7d>290/85k52b38?xu69h0;6?uQ10c891?528=:7p}>1c83>7}Y98h0197=:053?xu3890;6?uQ412891?52;h27psm15094?5=939pD99>;%672?42n2c:=l4?::k25g<722e?<=4?::`7=7<72:0;6=u+45490=3<@=2=7E:81:&7<`<30=1/>8l556g8m417290/85k516`8?l7093:1(96j:05a?>i5j00;6):7e;0`5>=z{8;j6=4={_32e>;31;0:;<5rs03a>5<5sW;:n63;938235=z{=:;6=4={_634>;31;09n45r}c6e2?6=:3:1;%6;a?>b3-8>n7;8e:k22c<72->3i7?8b:9l6g?=83.?4h4=c09K0=b<3th:i<4?:383>5}#<=<1=:k4H5:5?M2092.?4h47e:&11g<2?l1b=;h50;&7<`<6?k10c?l6:18'0=c=:j;0D96k;:a5`4=8381<7>t$565>41b3A>3:6F;709'0=c=0l1/>8l556g8m40a290/85k516`8?j4e13:1(96j:3a2?M2?l21vn5<7s->?:7:=;I6;2>N3?81/85k5409'60d==>o0e<9?:18'0=c=9>h07d?81;29 1>b28=i76a=b883>!2?m38h=65rb5ga>5<4290;w):;6;0aa>N30?1C8:?4$5:f>7dc3-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98k7d>290/85k52b38?xd3??0;6?4?:1y'010=9>o0D969;I645>"30l03i6*=5c863`=n9?l1<7*;8d823g=2B?;<5+49g9<`=#:5<#<1o1=:l4;n0a=?6=,=2n6?m>;I6;`>=zj<;;6=4=:183!23>3;01b3`;=j7>5$5:f>41e32e9n44?:%6;a?4d92B?4i54}c766?6=:3:1;%6;a?>b3-8>n7;8e:k22c<72->3i7?8b:9l6g?=83.?4h4=c09K0=b<3th>9h4?:383>5}#<=<1=:k4H5:5?M2092.?4h47e:&11g<2?l1b=;h50;&7<`<6?k10c?l6:18'0=c=:j;0D96k;:a516=8381<7>t$565>41b3A>3:6F;709'0=c=0l1/>8l556g8m40a290/85k516`8?j4e13:1(96j:3a2?M2?l21vn<:>:180>5<7s->?:7:=;I6;2>N3?81/85k5409'60d==>o0e<9?:18'0=c=9>h07d?81;29 1>b28=i76a=b883>!2?m38h=65rb01`>5<5290;w):;6;34a>N30?1C8:?4$5:f>=c<,;?i689j;h35b?6=,=2n6<9m;:m1f<<72->3i753;294~"36F;879K027<,=2n69?4$37a>01b3`;<<7>5$5:f>41e32c:;<4?:%6;a?70j21d>o750;&7<`<5k810qo?<8;296?6=8r.?8;4>7d9K0=0<@==:7):7e;:f?!42j3?6g83>!2?m3;4?:1y'010=<;1C8584H552?!2?m3>:7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=h4?:383>5}#<=<1=:k4H5:5?M2092.?4h47e:&11g<2?l1b=;h50;&7<`<6?k10c?l6:18'0=c=:j;0D96k;:a510=8391<7>t$565>14<@=2=7E:81:&7<`<392.99o4:7d9j526=83.?4h4>7c98m416290/85k516`8?j4e13:1(96j:3a2?>{e9=21<7=50;2x 1212=80D969;I645>"30l0?=6*=5c863`=n9>:1<7*;8d823g=5$5:f>7e632wi8ll50;094?6|,=>=6<9j;I6;2>N3?81/85k58d9'60d==>o0e<8i:18'0=c=9>h07bb2;i:7E:7d:9~f4`229096=4?{%672?70m2B?4;5G4638 1>b21o0(?;m:45f?l71n3:1(96j:05a?>i5j00;6):7e;0`5>N30m10qo?i6;296?6=8r.?8;4>7d9K0=0<@==:7):7e;:f?!42j3?6g83>!2?m3;0;694?:1y'010=<:1C8584H552?!2?m3>:7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=5$5:f>7e632wi>=6?lj;I6;2>N3?81/85k52cf8 73e2<=n7d?80;29 1>b28=i76g>7083>!2?m3;;%6;a?>b3-8>n7;8e:k22c<72->3i7?8b:9l6g?=83.?4h4=c09K0=b<3th9=h4?:283>5}#<=<1>ok4H5:5?M2092.?4h4=be9'60d==>o0e<9?:18'0=c=9>h07d?81;29 1>b28=i76a=b883>!2?m38h=65rb33e>5<4290;w):;6;61?M2?>2B?;<5+49g904=#:5<#<1o1=:l4;h345?6=,=2n6<9m;:m1f<<72->3i7b2;ho7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=5}#<=<18?5G4948L1163->3i7:>;%06f?30m2c:;=4?:%6;a?70j21b=:?50;&7<`<6?k10c?l6:18'0=c=:j;07pl=1783>7<729q/898516g8L1>13A><=6*;8d8;a>"5=k0>;h5f17d94?"30l0:;o54o3`:>5<#<1o1>n?4H5:g?>{e:8=1<7<50;2x 12128=n7E:76:J734=#<1o14h5+24`912c5$5:f>7e63A>3h65rb33;>5<4290;w):;6;61?M2?>2B?;<5+49g904=#:5<#<1o1=:l4;h345?6=,=2n6<9m;:m1f<<72->3i729086=4?{%672?253A>3:6F;709'0=c=<81/>8l556g8m417290/85k516`8?l7093:1(96j:05a?>i5j00;6):7e;0`5>=zj;:i6=4=:183!23>3;01b3`;=j7>5$5:f>41e32e9n44?:%6;a?4d92B?4i54}c03g?6=:3:1;%6;a?>b3-8>n7;8e:k22c<72->3i7?8b:9l6g?=83.?4h4=c09K0=b<3th95}#<=<18?5G4948L1163->3i7:>;%06f?30m2c:;=4?:%6;a?70j21b=:?50;&7<`<6?k10c?l6:18'0=c=:j;07pl=0d83>6<729q/89852cg8L1>13A><=6*;8d81fa=#:5<#<1o1=:l4;h345?6=,=2n6<9m;:m1f<<72->3i73:6F;709'0=c=<81/>8l556g8m417290/85k516`8?l7093:1(96j:05a?>i5j00;6):7e;0`5>=zj;;;6=4<:183!23>38ii6F;879K027<,=2n6?lk;%06f?30m2c:;=4?:%6;a?70j21b=:?50;&7<`<6?k10c?l6:18'0=c=:j;07pl=0783>6<729q/89852cg8L1>13A><=6*;8d81fa=#:5<#<1o1=:l4;h345?6=,=2n6<9m;:m1f<<72->3i7b21o0(?;m:45f?l71n3:1(96j:05a?>i5j00;6):7e;0`5>N30m10qo;0(96j:538 73e2<=n7d?80;29 1>b28=i76g>7083>!2?m3;5<#<1o1>n?4;|`62<<72<0;6=u+454901=O<1<0D99>;%6;a?263-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98m415290/85k516`8?l70;3:1(96j:05a?>i5j00;6):7e;0`5>=zj<3;01b3`;=j7>5$5:f>41e32e9n44?:%6;a?4d92B?4i54}c75f?6=:3:1;%6;a?>b3-8>n7;8e:k22c<72->3i7?8b:9l6g?=83.?4h4=c09K0=b<3th>:n4?:283>5}#<=<18?5G4948L1163->3i7:>;%06f?30m2c:;=4?:%6;a?70j21b=:?50;&7<`<6?k10c?l6:18'0=c=:j;07pl:6e83>6<729q/8985439K0=0<@==:7):7e;62?!42j3?7183>!2?m3;5<#<1o1>n?4;|`25`<72<0;6=u+45496f6<@=2=7E:81:&7<`<5jm1/>8l556g8m417290/85k516`8?l7093:1(96j:05a?>o6?;0;6):7e;34f>=n9>91<7*;8d823g=5}#<=<18?5G4948L1163->3i7:>;%06f?30m2c:;=4?:%6;a?70j21b=:?50;&7<`<6?k10c?l6:18'0=c=:j;07pl>2183>7<729q/898516g8L1>13A><=6*;8d8;a>"5=k0>;h5f17d94?"30l0:;o54o3`:>5<#<1o1>n?4H5:g?>{e9;;1<7=50;2x 1212=80D969;I645>"30l0?=6*=5c863`=n9>:1<7*;8d823g=5$5:f>7e632wi=?<50;194?6|,=>=69<4H5:5?M2092.?4h4;1:&11g<2?l1b=:>50;&7<`<6?k10e<9>:18'0=c=9>h07bb2;i:76sm13194?5=83:p(9:9:3`f?M2?>2B?;<5+49g96gb<,;?i689j;h344?6=,=2n6<9m;:k234<72->3i7?8b:9l6g?=83.?4h4=c098yg37>3:1?7>50z&703<5jl1C8584H552?!2?m38ih6*=5c863`=n9>:1<7*;8d823g=5$5:f>7e632wi9=950;094?6|,=>=6<9j;I6;2>N3?81/85k58d9'60d==>o0e<8i:18'0=c=9>h07bb2;i:7E:7d:9~f06?29086=4?{%672?253A>3:6F;709'0=c=<81/>8l556g8m417290/85k516`8?l7093:1(96j:05a?>i5j00;6):7e;0`5>=zj<:26=4=:183!23>3;01b3`;=j7>5$5:f>41e32e9n44?:%6;a?4d92B?4i54}c73e?6=;3:1N30?1C8:?4$5:f>17<,;?i689j;h344?6=,=2n6<9m;:k234<72->3i7?8b:9l6g?=83.?4h4=c098yg37j3:1?7>50z&703<3:2B?4;5G4638 1>b2=;0(?;m:45f?l7083:1(96j:05a?>o6?80;6):7e;34f>=h:k31<7*;8d81g4=53;294~"33i750;&7<`<6?k10e<9>:18'0=c=9>h07bb2;i:76sm51f94?2=83:p(9:9:3`e?M2?>2B?;<5+49g96gb<,;?i689j;h344?6=,=2n6<9m;:k234<72->3i7?8b:9j524=83.?4h4>7c98k7d>290/85k52b38?xd28l0;6>4?:1y'010=<;1C8584H552?!2?m3>:7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=854?:283>5}#<=<1>ok4H5:5?M2092.?4h4=be9'60d==>o0e<9?:18'0=c=9>h07d?81;29 1>b28=i76a=b883>!2?m38h=65rb46:>5<5290;w):;6;34a>N30?1C8:?4$5:f>=c<,;?i689j;h35b?6=,=2n6<9m;:m1f<<72->3i753;294~"36F;879K027<,=2n69?4$37a>01b3`;<<7>5$5:f>41e32c:;<4?:%6;a?70j21d>o750;&7<`<5k810qo;;b;296?6=8r.?8;4>7d9K0=0<@==:7):7e;:f?!42j3?6g83>!2?m3;4?:1y'010=<;1C8584H552?!2?m3>:7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=8i4?:283>5}#<=<18?5G4948L1163->3i7:>;%06f?30m2c:;=4?:%6;a?70j21b=:?50;&7<`<6?k10c?l6:18'0=c=:j;07pl:4d83>6<729q/89852cg8L1>13A><=6*;8d81fa=#:5<#<1o1=:l4;h345?6=,=2n6<9m;:m1f<<72->3i7b2;ho7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=5$5:f>7e632wi98>50;194?6|,=>=69<4H5:5?M2092.?4h4;1:&11g<2?l1b=:>50;&7<`<6?k10e<9>:18'0=c=9>h07bb2;i:76sm57294?3=83:p(9:9:568L1>13A><=6*;8d875>"5=k0>;h5f16294?"30l0:;o54i052>5<#<1o1=:l4;h346?6=,=2n6<9m;:k236<72->3i7?8b:9l6g?=83.?4h4=c098yg3193:1>7>50z&703<6?l1C8584H552?!2?m32n7)<:b;74a>o6>o0;6):7e;34f>=h:k31<7*;8d81g4=O<1n07pl:6383>7<729q/898516g8L1>13A><=6*;8d8;a>"5=k0>;h5f17d94?"30l0:;o54o3`:>5<#<1o1>n?4H5:g?>{e=?91<7<50;2x 12128=n7E:76:J734=#<1o14h5+24`912c5$5:f>7e63A>3h65rb447>5<5290;w):;6;34a>N30?1C8:?4$5:f>=c<,;?i689j;h35b?6=,=2n6<9m;:m1f<<72->3i753;294~"36F;879K027<,=2n69?4$37a>01b3`;<<7>5$5:f>41e32c:;<4?:%6;a?70j21d>o750;&7<`<5k810qo;96;297?6=8r.?8;4=bd9K0=0<@==:7):7e;0a`>"5=k0>;h5f16294?"30l0:;o54i052>5<#<1o1=:l4;n0a=?6=,=2n6?m>;:a131=83>1<7>t$565>15<@=2=7E:81:&7<`<392.99o4:7d9j526=83.?4h4>7c98m416290/85k516`8?l70:3:1(96j:05a?>i5j00;6):7e;0`5>=zj8k26=4=:183!23>3;01b3`;=j7>5$5:f>41e32e9n44?:%6;a?4d92B?4i54}c3be?6=:3:1;%6;a?>b3-8>n7;8e:k22c<72->3i7?8b:9l6g?=83.?4h4=c09K0=b<3th:mo4?:383>5}#<=<1=:k4H5:5?M2092.?4h47e:&11g<2?l1b=;h50;&7<`<6?k10c?l6:18'0=c=:j;0D96k;:a5de=8381<7>t$565>41b3A>3:6F;709'0=c=0l1/>8l556g8m40a290/85k516`8?j4e13:1(96j:3a2?M2?l21vn5<7s->?:7?8e:J7<3=O<>;0(96j:9g8 73e2<=n7d?9f;29 1>b28=i76a=b883>!2?m38h=6F;8e98yg7fm3:1>7>50z&703<6?l1C8584H552?!2?m32n7)<:b;74a>o6>o0;6):7e;34f>=h:k31<7*;8d81g4=O<1n07pl>ag83>3<729q/8985449K0=0<@==:7):7e;62?!42j3?7183>!2?m3;5<#<1o1=:l4;h347?6=,=2n6<9m;:k231<72->3i7?8b:9l6g?=83.?4h4=c098yg7e83:187>50z&703<5jo1C8584H552?!2?m38ih6*=5c863`=n9>:1<7*;8d823g=7>5$5:f>41e32e9n44?:%6;a?4d921vn5<7s->?:7?8e:J7<3=O<>;0(96j:9g8 73e2<=n7d?9f;29 1>b28=i76a=b883>!2?m38h=6F;8e98yg7aj3:1>7>50z&703<6?l1C8584H552?!2?m32n7)<:b;74a>o6>o0;6):7e;34f>=h:k31<7*;8d81g4=O<1n07pl>fb83>7<729q/898516g8L1>13A><=6*;8d8;a>"5=k0>;h5f17d94?"30l0:;o54o3`:>5<#<1o1>n?4H5:g?>{e9on1<7<50;2x 12128=n7E:76:J734=#<1o14h5+24`912c5$5:f>7e63A>3h65rb0df>5<5290;w):;6;34a>N30?1C8:?4$5:f>=c<,;?i689j;h35b?6=,=2n6<9m;:m1f<<72->3i752;294~"33i76j;%06f?30m2c::k4?:%6;a?70j21d>o750;&7<`<5k81C85j4;|`145<72;0;6=u+454952c<@=2=7E:81:&7<`7c98k7d>290/85k52b38L1>c32wi>=?50;194?6|,=>=69<4H5:5?M2092.?4h4;1:&11g<2?l1b=:>50;&7<`<6?k10e<9>:18'0=c=9>h07bb2;i:76sm21094?>=83:p(9:9:548L1>13A><=6*;8d875>"5=k0>;h5f16294?"30l0:;o54i052>5<#<1o1=:l4;h346?6=,=2n6<9m;:k236<72->3i7?8b:9j522=83.?4h4>7c98m412290/85k516`8?l70>3:1(96j:05a?>i5j00;6):7e;0`5>=zj8lj6=4;:183!23>38ij6F;879K027<,=2n6?lk;%06f?30m2c:;=4?:%6;a?70j21b=:?50;&7<`<6?k10e<9=:18'0=c=9>h07bb2;i:76sm3583>0<729q/89852b28L1>13A><=6*;8d81fa=#:5<#<1o1=:l4;h345?6=,=2n6<9m;:k237<72->3i7?8b:9j525=83.?4h4>7c98k7d>290/85k52b38?xd4>3:1?7>50z&703<3:2B?4;5G4638 1>b2=;0(?;m:45f?l7083:1(96j:05a?>o6?80;6):7e;34f>=h:k31<7*;8d81g4=3;01b3`;=j7>5$5:f>41e32e9n44?:%6;a?4d92B?4i54}c1;>5<5290;w):;6;34a>N30?1C8:?4$5:f>=c<,;?i689j;h35b?6=,=2n6<9m;:m1f<<72->3i73;01b3`;=j7>5$5:f>41e32e9n44?:%6;a?4d92B?4i54}c1b>5<5290;w):;6;34a>N30?1C8:?4$5:f>=c<,;?i689j;h35b?6=,=2n6<9m;:m1f<<72->3i73>97E:76:J734=#<1o18<5+24`912c5$5:f>41e32e9n44?:%6;a?4d921vn>m50;794?6|,=>=69:4H5:5?M2092.?4h4;1:&11g<2?l1b=:>50;&7<`<6?k10e<9>:18'0=c=9>h07d?82;29 1>b28=i76g>7283>!2?m3;5<4290;w):;6;0aa>N30?1C8:?4$5:f>7dc3-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98k7d>290/85k52b38?xd4=3:1?7>50z&703<5jl1C8584H552?!2?m38ih6*=5c863`=n9>:1<7*;8d823g=5$5:f>7e632wi=5=50;194?6|,=>=6?lj;I6;2>N3?81/85k52cf8 73e2<=n7d?80;29 1>b28=i76g>7083>!2?m3;;%6;a?>b3-8>n7;8e:k22c<72->3i7?8b:9l6g?=83.?4h4=c09K0=b<3th:4i4?:383>5}#<=<1=:k4H5:5?M2092.?4h47e:&11g<2?l1b=;h50;&7<`<6?k10c?l6:18'0=c=:j;0D96k;:a5=c=8391<7>t$565>14<@=2=7E:81:&7<`<392.99o4:7d9j526=83.?4h4>7c98m416290/85k516`8?j4e13:1(96j:3a2?>{e91l1<7<50;2x 12128=n7E:76:J734=#<1o14h5+24`912c5$5:f>7e63A>3h65rb0;3>5<5290;w):;6;34a>N30?1C8:?4$5:f>=c<,;?i689j;h35b?6=,=2n6<9m;:m1f<<72->3i752;294~"33i76j;%06f?30m2c::k4?:%6;a?70j21d>o750;&7<`<5k81C85j4;|`2=7<72;0;6=u+454952c<@=2=7E:81:&7<`7c98k7d>290/85k52b38L1>c32wi=4=50;094?6|,=>=6<9j;I6;2>N3?81/85k58d9'60d==>o0e<8i:18'0=c=9>h07bb2;i:7E:7d:9~f4>329086=4?{%672?253A>3:6F;709'0=c=<81/>8l556g8m417290/85k516`8?l7093:1(96j:05a?>i5j00;6):7e;0`5>=zj82>6=4<:183!23>3>97E:76:J734=#<1o18<5+24`912c5$5:f>41e32e9n44?:%6;a?4d921vn<69:185>5<7s->?:7::;I6;2>N3?81/85k5409'60d==>o0e<9?:18'0=c=9>h07d?81;29 1>b28=i76g>7383>!2?m3;5<#<1o1=:l4;n0a=?6=,=2n6?m>;:a5=1=8391<7>t$565>7db3A>3:6F;709'0=c=:kn0(?;m:45f?l7083:1(96j:05a?>o6?80;6):7e;34f>=h:k31<7*;8d81g4=53;294~"33i750;&7<`<6?k10e<9>:18'0=c=9>h07bb2;i:76sm19;94?5=83:p(9:9:508L1>13A><=6*;8d875>"5=k0>;h5f16294?"30l0:;o54i052>5<#<1o1=:l4;n0a=?6=,=2n6?m>;:a5=g=8391<7>t$565>7db3A>3:6F;709'0=c=:kn0(?;m:45f?l7083:1(96j:05a?>o6?80;6):7e;34f>=h:k31<7*;8d81g4=54;294~"33i750;&7<`<6?k10e<9>:18'0=c=9>h07d?82;29 1>b28=i76a=b883>!2?m38h=65rb5cg>5<4290;w):;6;0aa>N30?1C8:?4$5:f>7dc3-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98k7d>290/85k52b38?xd3io0;6?4?:1y'010=9>o0D969;I645>"30l03i6*=5c863`=n9?l1<7*;8d823g=2B?;<5+49g9<`=#:5<#<1o1=:l4;n0a=?6=,=2n6?m>;I6;`>=zj=h:6=4=:183!23>3;01b3`;=j7>5$5:f>41e32e9n44?:%6;a?4d92B?4i54}c6a6?6=:3:1;%6;a?>b3-8>n7;8e:k22c<72->3i7?8b:9l6g?=83.?4h4=c09K0=b<3th?n>4?:383>5}#<=<1=:k4H5:5?M2092.?4h47e:&11g<2?l1b=;h50;&7<`<6?k10c?l6:18'0=c=:j;0D96k;:a0g2=8391<7>t$565>7db3A>3:6F;709'0=c=:kn0(?;m:45f?l7083:1(96j:05a?>o6?80;6):7e;34f>=h:k31<7*;8d81g4=i97>53;294~"36F;879K027<,=2n69?4$37a>01b3`;<<7>5$5:f>41e32c:;<4?:%6;a?70j21d>o750;&7<`<5k810qo:m6;290?6=8r.?8;4;3:J7<3=O<>;0(96j:538 73e2<=n7d?80;29 1>b28=i76g>7083>!2?m3;5<#<1o1>n?4;|`7e`<72:0;6=u+454907=O<1<0D99>;%6;a?263-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98k7d>290/85k52b38?xd3n00;6>4?:1y'010=<;1C8584H552?!2?m3>:7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=5}#<=<1>ok4H5:5?M2092.?4h4=be9'60d==>o0e<9?:18'0=c=9>h07d?81;29 1>b28=i76a=b883>!2?m38h=65rb5da>5<4290;w):;6;61?M2?>2B?;<5+49g904=#:5<#<1o1=:l4;h345?6=,=2n6<9m;:m1f<<72->3i7b21o0(?;m:45f?l71n3:1(96j:05a?>i5j00;6):7e;0`5>N30m10qo?;d;297?6=8r.?8;4;2:J7<3=O<>;0(96j:538 73e2<=n7d?80;29 1>b28=i76g>7083>!2?m3;;%6;a?>b3-8>n7;8e:k22c<72->3i7?8b:9l6g?=83.?4h4=c09K0=b<3th:8k4?:383>5}#<=<1=:k4H5:5?M2092.?4h47e:&11g<2?l1b=;h50;&7<`<6?k10c?l6:18'0=c=:j;0D96k;:a506=8381<7>t$565>41b3A>3:6F;709'0=c=0l1/>8l556g8m40a290/85k516`8?j4e13:1(96j:3a2?M2?l21vn<;>:181>5<7s->?:7?8e:J7<3=O<>;0(96j:9g8 73e2<=n7d?9f;29 1>b28=i76a=b883>!2?m38h=6F;8e98yg72:3:1?7>50z&703<3:2B?4;5G4638 1>b2=;0(?;m:45f?l7083:1(96j:05a?>o6?80;6):7e;34f>=h:k31<7*;8d81g4=?7>53;294~"36F;879K027<,=2n69?4$37a>01b3`;<<7>5$5:f>41e32c:;<4?:%6;a?70j21d>o750;&7<`<5k810qo?:4;297?6=8r.?8;4=bd9K0=0<@==:7):7e;0a`>"5=k0>;h5f16294?"30l0:;o54i052>5<#<1o1=:l4;n0a=?6=,=2n6?m>;:a51d=83>1<7>t$565>15<@=2=7E:81:&7<`<392.99o4:7d9j526=83.?4h4>7c98m416290/85k516`8?l70:3:1(96j:05a?>i5j00;6):7e;0`5>=zj8>h6=4<:183!23>38ii6F;879K027<,=2n6?lk;%06f?30m2c:;=4?:%6;a?70j21b=:?50;&7<`<6?k10c?l6:18'0=c=:j;07pl>3183>7<729q/898516g8L1>13A><=6*;8d8;a>"5=k0>;h5f17d94?"30l0:;o54o3`:>5<#<1o1>n?4H5:g?>{e9:;1<7:50;2x 1212;hm7E:76:J734=#<1o1>oj4$37a>01b3`;<<7>5$5:f>41e32c:;<4?:%6;a?70j21b=:<50;&7<`<6?k10c?l6:18'0=c=:j;07pl>3383>7<729q/898516g8L1>13A><=6*;8d8;a>"5=k0>;h5f17d94?"30l0:;o54o3`:>5<#<1o1>n?4H5:g?>{e9:91<7=50;2x 1212=80D969;I645>"30l0?=6*=5c863`=n9>:1<7*;8d823g=5$5:f>7e632wi=>:50;194?6|,=>=69<4H5:5?M2092.?4h4;1:&11g<2?l1b=:>50;&7<`<6?k10e<9>:18'0=c=9>h07bb2;i:76sm12794?5=83:p(9:9:3`f?M2?>2B?;<5+49g96gb<,;?i689j;h344?6=,=2n6<9m;:k234<72->3i7?8b:9l6g?=83.?4h4=c098yv572908wS=?;<13>671349h6<9?;|q07?6=:r78<7?>5:?01?4e12wx?94?:3y>71<5j016?84>719~w60=838p1>852c;896d=9>:0q~=8:1818502;h270=m:052?xu403:1>v3<8;0a=>;4k3;<=6s|3883>7}:;009n4523b8237=z{:k1<75<5s49i6?l6;<1g>4173ty8o7>52z?0g?4e1278h7?81:p7a<72;q6?i4=b89>70<6?81v:m50;5xZ2e<58l<6<9>;<02`?71n279=84>709>73<6?916=5k5163891`e28=;7p}62;2955}Y1;16?=461:?2<4<>927:j>461:?10=<>927:hk461:?:`??634;:o77>;<037??6348;877>;<03=??6348:=77>;<026??6348:?77>;<02e??634>jn7?9f:p==<72=qU555254;9=4=:1m0:=85213g953`67134;m97?9f:?15f<6?816><9517d8976b28=;70;6:90::k5251;953`<5<>i6<8i;<3;3?70827?mi4>709~w47d2908w0?>c;122>;6:80:;=524gc952652z?25f<69<16=?=52c;8yv76m3:1>v3>1d81f<=:9;;1=:?4}r32b?6=:r7:=k4=b89>574=9>:0q~?=0;296~;6:909n452130952752z?264<5j016=?=51628yv75:3:1>v3>2381f<=:9;91=:?4}r310?6=95214f9543<58>j6<8i;<304?71n2wx=?650;cx944d2:;=70?;2;32e>;66g9>51b=9>:01<:j:04e?874:3;=j6s|13f94??|588h64?4=557><7<58k<64?4=0;6><7<58?o64?4=01f><7<589j64?4=015><7<588n6?l6;|q26c<72;q6=?m5107894522;h27p}>3183>7}:9::1>o74=010>4163ty:?<4?:3y>567=:k301<=;:053?xu6;;0;6?u212096g?<589?6<9>;|q276<72;q6=>=52c;8945228=;7p}>3583>7}:9:>1>o74=016>4163ty:>44?:9y>560=;8<01<:=:03a?873>3;<<63:6`822c=:=?>1=;h4=0ca>40a34;>=7?9f:?274<6?91v<=8:181874>3;:963>3881f<=z{8936=4={<30709~w44f2902w0?;6<:0:=o5215:9526<5<<26<9<;<754?70927>:?4>6g9>5de=9?l01<;?:04e?87493;<=6s|12`94?4|589j6m50;0x945d2;h270?{t9;h1<76t=01f>67134;?87?>b:?62g<6>o169;=517d894ga28=:70?;d;345>;652z?27`<69<16=9?52c;8yv7383:1>v3>4181f<=:9=;1=:?4}r371?6=;r7:8>4>1`9>510=:k301<:7:052?xu6<>0;6?u2156954g<58>36?l6;|q20<<72=q6=9>517d8945d28;65<5s4;?m74b8234=z{8>o6=4={<37`?4e127:994>719~w42b2909w0?;e;0a=>;6=:0:;=5rs06e>5<5s4;?j74c8235=z{8?:6=4={<365?4e127:8o4>739~w4352909w0?:2;0a=>;65<5s4;>?74c8234=z{8?>6=4={<376?27827:?44>719~w4312909w0?;3;634>;6;m0:;=5rs074>5<5s4;?87:?0:?204<6?91v<;k:180872l39::63>538235=:9:91=:>4}r3;5?6==rT:4<521939740<5<<26<9>;<754?70:27:4;4>709~w4>52909w0?71;321>;60k09n45rs0:0>5<5s4;3?7868234=z{82>6=4={<3;1?4e127:454>719~w4>12909w0?76;0a=>;6010:;<5rs0:4>5<5s4;3;78c8237=z{8226=4={<3;=?4e127:4l4>709~w4>f2909w0?7a;0a=>;60k0:;=5rs0:`>5<5s4;3o7858234=z{82n6=4={<3;a?4e127:484>719~w4>a2909w0?7f;0a=>;60<0:;<5rs0;3>5<5s4;2<7:18187>938i563>878237=z{8396=4={<3:6?4e127:4;4>729~w4?42909w0?63;0a=>;60?0:;95rs0;6>5<2sW;2963>948053=::9h1=;h4=325>41734;3o7?9f:p5<0=838p1<7::036?87>?38i56s|1`594?3|V8k<70?n7;122>;58j0::k522149527<582o6<8i;|q2e=<72;q6=l95107894d72;h27p}>a883>7}:9h31>o74=0ce>4173ty:ml4?:3y>5dg=:k301ae83>7}:9hn1>o74=0`3>4173ty:mh4?:3y>5dc=:k301;|q2g2<72;qU=n94=42f>4173ty:oo4?:3y]5fd<58nm6;25o16>4}r3f5?6=:r7:i<4=b89>5`5=9>:0q~?j2;296~;6m;09n4521d1952753z?2b6<49?16>=<5162891`>28=:7p}>f583>7}:9o=1>o74=0d:>40a3ty:j84?:3y>5c3=:k301f883>7}:9o31>o74=322>4163ty:jo4?:3y>5cd=:k301?>=:052?xu6nj0;6?u21ga96g?<5;:96<9=;|q2ba<72;q6=kj52c;8976528=87p}>fd83>7}:9oo1>o74=321>4123ty:jk4?:3y>5c`=:k301?>=:057?xu5890;6?u221296g?<5;:96<99;|q144<72;q6>=?52c;894`f28=;7p}=0383>7}::981>o74=0db>4153ty9<>4?:4y>655=;8<01?;61:0::k5rs327>5<2s48;?7?>5:?141<49?16=kk517d896>=9?l01<7=:04e?xu58<0;6?u22169543<5;:36?l6;|q143<72;q6>=852c;8976?28=;7p}=0683>7}::9=1>o74=32;>4153ty9<44?:by>65?=;8<019km:053?847n3;<<63=098234=:98o1=:=4=42`>41734??i7?80:?2bc<6>o16?94>719>5<7=9?l01<66:053?82e;3;=j6s|21c94?4|5;:26=l50;0x976e2;h270{t:9i1<77d>348;h7?81:p65b=838p1?>k:3`:?847m3;<=6s|21g94?4|5;:n6?l6;<03b?7092wx>=h50;0x976a2;h270<>0;345>{t:8;1<7ot=332>67134>nn7?81:?155<6?916=;6nm0::k52358234=:91k1=:>4=5`1>40a3ty9=?4?:8y>647=98?01??=:235?876m3;<=63:078234=:==21=:?4=0d`>40a349?6<9<;<3;7?70827?n=4>6g9~w7742902w0<>2;321>;59:08=;5210g9526<5<:j6<9?;<77g?70827:jo4>6g9>71<6?;16=5=5163891d6287}::891=<;4=33:>7d>3ty9=84?:3y>643=:k301??6:053?xu59?0;6?u220496g?<5;;36<9?;|q152<72;q6><952c;8977?28=:7p}=1983>7}::821>o74=33:>4163ty9=l4?:ey>64g=;8<01??i:053?846=3;<<63>1g8235=:=921=:?4=46b>416348;<7?9f:?144<6?916?;4>709>7d<6>o16=5k5162894?728{t:8h1<74723489<7f;345>{t:8l1<77d>3489<7?81:p660=838pR?=9;<764?7082wx>>950;0xZ750348?47?>5:p61>=839p1?:7:235?87b93;=j63>1g8234=z{;h>6=4={<6;3?71l27>944n4:p022=839p199;:235?83113;<<63:618236=z{==>6=4={<640?76=27?;;4=b89~w1g>290?wS:n9:?7e<<49?16=4;5a59>5d1=i=1v9on:18682f133:70;;1;;2?82an33:70:j8;;2?82fj38i56s|4`a94?4|5=k26{t7d>34>i87?81:p0g6=838p19l?:3`:?82e>3;<<6s|4c394?4|5=h:6?l6;<6a1?7082wx8o<50;0x91d52;h270:m5;345>{t7d>34>ji7?81:p0g2=838p19l;:3`:?82e>3;<=6s|4c794?4|5=h>6?l6;<6a2?70:2wx8o850;0x91d12;h270:ne;344>{t?3;=j6s|4ef94?4|V=no70?nd;35b>{tm:7=838p19h9:04e?82aj38i56s|4g;94?4|5=l26?l6;<6ee?7092wx8ko50;0x91`f2;h270:ib;345>{t6=4={<724?71n27>;28m0:;<5rs424>5<5s4?;;77:181837038i563:0c8235=z{<:26=4={<73=?4e127>709~w06f2909w0;?a;0a=>;28j0:;<5rs42a>5<5s4?;n7l:181837k38i563:0e8235=z{<:o6=4={<73`?4e127>

709~w06a2909w0:if;321>;29909n45rs410>5<5sW?8?63>ad822c=z{<>:6=4<{_775>;2<808=;5255;953`52z?617<6>o1698>52c;8yv3303:1>v3:4981f<=:==l1=:?4}r77=?6=:r7>844=b89>11e=9>;0q~;;a;296~;252z?60g<5j01699j51638yv33k3:1>v3:4b81f<=:==o1=:?4}r77`?6=:r7>8i4=b89>11`=9>80q~;;e;296~;252z?60c<5j01698>51638yv3293:1>v3:408250=:=<81>o74}r76=?6=;rT>945254;9740<58kj6<8i;|q61a<72;q698751078903b2;h27p}:5g83>7}:=<31m?5257596g?52z?625<5j0169;851628yv3193:1>v3:6081f<=:=??1=:>4}r756?6=:r7>:?4=b89>133=9>;0q~;93;296~;2>:09n452575952652z?621<5j0169;951608yv31=3:1>v3:6481f<=:=?<1=:?4}r752?6=:r7>:;4=b89>131=9>;0q~;98;296~;2=l0::k5257f96g?52z?62<<5j0169;j51628yv31i3:1>v3:6`81f<=:=?i1=:>4}r75f?6=:r7>:o4=b89>13e=9>;0q~;9c;296~;2>j09n45257f952752z\62`=:<><1=;h4}|`7=0<72j0h>7m6{I645>"3>o?<3:17b:l7;29?l75<3:17d:ic;29?l??2900c>jn:188k0502900c:m50;9j02`=831d?<950;9a0=1=83;1<7>t$565>40b3A>3:6F;709'0=c=<0>0(?;m:45f?j71l3:1(96j:3a2?>{ekl0;684=:7y'010=9?<0D969;I645>"5=k0>;h5fa383>>of<3:17d7>:188m4722900c>?9:188f671290=6=4?{%6;a?7112c:;o4?:%6;a?70j21bm?4?:%6;a?g532cj?7>5$5:f>d5<3`>;=7>5$5:f>16632c8mh4?:%6;a?5fm21d>n?50;&7<`<5k810qo:7b;295?6=8r.?4h4;959l6f7=83.?4h4=c098yv56>3:1>vP<179>740=:j;0q~?>5;296~X69<16?<8516`8yvg32909wSo;;<122?5fm2wxm?4?:3y]e7=:;8<1m?5rs8394?4|V0;01>?9:`18yv71m3:1>v3<178744=:<1h1>n?4}|``f?6==381:v*;478223=O<1<0D99>;%06f?30m2cj>7>5;hc7>5<of:3:1(96j:`08?lg4290/85k5a298m166290/85k54138?l5fm3:1(96j:2cf?>i5k80;6):7e;0`5>=zj=2i6=4>:183!2?m3>286a=c083>!2?m38h=65rs235>5<5sW9::63<1781g4=z{8;>6=4={_321>;49?0:;o5rs`694?4|Vh>01>?9:2cf?xuf:3:1>vPn2:?0535rs04f>5<5s49::7:?1:?77<1s->?:7?96:J7<3=O<>;0(?;m:45f?lg52900el:50;9j=4<722c:=84?::m053<722h8=;4?:783>5}#<1o1=;74i05a>5<#<1o1=:l4;hc1>5<#<1o1m?54i`194?"30l0j?65f41394?"30l0?<<54i2cf>5<#<1o1?lk4;n0`5?6=,=2n6?m>;:a0=d=83;1<7>t$5:f>1?33f8h=7>5$5:f>7e632wx?<850;0xZ671349::75<5sWk970=>6;c1?xu>93:1>vP61:?053{zjj;1<7;52;4x 12128<=7E:76:J734=#:6;29?g56>3:1:7>50z&7<`<6>01b=:l50;&7<`<6?k10el<50;&7<`4?:%6;a?g432c?<<4?:%6;a?27921b?lk50;&7<`<4il10c?m>:18'0=c=:j;07pl;8c83>4<729q/85k54868k7e6290/85k52b38?xu49?0;6?uQ304896712;i:7p}>1483>7}Y98?01>?9:05a?xuf<3:1>vPn4:?053<4il1vl<50;0xZd4<5:;=6l<4}r;2>5<5sW3:70=>6;c0?xu6>l0;6?u23049057<5=2i6?m>;|af`<72<096;u+4549530<@=2=7E:81:&11g<2?l1bm?4?::kb0?6=3`3:6=44i036>5<5<1290;w):7e;35=>o6?k0;6):7e;34f>=ni;0;6):7e;c1?>of;3:1(96j:`18?l2793:1(96j:522?>o4il0;6):7e;1ba>=h:j;1<7*;8d81g4=3n7>51;294~"30l0?595`2b394?"30l09o<54}r122?6=:rT8=;5230496f752z\250=:;8<1=:l4}rc7>5<5sWk?70=>6;1ba>{ti;0;6?uQa39>740=i;1v4?50;0xZ<7<5:;=6l=4}r35a?6=:r78=;4;009>0=d=:j;0qplm3;291?4=>r.?8;4>679K0=0<@==:7)<:b;74a>of:3:17do;:188m<7=831b=<;50;9l740=831i?<850;494?6|,=2n6<86;h34f?6=,=2n6<9m;:kb6?6=,=2n6l<4;hc0>5<#<1o1m>54i522>5<#<1o18=?4;h1ba?6=,=2n6>oj;:m1g4<72->3i7e290:6=4?{%6;a?2><2e9o<4?:%6;a?4d921v>?9:181[56>278=;4=c09~w4722909wS?>5:?053<6?k1vl:50;0xZd2<5:;=6>oj;|qb6?6=:rTj>63<178b6>{t180;6?uQ909>740=i:1v<8j:181856>3>;=63;8c81g4=zuk>;?7>55;092~"3n7;8e:kb6?6=3`k?6=44i8394?=n98?1<75`30494?=e;8<1<7850;2x 1>b28<27d?8b;29 1>b28=i76gn2;29 1>b2h807do<:18'0=c=i:10e9>>:18'0=c=<9;07d=ne;29 1>b2:kn76a=c083>!2?m38h=65rb5:a>5<6290;w):7e;6:0>i5k80;6):7e;0`5>=z{:;=6=4={_122>;49?09o<5rs036>5<5sW;:963<17823g=z{h>1<7?1C8584H552?!70l3o0(?;m:45f?lg52900el:50;9j=4<722c:=84?::m053<722h8=;4?:783>5}#<1o1=;74i05a>5<#<1o1=:l4;hc1>5<#<1o1m?54i`194?"30l0j?65f41394?"30l0?<<54i2cf>5<#<1o1?lk4;n0`5?6=,=2n6?m>;:a0=d=83;1<7>t$5:f>1?33f8h=7>5$5:f>7e632wx?<850;0xZ671349::75<5sWk970=>6;c1?xu>93:1>vP61:?053{zj=?i6=4::385!23>3;=:6F;879K027<,8=o6h5+24`912c>o>93:17d?>5;29?j56>3:17o=>6;292?6=8r.?4h4>689j52d=83.?4h4>7c98md4=83.?4h4n2:9je6<72->3i7o<;:k744<72->3i7:?1:9j7dc=83.?4h40c?m>:18'0=c=:j;07p}<1783>7}Y;8<01>?9:3a2?xu69<0;6?uQ1078967128=i7p}n4;296~Xf<278=;4d43k87p}>6d83>7}:;8<18=?4=5:a>7e63twi88950;796?0|,=>=6<89;I6;2>N3?81/=:j5e:&11g<2?l1bm?4?::kb0?6=3`3:6=44i036>5<5<1290;w):7e;35=>o6?k0;6):7e;34f>=ni;0;6):7e;c1?>of;3:1(96j:`18?l2793:1(96j:522?>o4il0;6):7e;1ba>=h:j;1<7*;8d81g4=3n7>51;294~"30l0?595`2b394?"30l09o<54}r122?6=:rT8=;5230496f752z\250=:;8<1=:l4}rc7>5<5sWk?70=>6;1ba>{ti;0;6?uQa39>740=i;1v4?50;0xZ<7<5:;=6l=4}r35a?6=:r78=;4;009>0=d=:j;0qpl;5383>0<52?q/89851748L1>13A><=6*>7e8f?!42j3?t$5:f>40>3`;5$5:f>41e32cj>7>5$5:f>d4<3`k86=4+49g9e6=5$5:f>6gb32e9o<4?:%6;a?4d921vn96m:182>5<7s->3i7:64:m1g4<72->3i76:?053<5k81v7c9~wd2=838pRl:4=235>6gb3tyj>7>52z\b6>;49?0j>6s|9083>7}Y1816?<85a29~w40b2909w0=>6;635>;30k09o<5r}c61;%06f?30m2cj>7>5;hc7>5<of:3:1(96j:`08?lg4290/85k5a298m166290/85k54138?l5fm3:1(96j:2cf?>i5k80;6):7e;0`5>=zj=2i6=4>:183!2?m3>286a=c083>!2?m38h=65rs235>5<5sW9::63<1781g4=z{8;>6=4={_321>;49?0:;o5rs`694?4|Vh>01>?9:2cf?xuf:3:1>vPn2:?0535rs04f>5<5s49::7:?1:?77<1s->?:7?96:J7<3=O<>;0(?;m:45f?lg52900el:50;9j=4<722c:=84?::m053<722h8=;4?:783>5}#<1o1=;74i05a>5<#<1o1=:l4;hc1>5<#<1o1m?54i`194?"30l0j?65f41394?"30l0?<<54i2cf>5<#<1o1?lk4;n0`5?6=,=2n6?m>;:a0=d=83;1<7>t$5:f>1?33f8h=7>5$5:f>7e632wx?<850;0xZ671349::75<5sWk970=>6;c1?xu>93:1>vP61:?053{zj8<:6=4<:387!23>3;=86F;879K027<,;?i689j;h;2>5<6=44o235>5<3;=;6*=5c863`=h;8<1<75f30794?=n98?1<75fa583>>o>93:17o?97;292?6=8r.?4h4>689j52d=83.?4h4>7c98md4=83.?4h4n2:9je6<72->3i7o<;:k744<72->3i7:?1:9j7dc=83.?4h41483>7}Y98?01<88:05a?xu>93:1>vP61:?22252z\053=:9?=1>n?4}r646?6=:r7:::4n2:?7<<<5k=1vqo<50;394?6|,==;645+454953c<,=2n697;;%06f?30m2e::i4?:%6;a?4d921v>?9:181[56>278=;4<179~w4722909wS?>5:?053<69<1v4?50;0xZ<7<5:;=64?4}r794?5|5:;=6>?:;<122?g33481=;j4$553>f=zuk;=<7>53;090~"3n7;8e:k:5?6=3`;:97>5;n122?6=3k9::7>55;092~"35<6=44i`694?=n180;66l>6683>3<729q/85k517;8m41e290/85k516`8?lg5290/85k5a398md5=83.?4h4n3:9j057=83.?4h4;0098m6gb290/85k53`g8?j4d93:1(96j:3a2?>{e<131<7?50;2x 1>b2;hh7bb2;i:76s|10794?4|V8;>70?97;34f>{t180;6?uQ909>531=i:1vl:50;0xZd2<58<<6>oj;|q050<72;qU?<;4=044>1663ty8=;4?:3y]740<58<<6?m>;|q737<72;q6=;95a39>0=?=:j>0qpl=:182>5<7s-><<774$565>40b3->3i7:64:&11g<2?l1d=;j50;&7<`<5k810q~=>6;296~X49?16?<853048yv76=3:1>vP>149>740=98?0q~7>:181[?6349::77>;|q6>5<4s49::7=>5:?05353;090~"3n7;8e:k:5?6=3`;:97>5;n122?6=3k9::7>55;092~"35<6=44i`694?=n180;66l>6683>3<729q/85k517;8m41e290/85k516`8?lg5290/85k5a398md5=83.?4h4n3:9j057=83.?4h4;0098m6gb290/85k53`g8?j4d93:1(96j:3a2?>{e<131<7?50;2x 1>b2;hh7bb2;i:76s|10794?4|V8;>70?97;34f>{t180;6?uQ909>531=i:1vl:50;0xZd2<58<<6>oj;|q050<72;qU?<;4=044>1663ty8=;4?:3y]740<58<<6?m>;|q737<72;q6=;95a39>0=?=:j>0qpl=:182>5<7s-><<774$565>40b3->3i7:64:&11g<2?l1d=;j50;&7<`<5k810q~=>6;296~X49?16?<853048yv76=3:1>vP>149>740=98?0q~7>:181[?6349::77>;|q6>5<4s49::7=>5:?05353;090~"3n7;8e:k:5?6=3`;:97>5;n122?6=3k9::7>55;092~"35<6=44i`694?=n180;66l>6683>3<729q/85k517;8m41e290/85k516`8?lg5290/85k5a398md5=83.?4h4n3:9j057=83.?4h4;0098m6gb290/85k53`g8?j4d93:1(96j:3a2?>{e<131<7?50;2x 1>b2;hh7bb2;i:76s|10794?4|V8;>70?97;34f>{t180;6?uQ909>531=i:1vl:50;0xZd2<58<<6>oj;|q050<72;qU?<;4=044>1663ty8=;4?:3y]740<58<<6?m>;|q737<72;q6=;95a39>0=?=:j>0qpl=:182>5<7s-><<774$565>40b3->3i7:64:&11g<2?l1d=;j50;&7<`<5k810q~=>6;296~X49?16?<853048yv76=3:1>vP>149>740=98?0q~7>:181[?6349::77>;|q6>5<4s49::7=>5:?0531}#<=<1=;:4H5:5?M2092.99o4:7d9j=4<722c:=84?::m053<722h8=;4?:481>3}#<=<1=;94$37a>01b3f9::7>5;h121?6=3`;:97>5;hc7>5<of:3:1(96j:`08?lg4290/85k5a298m166290/85k54138?l5fm3:1(96j:2cf?>i5k80;6):7e;0`5>=zj=226=4>:183!2?m38io6a=c583>!2?m38h=65rs036>5<5sW;:963>66823g=z{0;1<7?:;<353?2792wx?<850;0xZ67134;=;7>2;i?7psm2;295?6=8r.?;=46;%672?71m2.?4h4;959'60d==>o0c<8k:18'0=c=:j;07p}<1783>7}Y;8<01>?9:235?xu69<0;6?uQ1078967128;>7p}61;296~X>9278=;461:p1?6=;r78=;4<149>740=i=16>7?9d:&735950;196?2|,=>=6<8;;I6;2>N3?81/>8l556g8m<7=831b=<;50;9l740=831i?<850;796?0|,=>=6<88;%06f?30m2e8=;4?::k050<722c:=84?::kb0?6=3`3:6=44b044>5<1290;w):7e;35=>o6?k0;6):7e;34f>=ni;0;6):7e;c1?>of;3:1(96j:`18?l2793:1(96j:522?>o4il0;6):7e;1ba>=h:j;1<7*;8d81g4=357>51;294~"30l09nn5`2b694?"30l09o<54}r321?6=:rT:=852175952d5:?222<3881v>?9:181[56>27:::4=c09~w1152909w0?97;c1?82?138h86srb383>4<729q/8:>59:&703<6>l1/85k54868 73e2<=n7b?9d;29 1>b2;i:76s|30494?4|V:;=70=>6;122>{t98?1<73;:96s|9083>7}Y1816?<85909~w0<72:q6?<85307896712h>01?4>6e9'026=k2wvn:m50;196?2|,=>=6<8;;I6;2>N3?81/>8l556g8m<7=831b=<;50;9l740=831i?<850;796?0|,=>=6<88;%06f?30m2e8=;4?::k050<722c:=84?::kb0?6=3`3:6=44b044>5<1290;w):7e;35=>o6?k0;6):7e;34f>=ni;0;6):7e;c1?>of;3:1(96j:`18?l2793:1(96j:522?>o4il0;6):7e;1ba>=h:j;1<7*;8d81g4=357>51;294~"30l09nn5`2b694?"30l09o<54}r321?6=:rT:=852175952d5:?222<3881v>?9:181[56>27:::4=c09~w1152909w0?97;c1?82?138h86srb383>4<729q/8:>59:&703<6>l1/85k54868 73e2<=n7b?9d;29 1>b2;i:76s|30494?4|V:;=70=>6;122>{t98?1<73;:96s|9083>7}Y1816?<85909~w0<72:q6?<85307896712h>01?4>6e9'026=k2wvn?8l:180>7<3s->?:7?94:J7<3=O<>;0(?;m:45f?l?62900e?9:186>7<1s->?:7?97:&11g<2?l1d?<850;9j743=831b=<;50;9je1<722c2=7>5;c353?6=>3:1h1<7*;8d823g==ni:0;6):7e;c0?>o3880;6):7e;635>=n;ho1<7*;8d80e`=5}#<1o1>om4o3a7>5<#<1o1>n?4;|q250<72;qU=<;4=044>41e3ty2=7>52z\:5>;6>>0j?6s|a583>7}Yi=16=;953`g8yv56=3:1>vP<149>531=<9;0q~=>6;296~X49?16=;952b38yv20:3:1>v3>668b6>;30009o95r}c094?7=83:p(99?:89'010=9?o0(96j:5;7?!42j3?6e83>!2?m38h=65rs235>5<5sW9::63<178053=z{8;>6=4={_321>;49?0:=85rs8394?4|V0;01>?9:838yv3=839p1>?9:236?856>3k?70<517f8 1172j1vqo:ie;297?4=659K0=0<@==:7)<:b;74a>o>93:17d?>5;29?j56>3:17o=>6;291?4=>r.?8;4>669'60d==>o0c>?9:188m6722900e=n<9;1<7*;8d8744=5$5:f>7e632wi85750;394?6|,=2n6?ll;n0`0?6=,=2n6?m>;:p543=838pR5rs`694?4|Vh>01<88:2cf?xu49<0;6?uQ307894002=::7p}<1783>7}Y;8<01<88:3a2?xu3?;0;6?u21759e7=:<131>n:4}|`1>5<6290;w):80;;8 12128"5=k0>;h5`17f94?"30l09o<54}r122?6=:rT8=;52304974052z\250=:;8<1=<;4}r;2>5<5sW3:70=>6;;2?xu22908w0=>6;121>;49?0j863=:04g?!2083i0qpl=7583>0<52?q/89851748L1>13A><=6*=5c863`=ni;0;66gn4;29?l?62900e?9:185>5<7s->3i7?99:k23g<72->3i7?8b:9je7<72->3i7o=;:kb7?6=,=2n6l=4;h635?6=,=2n69>>;:k0e`<72->3i7=ne:9l6f7=83.?4h4=c098yg2?j3:1=7>50z&7<`<31=1d>n?50;&7<`<5k810q~=>6;296~X49?16?<852b38yv76=3:1>vP>149>740=9>h0q~o;:181[g3349::7=ne:pe7<72;qUm?523049e7=z{0;1<73}#<=<1=;84H5:5?M2092.99o4:7d9je7<722cj87>5;h;2>5<6=44o235>5<7c83>!2?m3;!2?m3k976gn3;29 1>b2h907d:?1;29 1>b2=::76g!2?m39ji65`2b394?"30l09o<54}c6;f?6=93:152z\053=:;8<1>n?4}r321?6=:rT:=852304952d39ji6s|a383>7}Yi;16?<85a39~w<7=838pR4?4=235>d552z?053<3881685l52b38yxd5?10;684=:7y'010=9?<0D969;I645>"5=k0>;h5fa383>>of<3:17d7>:188m4722900c>?9:188f671290=6=4?{%6;a?7112c:;o4?:%6;a?70j21bm?4?:%6;a?g532cj?7>5$5:f>d5<3`>;=7>5$5:f>16632c8mh4?:%6;a?5fm21d>n?50;&7<`<5k810qo:7b;295?6=8r.?4h4;959l6f7=83.?4h4=c098yv56>3:1>vP<179>740=:j;0q~?>5;296~X69<16?<8516`8yvg32909wSo;;<122?5fm2wxm?4?:3y]e7=:;8<1m?5rs8394?4|V0;01>?9:`18yv71m3:1>v3<178744=:<1h1>n?4}|`13<<72<096;u+4549530<@=2=7E:81:&11g<2?l1bm?4?::kb0?6=3`3:6=44i036>5<5<1290;w):7e;35=>o6?k0;6):7e;34f>=ni;0;6):7e;c1?>of;3:1(96j:`18?l2793:1(96j:522?>o4il0;6):7e;1ba>=h:j;1<7*;8d81g4=3n7>51;294~"30l0?595`2b394?"30l09o<54}r122?6=:rT8=;5230496f752z\250=:;8<1=:l4}rc7>5<5sWk?70=>6;1ba>{ti;0;6?uQa39>740=i;1v4?50;0xZ<7<5:;=6l=4}r35a?6=:r78=;4;009>0=d=:j;0qpl=7`83>0<52?q/89851748L1>13A><=6*=5c863`=ni;0;66gn4;29?l?62900e?9:185>5<7s->3i7?99:k23g<72->3i7?8b:9je7<72->3i7o=;:kb7?6=,=2n6l=4;h635?6=,=2n69>>;:k0e`<72->3i7=ne:9l6f7=83.?4h4=c098yg2?j3:1=7>50z&7<`<31=1d>n?50;&7<`<5k810q~=>6;296~X49?16?<852b38yv76=3:1>vP>149>740=9>h0q~o;:181[g3349::7=ne:pe7<72;qUm?523049e7=z{0;1<73}#<=<1=;84H5:5?M2092.99o4:7d9je7<722cj87>5;h;2>5<6=44o235>5<7c83>!2?m3;!2?m3k976gn3;29 1>b2h907d:?1;29 1>b2=::76g!2?m39ji65`2b394?"30l09o<54}c6;f?6=93:152z\053=:;8<1>n?4}r321?6=:rT:=852304952d39ji6s|a383>7}Yi;16?<85a39~w<7=838pR4?4=235>d552z?053<3881685l52b38yxd5?j0;684=:7y'010=9?<0D969;I645>"5=k0>;h5fa383>>of<3:17d7>:188m4722900c>?9:188f671290=6=4?{%6;a?7112c:;o4?:%6;a?70j21bm?4?:%6;a?g532cj?7>5$5:f>d5<3`>;=7>5$5:f>16632c8mh4?:%6;a?5fm21d>n?50;&7<`<5k810qo:7b;295?6=8r.?4h4;959l6f7=83.?4h4=c098yv56>3:1>vP<179>740=:j;0q~?>5;296~X69<16?<8516`8yvg32909wSo;;<122?5fm2wxm?4?:3y]e7=:;8<1m?5rs8394?4|V0;01>?9:`18yv71m3:1>v3<178744=:<1h1>n?4}|`13a<72<096;u+4549530<@=2=7E:81:&11g<2?l1bm?4?::kb0?6=3`3:6=44i036>5<5<1290;w):7e;35=>o6?k0;6):7e;34f>=ni;0;6):7e;c1?>of;3:1(96j:`18?l2793:1(96j:522?>o4il0;6):7e;1ba>=h:j;1<7*;8d81g4=3n7>51;294~"30l0?595`2b394?"30l09o<54}r122?6=:rT8=;5230496f752z\250=:;8<1=:l4}rc7>5<5sWk?70=>6;1ba>{ti;0;6?uQa39>740=i;1v4?50;0xZ<7<5:;=6l=4}r35a?6=:r78=;4;009>0=d=:j;0qpl=7d83>0<52?q/89851748L1>13A><=6*=5c863`=ni;0;66gn4;29?l?62900e?9:185>5<7s->3i7?99:k23g<72->3i7?8b:9je7<72->3i7o=;:kb7?6=,=2n6l=4;h635?6=,=2n69>>;:k0e`<72->3i7=ne:9l6f7=83.?4h4=c098yg2?j3:1=7>50z&7<`<31=1d>n?50;&7<`<5k810q~=>6;296~X49?16?<852b38yv76=3:1>vP>149>740=9>h0q~o;:181[g3349::7=ne:pe7<72;qUm?523049e7=z{0;1<73}#<=<1=;84H5:5?M2092.99o4:7d9je7<722cj87>5;h;2>5<6=44o235>5<7c83>!2?m3;!2?m3k976gn3;29 1>b2h907d:?1;29 1>b2=::76g!2?m39ji65`2b394?"30l09o<54}c6;f?6=93:152z\053=:;8<1>n?4}r321?6=:rT:=852304952d39ji6s|a383>7}Yi;16?<85a39~w<7=838pR4?4=235>d552z?053<3881685l52b38yxd5??0;684=:7y'010=9?<0D969;I645>"5=k0>;h5fa383>>of<3:17d7>:188m4722900c>?9:188f671290=6=4?{%6;a?7112c:;o4?:%6;a?70j21bm?4?:%6;a?g532cj?7>5$5:f>d5<3`>;=7>5$5:f>16632c8mh4?:%6;a?5fm21d>n?50;&7<`<5k810qo:7b;295?6=8r.?4h4;959l6f7=83.?4h4=c098yv56>3:1>vP<179>740=:j;0q~?>5;296~X69<16?<8516`8yvg32909wSo;;<122?5fm2wxm?4?:3y]e7=:;8<1m?5rs8394?4|V0;01>?9:`18yv71m3:1>v3<178744=:<1h1>n?4}|`132<72<096;u+4549530<@=2=7E:81:&11g<2?l1bm?4?::kb0?6=3`3:6=44i036>5<5<1290;w):7e;35=>o6?k0;6):7e;34f>=ni;0;6):7e;c1?>of;3:1(96j:`18?l2793:1(96j:522?>o4il0;6):7e;1ba>=h:j;1<7*;8d81g4=3n7>51;294~"30l0?595`2b394?"30l09o<54}r122?6=:rT8=;5230496f752z\250=:;8<1=:l4}rc7>5<5sWk?70=>6;1ba>{ti;0;6?uQa39>740=i;1v4?50;0xZ<7<5:;=6l=4}r35a?6=:r78=;4;009>0=d=:j;0qpl;4c83>0<52?q/89851748L1>13A><=6*=5c863`=ni;0;66gn4;29?l?62900e?9:185>5<7s->3i7?99:k23g<72->3i7?8b:9je7<72->3i7o=;:kb7?6=,=2n6l=4;h635?6=,=2n69>>;:k0e`<72->3i7=ne:9l6f7=83.?4h4=c098yg2?j3:1=7>50z&7<`<31=1d>n?50;&7<`<5k810q~=>6;296~X49?16?<852b38yv76=3:1>vP>149>740=9>h0q~o;:181[g3349::7=ne:pe7<72;qUm?523049e7=z{0;1<73}#<=<1=;84H5:5?M2092.99o4:7d9je7<722cj87>5;h;2>5<6=44o235>5<7c83>!2?m3;!2?m3k976gn3;29 1>b2h907d:?1;29 1>b2=::76g!2?m39ji65`2b394?"30l09o<54}c6;f?6=93:152z\053=:;8<1>n?4}r321?6=:rT:=852304952d39ji6s|a383>7}Yi;16?<85a39~w<7=838pR4?4=235>d552z?053<3881685l52b38yxd54=:5y'010=9?>0D969;I645>"6?m0n7)<:b;74a>o>93:17d?>5;29?j56>3:17o=>6;291?4=>r.?8;4>669'60d==>o0c>?9:188m6722900e=n<9;1<7*;8d8744=5$5:f>7e632wi85750;394?6|,=2n6?ll;n0`0?6=,=2n6?m>;:p543=838pR5rs`694?4|Vh>01<88:2cf?xu49<0;6?uQ307894002=::7p}<1783>7}Y;8<01<88:3a2?xu3?;0;6?u21759e7=:<131>n:4}|`1>5<6290;w):80;;8 12128"5=k0>;h5`17f94?"30l09o<54}r122?6=:rT8=;52304974052z\250=:;8<1=<;4}r;2>5<5sW3:70=>6;;2?xu22908w0=>6;121>;49?0j863=:04g?!2083i0qpl=4g83>6<52=q/89851768L1>13A><=6*>7e8f?!42j3?6;29?g56>3:197<56z&703<6>>1/>8l556g8k6712900e>?::188m4722900el:50;9j=4<722h:::4?:783>5}#<1o1=;74i05a>5<#<1o1=:l4;hc1>5<#<1o1m?54i`194?"30l0j?65f41394?"30l0?<<54i2cf>5<#<1o1?lk4;n0`5?6=,=2n6?m>;:a0=?=83;1<7>t$5:f>7dd3f8h87>5$5:f>7e632wx=<;50;0xZ47234;=;7?8b:p=4<72;qU5<521759e6=z{h>1<77}Y;8?01<88:522?xu49?0;6?uQ304894002;i:7p};7383>7}:9?=1m?5249;96f2:183!208330(9:9:04f?!2?m3>286*=5c863`=h9?n1<7*;8d81g4=52z\053=:;8<1?<84}r321?6=:rT:=852304954333:7p}::180856>39:963<178b0>;5288h4i03b>5<5<3>396F;879K027<,=2n696;;%06f?30m2c:;=4?:%6;a?70j21b=:?50;&7<`<6?k10c?l6:18'0=c=:j;07p}>1`83>7}Y98k0197=:052?xu69k0;6?uQ10`891?528=;7p};0183>7}Y<9:0197=:3`:?x{el80;6>4>:2yK027<,=>=6?;i;h32e?6=3`;:n7>5;n634?6=3k>2>7>53;294~"33i7:74:&11g<2?l1b=:>50;&7<`<6?k10e<9>:18'0=c=9>h07bb2;i:76s|10c94?4|V8;j70:62;345>{t98h1<7:3;<<6s|41294?4|V=:;70:62;0a=>{zjm?1<7=51;1xL1163->?:7<:f:k25d<722c:=o4?::m745<722h?5?4?:283>5}#<=<185;4H5:5?M2092.?4h4;859'60d==>o0e<9?:18'0=c=9>h07d?81;29 1>b28=i76a=b883>!2?m38h=65rs03b>5<5sW;:m63;938234=z{8;i6=4={_32f>;31;0:;=5rs523>5<5sW>;<63;9381f<=zukn?6=4<:080M2092.?8;4=5g9j54g=831b==696:;I6;2>N3?81/85k54968 73e2<=n7d?80;29 1>b28=i76g>7083>!2?m3;52z\25g=:<081=:>4}r634?6=:rT?<=5248096g?53;397~N3?81/898524d8m47f2900e5<7s->?:7:75:J7<3=O<>;0(96j:5:7?!42j3?7183>!2?m3;5<#<1o1>n?4;|q25d<72;qU=4163ty:=o4?:3y]54d<5=396<9?;|q745<72;qU8=>4=5;1>7d>3twih:4?:282>6}O<>;0(9:9:37e?l76i3:17d?>b;29?j2783:17o:62;297?6=8r.?8;4;849K0=0<@==:7):7e;6;0>"5=k0>;h5f16294?"30l0:;o54i052>5<#<1o1=:l4;n0a=?6=,=2n6?m>;:p54g=838pR2>7?80:p056=838pR9>?;<6:6?4e12wvnil50;195?5|@==:7):;6;06b>o69h0;66g>1c83>>i3890;66l;9383>6<729q/89854978L1>13A><=6*;8d87<1=#:5<#<1o1=:l4;h345?6=,=2n6<9m;:m1f<<72->3i7a:?7=7<6?81v719~w1672909wS:?0:?7=7<5j01vqojn:180>4<4sA><=6*;47811c=n98k1<75f10`94?=h<9:1<75m48094?5=83:p(9:9:5:6?M2?>2B?;<5+49g90=2<,;?i689j;h344?6=,=2n6<9m;:k234<72->3i7?8b:9l6g?=83.?4h4=c098yv76i3:1>vP>1`9>0<4=9>;0q~?>b;296~X69k1684<51628yv2783:1>vP;019>0<4=:k30qplke;297?7=;rB?;<5+454960`5<5<4290;w):;6;6;1>N30?1C8:?4$5:f>1>33-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98k7d>290/85k52b38?xu69h0;6?uQ10c891?528=:7p}>1c83>7}Y98h0197=:053?xu3890;6?uQ412891?52;h27psmde83>6<62:qC8:?4$565>73a3`;:m7>5;h32f?6=3f>;<7>5;c6:6?6=;3:1;%6;a?2?<2.99o4:7d9j526=83.?4h4>7c98m416290/85k516`8?j4e13:1(96j:3a2?>{t98k1<7:3;<=6s|10`94?4|V8;i70:62;344>{t<9:1<7:38i56srbd394?5=939pD99>;%672?42n2c:=l4?::k25g<722e?<=4?::`7=7<72:0;6=u+45490=3<@=2=7E:81:&7<`<30=1/>8l556g8m417290/85k516`8?l7093:1(96j:05a?>i5j00;6):7e;0`5>=z{8;j6=4={_32e>;31;0:;<5rs03a>5<5sW;:n63;938235=z{=:;6=4={_634>;31;09n45r}cg3>5<42808wE:81:&703<5=o1b=50;9a0<4=8391<7>t$565>1>23A>3:6F;709'0=c=<1>0(?;m:45f?l7083:1(96j:05a?>o6?80;6):7e;34f>=h:k31<7*;8d81g4=52z\25d=:<081=:?4}r32f?6=:rT:=o524809526;<7>52z\745=:<081>o74}|`720<72:0:6>uG4638 1212;?m7d?>a;29?l76j3:17b:?0;29?g2>:3:1?7>50z&703<30<1C8584H552?!2?m3>386*=5c863`=n9>:1<7*;8d823g=5$5:f>7e632wx=2>7?81:p54d=838pR50;0xZ16734>2>7"37E:76:J734=#<1o185:4$37a>01b3`;<<7>5$5:f>41e32c:;<4?:%6;a?70j21d>o750;&7<`<5k810q~?>a;296~X69h1684<51638yv76j3:1>vP>1c9>0<4=9>:0q~:?0;296~X3891684<52c;8yxd3>:0;6>4>:2yK027<,=>=6?;i;h32e?6=3`;:n7>5;n634?6=3k>2>7>53;294~"33i7:74:&11g<2?l1b=:>50;&7<`<6?k10e<9>:18'0=c=9>h07bb2;i:76s|10c94?4|V8;j70:62;345>{t98h1<7:3;<<6s|41294?4|V=:;70:62;0a=>{zj;2:6=4<:080M2092.?8;4=5g9j54g=831b==696:;I6;2>N3?81/85k54968 73e2<=n7d?80;29 1>b28=i76g>7083>!2?m3;52z\25g=:<081=:>4}r634?6=:rT?<=5248096g?6}O<>;0(9:9:37e?l76i3:17d?>b;29?j2783:17o:62;297?6=8r.?8;4;849K0=0<@==:7):7e;6;0>"5=k0>;h5f16294?"30l0:;o54i052>5<#<1o1=:l4;n0a=?6=,=2n6?m>;:p54g=838pR2>7?80:p056=838pR9>?;<6:6?4e12wvn?6n:180>4<4sA><=6*;47811c=n98k1<75f10`94?=h<9:1<75m48094?5=83:p(9:9:5:6?M2?>2B?;<5+49g90=2<,;?i689j;h344?6=,=2n6<9m;:k234<72->3i7?8b:9l6g?=83.?4h4=c098yv76i3:1>vP>1`9>0<4=9>;0q~?>b;296~X69k1684<51628yv2783:1>vP;019>0<4=:k30qpl=8883>6<62:qC8:?4$565>73a3`;:m7>5;h32f?6=3f>;<7>5;c6:6?6=;3:1;%6;a?2?<2.99o4:7d9j526=83.?4h4>7c98m416290/85k516`8?j4e13:1(96j:3a2?>{t98k1<7:3;<=6s|10`94?4|V8;i70:62;344>{t<9:1<7:38i56srb3:;>5<42808wE:81:&703<5=o1b=50;9a0<4=8391<7>t$565>1>23A>3:6F;709'0=c=<1>0(?;m:45f?l7083:1(96j:05a?>o6?80;6):7e;34f>=h:k31<7*;8d81g4=52z\25d=:<081=:?4}r32f?6=:rT:=o524809526;<7>52z\745=:<081>o74}|`1<2<72:0:6>uG4638 1212;?m7d?>a;29?l76j3:17b:?0;29?g2>:3:1?7>50z&703<30<1C8584H552?!2?m3>386*=5c863`=n9>:1<7*;8d823g=5$5:f>7e632wx=2>7?81:p54d=838pR50;0xZ16734>2>7129086<4<{I645>"37E:76:J734=#<1o185:4$37a>01b3`;<<7>5$5:f>41e32c:;<4?:%6;a?70j21d>o750;&7<`<5k810q~?>a;296~X69h1684<51638yv76j3:1>vP>1c9>0<4=9>:0q~:?0;296~X3891684<52c;8yxd50<0;6>4>:2yK027<,=>=6?;i;h32e?6=3`;:n7>5;n634?6=3k>2>7>53;294~"33i7:74:&11g<2?l1b=:>50;&7<`<6?k10e<9>:18'0=c=9>h07bb2;i:76s|10c94?4|V8;j70:62;345>{t98h1<7:3;<<6s|41294?4|V=:;70:62;0a=>{zj;2?6=4<:080M2092.?8;4=5g9j54g=831b==696:;I6;2>N3?81/85k54968 73e2<=n7d?80;29 1>b28=i76g>7083>!2?m3;52z\25g=:<081=:>4}r634?6=:rT?<=5248096g?4?:282>6}O<>;0(9:9:37e?l76i3:17d?>b;29?j2783:17o:62;297?6=8r.?8;4;849K0=0<@==:7):7e;6;0>"5=k0>;h5f16294?"30l0:;o54i052>5<#<1o1=:l4;n0a=?6=,=2n6?m>;:p54g=838pR2>7?80:p056=838pR9>?;<6:6?4e12wvn?6=:180>4<4sA><=6*;47811c=n98k1<75f10`94?=h<9:1<75m48094?5=83:p(9:9:5:6?M2?>2B?;<5+49g90=2<,;?i689j;h344?6=,=2n6<9m;:k234<72->3i7?8b:9l6g?=83.?4h4=c098yv76i3:1>vP>1`9>0<4=9>;0q~?>b;296~X69k1684<51628yv2783:1>vP;019>0<4=:k30qpl6a;296?6=8r.?8;4>7d9K0=0<@==:7):7e;:f?!42j3?6g83>!2?m3;j3:1?7>50z&703<3:2B?4;5G4638 1>b2=;0(?;m:45f?l7083:1(96j:05a?>o6?80;6):7e;34f>=h:k31<7*;8d81g4=52;294~"33i76j;%06f?30m2c::k4?:%6;a?70j21d>o750;&7<`<5k81C85j4;|`262<72:0;6=u+454907=O<1<0D99>;%6;a?263-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98k7d>290/85k52b38?xd3>l0;6>4?:1y'010=<;1C8584H552?!2?m3>:7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=5}#<=<18?5G4948L1163->3i7:>;%06f?30m2c:;=4?:%6;a?70j21b=:?50;&7<`<6?k10c?l6:18'0=c=:j;07pl>1183>7<729q/898516g8L1>13A><=6*;8d8;a>"5=k0>;h5f17d94?"30l0:;o54o3`:>5<#<1o1>n?4H5:g?>{e98;1<7<50;2x 12128=n7E:76:J734=#<1o14h5+24`912c5$5:f>7e63A>3h65rb031>5<4290;w):;6;61?M2?>2B?;<5+49g904=#:5<#<1o1=:l4;h345?6=,=2n6<9m;:m1f<<72->3i7b21o0(?;m:45f?l71n3:1(96j:05a?>i5j00;6):7e;0`5>N30m10qo==0;296?6=8r.?8;4>7d9K0=0<@==:7):7e;:f?!42j3?6g83>!2?m3;4?:1y'010=:ko0D969;I645>"30l09ni5+24`912c5$5:f>41e32e9n44?:%6;a?4d921vn5<7s->?:7?8e:J7<3=O<>;0(96j:9g8 73e2<=n7d?9f;29 1>b28=i76a=b883>!2?m38h=6F;8e98yg25i3:1?7>50z&703<5jl1C8584H552?!2?m38ih6*=5c863`=n9>:1<7*;8d823g=5$5:f>7e632wi8=850;094?6|,=>=6<9j;I6;2>N3?81/85k58d9'60d==>o0e<8i:18'0=c=9>h07bb2;i:7E:7d:9~f16029086=4?{%672?4em2B?4;5G4638 1>b2;ho7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=5}#<=<18?5G4948L1163->3i7:>;%06f?30m2c:;=4?:%6;a?70j21b=:?50;&7<`<6?k10c?l6:18'0=c=:j;07pl=8g83>6<729q/8985439K0=0<@==:7):7e;62?!42j3?7183>!2?m3;5<#<1o1>n?4;|`1=4<72:0;6=u+454907=O<1<0D99>;%6;a?263-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98k7d>290/85k52b38?xd51:0;6>4?:1y'010=<;1C8584H552?!2?m3>:7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=5}#<=<18?5G4948L1163->3i7:>;%06f?30m2c:;=4?:%6;a?70j21b=:?50;&7<`<6?k10c?l6:18'0=c=:j;07pl=9683>6<729q/8985439K0=0<@==:7):7e;62?!42j3?7183>!2?m3;5<#<1o1>n?4;|`1=<<72:0;6=u+454907=O<1<0D99>;%6;a?263-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98k7d>290/85k52b38?xd51k0;6>4?:1y'010=<;1C8584H552?!2?m3>:7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=5}#<=<18?5G4948L1163->3i7:>;%06f?30m2c:;=4?:%6;a?70j21b=:?50;&7<`<6?k10c?l6:18'0=c=:j;07pl=9g83>6<729q/8985439K0=0<@==:7):7e;62?!42j3?7183>!2?m3;5<#<1o1>n?4;|`17c98k7d>290/85k52b38L1>c32wi8;?50;094?6|,=>=6<9j;I6;2>N3?81/85k58d9'60d==>o0e<8i:18'0=c=9>h07bb2;i:7E:7d:9~f10529086=4?{%672?253A>3:6F;709'0=c=<81/>8l556g8m417290/85k516`8?l7093:1(96j:05a?>i5j00;6):7e;0`5>=zj=?o6=4=:183!23>3;01b3`;=j7>5$5:f>41e32e9n44?:%6;a?4d92B?4i54}c66a?6=;3:1N30?1C8:?4$5:f>17<,;?i689j;h344?6=,=2n6<9m;:k234<72->3i7?8b:9l6g?=83.?4h4=c098yg2213:1>7>50z&703<6?l1C8584H552?!2?m32n7)<:b;74a>o6>o0;6):7e;34f>=h:k31<7*;8d81g4=O<1n07pl;5`83>6<729q/8985439K0=0<@==:7):7e;62?!42j3?7183>!2?m3;5<#<1o1>n?4;|`711<72;0;6=u+454952c<@=2=7E:81:&7<`7c98k7d>290/85k52b38L1>c32wi88;50;094?6|,=>=6<9j;I6;2>N3?81/85k58d9'60d==>o0e<8i:18'0=c=9>h07bb2;i:7E:7d:9~f13129086=4?{%672?253A>3:6F;709'0=c=<81/>8l556g8m417290/85k516`8?l7093:1(96j:05a?>i5j00;6):7e;0`5>=zj=<<6=4<:183!23>3>97E:76:J734=#<1o18<5+24`912c5$5:f>41e32e9n44?:%6;a?4d921vn986:180>5<7s->?:7:=;I6;2>N3?81/85k5409'60d==>o0e<9?:18'0=c=9>h07d?81;29 1>b28=i76a=b883>!2?m38h=65rb021>5<5290;w):;6;34a>N30?1C8:?4$5:f>=c<,;?i689j;h35b?6=,=2n6<9m;:m1f<<72->3i753;294~"36F;879K027<,=2n69?4$37a>01b3`;<<7>5$5:f>41e32c:;<4?:%6;a?70j21d>o750;&7<`<5k810qo??5;297?6=8r.?8;4;2:J7<3=O<>;0(96j:538 73e2<=n7d?80;29 1>b28=i76g>7083>!2?m3;;%6;a?>b3-8>n7;8e:k22c<72->3i7?8b:9l6g?=83.?4h4=c09K0=b<3th:<54?:283>5}#<=<18?5G4948L1163->3i7:>;%06f?30m2c:;=4?:%6;a?70j21b=:?50;&7<`<6?k10c?l6:18'0=c=:j;07plk0;297?6=8r.?8;4;2:J7<3=O<>;0(96j:538 73e2<=n7d?80;29 1>b28=i76g>7083>!2?m3;5<4290;w):;6;61?M2?>2B?;<5+49g904=#:5<#<1o1=:l4;h345?6=,=2n6<9m;:m1f<<72->3i7t$565>14<@=2=7E:81:&7<`<392.99o4:7d9j526=83.?4h4>7c98m416290/85k516`8?j4e13:1(96j:3a2?>{ek:0;6>4?:1y'010=<;1C8584H552?!2?m3>:7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=53;294~"36F;879K027<,=2n69?4$37a>01b3`;<<7>5$5:f>41e32c:;<4?:%6;a?70j21d>o750;&7<`<5k810qol::181>5<7s->?:7?8e:J7<3=O<>;0(96j:9g8 73e2<=n7d?9f;29 1>b28=i76a=b883>!2?m38h=6F;8e98ygd129086=4?{%672?253A>3:6F;709'0=c=<81/>8l556g8m417290/85k516`8?l7093:1(96j:05a?>i5j00;6):7e;0`5>=zjj<1<7<50;2x 12128=n7E:76:J734=#<1o14h5+24`912c5$5:f>7e63A>3h65rbb594?5=83:p(9:9:3`f?M2?>2B?;<5+49g96gb<,;?i689j;h344?6=,=2n6<9m;:k234<72->3i7?8b:9l6g?=83.?4h4=c098yg42?3:1>7>50z&703<6?l1C8584H552?!2?m32n7)<:b;74a>o6>o0;6):7e;34f>=h:k31<7*;8d81g4=O<1n07pl=5983>6<729q/8985439K0=0<@==:7):7e;62?!42j3?7183>!2?m3;5<#<1o1>n?4;|`10d<72=0;6=u+454906=O<1<0D99>;%6;a?263-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98m415290/85k516`8?j4e13:1(96j:3a2?>{e<=n1<7=50;2x 1212=80D969;I645>"30l0?=6*=5c863`=n9>:1<7*;8d823g=5$5:f>7e632wi89k50;094?6|,=>=6<9j;I6;2>N3?81/85k58d9'60d==>o0e<8i:18'0=c=9>h07bb2;i:7E:7d:9~f12a29096=4?{%672?70m2B?4;5G4638 1>b21o0(?;m:45f?l71n3:1(96j:05a?>i5j00;6):7e;0`5>N30m10qo::0;297?6=8r.?8;4;2:J7<3=O<>;0(96j:538 73e2<=n7d?80;29 1>b28=i76g>7083>!2?m3;N30?1C8:?4$5:f>17<,;?i689j;h344?6=,=2n6<9m;:k234<72->3i7?8b:9l6g?=83.?4h4=c098yg41m3:157>50z&703<3?2B?4;5G4638 1>b2=;0(?;m:45f?l7083:1(96j:05a?>o6?80;6):7e;34f>=n9>81<7*;8d823g=5$5:f>41e32c:;84?:%6;a?70j21b=:850;&7<`<6?k10e<98:18'0=c=9>h07bb2;i:76sm27d94?5=83:p(9:9:508L1>13A><=6*;8d875>"5=k0>;h5f16294?"30l0:;o54i052>5<#<1o1=:l4;n0a=?6=,=2n6?m>;:a626=8381<7>t$565>41b3A>3:6F;709'0=c=0l1/>8l556g8m40a290/85k516`8?j4e13:1(96j:3a2?M2?l21vn?9>:180>5<7s->?:7:=;I6;2>N3?81/85k5409'60d==>o0e<9?:18'0=c=9>h07d?81;29 1>b28=i76a=b883>!2?m38h=65rb351>5<4290;w):;6;61?M2?>2B?;<5+49g904=#:5<#<1o1=:l4;h345?6=,=2n6<9m;:m1f<<72->3i73:6F;709'0=c=<81/>8l556g8m417290/85k516`8?l7093:1(96j:05a?>i5j00;6):7e;0`5>=zj:;26=4=:183!23>3;01b3`;=j7>5$5:f>41e32e9n44?:%6;a?4d92B?4i54}c12e?6=:3:1;%6;a?>b3-8>n7;8e:k22c<72->3i7?8b:9l6g?=83.?4h4=c09K0=b<3th8=o4?:383>5}#<=<1=:k4H5:5?M2092.?4h47e:&11g<2?l1b=;h50;&7<`<6?k10c?l6:18'0=c=:j;0D96k;:a74e=8381<7>t$565>41b3A>3:6F;709'0=c=0l1/>8l556g8m40a290/85k516`8?j4e13:1(96j:3a2?M2?l21vn>?k:186>5<7s->?:7:;;I6;2>N3?81/85k5409'60d==>o0e<9?:18'0=c=9>h07d?81;29 1>b28=i76g>7383>!2?m3;5<#<1o1>n?4;|`245<72=0;6=u+45496g`<@=2=7E:81:&7<`<5jm1/>8l556g8m417290/85k516`8?l7093:1(96j:05a?>o6?;0;6):7e;34f>=h:k31<7*;8d81g4==7>52;294~"33i76j;%06f?30m2c::k4?:%6;a?70j21d>o750;&7<`<5k81C85j4;|`117<72;0;6=u+454952c<@=2=7E:81:&7<`7c98k7d>290/85k52b38L1>c32wi>8=50;194?6|,=>=69<4H5:5?M2092.?4h4;1:&11g<2?l1b=:>50;&7<`<6?k10e<9>:18'0=c=9>h07bb2;i:76sm24694?5=83:p(9:9:508L1>13A><=6*;8d875>"5=k0>;h5f16294?"30l0:;o54i052>5<#<1o1=:l4;n0a=?6=,=2n6?m>;:a603=8391<7>t$565>7db3A>3:6F;709'0=c=:kn0(?;m:45f?l7083:1(96j:05a?>o6?80;6):7e;34f>=h:k31<7*;8d81g4=54;294~"301b3`;<<7>5$5:f>41e32c:;<4?:%6;a?70j21b=:<50;&7<`<6?k10c?l6:18'0=c=:j;07pl=4d83>6<729q/89852cg8L1>13A><=6*;8d81fa=#:5<#<1o1=:l4;h345?6=,=2n6<9m;:m1f<<72->3i73:6F;709'0=c=<81/>8l556g8m417290/85k516`8?l7093:1(96j:05a?>i5j00;6):7e;0`5>=zj;kn6=4=:183!23>3;01b3`;=j7>5$5:f>41e32e9n44?:%6;a?4d92B?4i54}c0bb?6=;3:1N30?1C8:?4$5:f>17<,;?i689j;h344?6=,=2n6<9m;:k234<72->3i7?8b:9l6g?=83.?4h4=c098yg4e83:1?7>50z&703<3:2B?4;5G4638 1>b2=;0(?;m:45f?l7083:1(96j:05a?>o6?80;6):7e;34f>=h:k31<7*;8d81g4=3;01b3`;=j7>5$5:f>41e32e9n44?:%6;a?4d92B?4i54}c5e>5<5290;w):;6;34a>N30?1C8:?4$5:f>=c<,;?i689j;h35b?6=,=2n6<9m;:m1f<<72->3i73>97E:76:J734=#<1o18<5+24`912c5$5:f>41e32e9n44?:%6;a?4d921vn5?50;194?6|,=>=69<4H5:5?M2092.?4h4;1:&11g<2?l1b=:>50;&7<`<6?k10e<9>:18'0=c=9>h07bb2;i:76sm8383>6<729q/89852cg8L1>13A><=6*;8d81fa=#:5<#<1o1=:l4;h345?6=,=2n6<9m;:m1f<<72->3i7t$565>14<@=2=7E:81:&7<`<392.99o4:7d9j526=83.?4h4>7c98m416290/85k516`8?j4e13:1(96j:3a2?>{e"30l0?=6*=5c863`=n9>:1<7*;8d823g=5$5:f>7e632wi8nl50;094?6|,=>=6<9j;I6;2>N3?81/85k58d9'60d==>o0e<8i:18'0=c=9>h07bb2;i:7E:7d:9~f1ed29096=4?{%672?70m2B?4;5G4638 1>b21o0(?;m:45f?l71n3:1(96j:05a?>i5j00;6):7e;0`5>N30m10qo:ld;297?6=8r.?8;4;2:J7<3=O<>;0(96j:538 73e2<=n7d?80;29 1>b28=i76g>7083>!2?m3;;%6;a?4el2.99o4:7d9j526=83.?4h4>7c98m416290/85k516`8?j4e13:1(96j:3a2?>{e"30l0?=6*=5c863`=n9>:1<7*;8d823g=5$5:f>7e632wi8i>50;194?6|,=>=6?lj;I6;2>N3?81/85k52cf8 73e2<=n7d?80;29 1>b28=i76g>7083>!2?m3;;%6;a?4el2.99o4:7d9j526=83.?4h4>7c98m416290/85k516`8?j4e13:1(96j:3a2?>{e<;n1<7<50;2x 12128=n7E:76:J734=#<1o14h5+24`912c5$5:f>7e63A>3h65rb50f>5<4290;w):;6;0aa>N30?1C8:?4$5:f>7dc3-8>n7;8e:k235<72->3i7?8b:9j527=83.?4h4>7c98k7d>290/85k52b38?xde03:1?7>50z&703<3:2B?4;5G4638 1>b2=;0(?;m:45f?l7083:1(96j:05a?>o6?80;6):7e;34f>=h:k31<7*;8d81g4=3;01b3`;=j7>5$5:f>41e32e9n44?:%6;a?4d92B?4i54}c`b>5<5290;w):;6;34a>N30?1C8:?4$5:f>=c<,;?i689j;h35b?6=,=2n6<9m;:m1f<<72->3i73;01b3`;=j7>5$5:f>41e32e9n44?:%6;a?4d92B?4i54}c``>5<4290;w):;6;61?M2?>2B?;<5+49g904=#:5<#<1o1=:l4;h345?6=,=2n6<9m;:m1f<<72->3i71<7>t$565>7da3A>3:6F;709'0=c=:kn0(?;m:45f?l7083:1(96j:05a?>o6?80;6):7e;34f>=n9>81<7*;8d823g=52;294~"33i76j;%06f?30m2c::k4?:%6;a?70j21d>o750;&7<`<5k81C85j4;|`f1?6=:3:1;%6;a?>b3-8>n7;8e:k22c<72->3i7?8b:9l6g?=83.?4h4=c09K0=b<3thn:7>53;294~"33i750;&7<`<6?k10e<9>:18'0=c=9>h07bb2;i:76sme683>6<729q/8985439K0=0<@==:7):7e;62?!42j3?7183>!2?m3;5<#<1o1>n?4;|`fN30?1C8:?4$5:f>17<,;?i689j;h344?6=,=2n6<9m;:k234<72->3i7?8b:9l6g?=83.?4h4=c098ygc>29086=4?{%672?4em2B?4;5G4638 1>b2;ho7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=52;294~"33i76j;%06f?30m2c::k4?:%6;a?70j21d>o750;&7<`<5k81C85j4;|`fg?6=:3:1;%6;a?>b3-8>n7;8e:k22c<72->3i7?8b:9l6g?=83.?4h4=c09K0=b<3thnh7>53;294~"33i750;&7<`<6?k10e<9>:18'0=c=9>h07bb2;i:76smed83>6<729q/8985439K0=0<@==:7):7e;62?!42j3?7183>!2?m3;5<#<1o1>n?4;|`fb?6=;3:1N30?1C8:?4$5:f>17<,;?i689j;h344?6=,=2n6<9m;:k234<72->3i7?8b:9l6g?=83.?4h4=c098yg`729086=4?{%672?4em2B?4;5G4638 1>b2;ho7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=7>52;294~"33i76j;%06f?30m2c::k4?:%6;a?70j21d>o750;&7<`<5k81C85j4;|`e7?6=:3:1;%6;a?>b3-8>n7;8e:k22c<72->3i7?8b:9l6g?=83.?4h4=c09K0=b<3thm87>53;294~"33i750;&7<`<6?k10e<9>:18'0=c=9>h07bb2;i:76smf483>6<729q/8985439K0=0<@==:7):7e;62?!42j3?7183>!2?m3;5<#<1o1>n?4;|`e2?6=;3:1N30?1C8:?4$5:f>17<,;?i689j;h344?6=,=2n6<9m;:k234<72->3i7?8b:9l6g?=83.?4h4=c098yg`029086=4?{%672?4em2B?4;5G4638 1>b2;ho7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=52;294~"33i76j;%06f?30m2c::k4?:%6;a?70j21d>o750;&7<`<5k81C85j4;|`ee?6=:3:1;%6;a?>b3-8>n7;8e:k22c<72->3i7?8b:9l6g?=83.?4h4=c09K0=b<3thmn7>53;294~"33i750;&7<`<6?k10e<9>:18'0=c=9>h07bb2;i:76smfb83>6<729q/8985439K0=0<@==:7):7e;62?!42j3?7183>!2?m3;5<#<1o1>n?4;|`e`?6=;3:1N30?1C8:?4$5:f>17<,;?i689j;h344?6=,=2n6<9m;:k234<72->3i7?8b:9l6g?=83.?4h4=c098yg`b29086=4?{%672?4em2B?4;5G4638 1>b2;ho7)<:b;74a>o6?90;6):7e;34f>=n9>;1<7*;8d823g=53z\4g>;0k39::6370;344>{t?m0;6?u27b8250=:0:09n45rs6g94?4|5>o1>o74=9295277d>342:6<9>;|q;4?6=:r73<7<4<5j0164?4>709~w=4=838p15<52c;89=5=9>;0q~6;:180[>334>;57?80:?065<6>o1v4650;0xZ<><5h:1=<;4}r;:>5<3s43i6?l6;<324?71n27i47?80:?a=?71n2wx5l4?:3y>=d<5j0165o4>709~w:235?8?e28=;7p}n3;2962}Yi:16oh461:?`f??634i364?4=b39=4=:jl02=63m3;;2?827;33:70::f;;2?822j33:70::7;;2?822:33:70:=8;;2?8e320;01<8>:838940720;01l?5909>e5<>927?o:461:?672<>927;<05g??634>mi77>;<040??6348<977>;<04;<04e??6348;<04g??6348;<04a??6348;<042??6348<;77>;<67f??6349:;77>;<07f??6348?j77>;|qbg?6=6:?g5?76j27i97?9f:?ff6<69<16n;4=b89~wg3=838p1o;52c;89g0=9>;0q~l8:18;8d42h801nk5a39>ggd4<5j;1m?52bd8b6>;el38i56s|b983>7}:j109n452be8234=z{k31<74}r`b>5<5s4hj6?l6;<``>4163tyin7>52z?af?4e127ih7?82:pff<72;q6nn4=b89>fa<6?91vlj50;6x9gc=;8<01i<510c89`0=9>:01h951628yvda2909w0lj:036?8e72;h27p}ne;297~;d939::63k5;32e>;bn3;<<6s|c383>7}:k80:=852c281f<=z{hl1<7:t=b69740<5m21=40a34l=6<9?;|q`1?6=:r7h87?>5:?`3?4e12wxo;4?:3y>g3<5j016o:4>709~wg6=83>p1n6530489ad=98k01>?n:04e?8`c28=;7p}l9;296~;d03;:963la;0a=>{tj80;68u2cc8053=:ll0:=l521119526<58:>6<9?;<12f?71n2wxon4?:3y>gg<69<16oi4=b89~wg4=839p1nk530489`7=98k01>?l:04e?xudn3:1>v3le;321>;c838i56s|d283>7}:l;0?<=52d0825d=z{m<1<71=5<5s4n369>?;47f3tyoo7>52z?gf?27827om7?>a:p`c<72;q6hh4;019>`a<69h1vh<50;0x9`7=<9:01h>510c8yvc4290?w0j;:03a?8c>2;h270kk:053?8cb28=;7p}j4;296~;b<38i563j6;345>{tm<0;6?u2e481f<=:m>0:;<5rsd494?4|5l<1>o74=d:95277d>34o26<9>;|qf`2<69k16j=4=b89>b1<6?916j84>719~w`d=838p1hl52c;89`b=9>;0q~kl:1818cd2;h270kj:052?xubl3:1>v3jd;0a=>;bn3;<=6s|ed83>7}:ml09n452f18234=z{ll1<74}rd2>5<3s4nj67d>34li6<9?;4173tym>7>52z?e6?4e127m87?81:pb6<72;q6j>4=b89>b0<6?81vk:50;0x9c2=:k301k851638yv`22909w0h::3`:?8`028=:7p}i6;296~;a>38i563i7;344>{tn10;69u2de825g=:99?1=:?4=02;>41734ln6?l6;|qe=?6=:r7m57bd<5j016jn4>709~wcd=838p1kl52c;89cb=9>;0q~hl:1818`d2;h270hj:052?xual3:1>v3id;0a=>;am3;<<6s|fg83>7}:m90:=o5211296g?52z?246<5j016==>51608yv77:3:1>v3>0381f<=:9991=:?4}r330?6=:r7:<84=b89>556=9>:0q~??6;296~;68109n452112952752z?242<5j016==651638yv7713:1>v3k1;634>;d83;<=6s|11c94?4|5m>18=>4=b1952752z?g3?27827h;7?80:p55e=838p1io541289fg=9>;0q~??d;296~;cl3>;<63ld;345>{t99o1<7542=9?l01<>=:04e?877?3;=j63j4;35b>;b=3;=j63jb;35b>;bk3;=j63i2;35b>;a;3;=j63i9;35b>;ai3;=j6s|10294?4|58;;6?l6;<326?7082wx=2;345>{t9891<79t=e0954d<5m?1=7d>3ty:>94?:3y]572<58<;6004=i;1688h5a39>00d=i;168895a39>571=:k30198j:052?843i3;<<63=ae8234=z{88=6=4={<312?4e127:>:4>709~w43b2908w0?91;321>;6>908=;52134953`j7>52z?224<49?16=?951628yv4313:18v3=4`81f<=::<81=;h4=370>417348?h7?80:p61d=83=p1?:m:235?84203;<<63=50822c=::=o1=:>4=919526<5=ij6<9?;<6`f?71n2wx>9m50;0x972e28;>70<;e;0a=>{t:=n1<77d>348?i7?81:p61`=83=p1?:i:235?842?3;=j63=558235=::=n1=:<4=6g953`<51;1=:>4=5ae>4173ty99=4?:3y>61`=98?01?;::3`:?xu5=80;6?u224396g?<5;?86<9>;|q117<72;q6>8<52c;8973328=:7p}=5283>7}::<91>o74=376>4173ty9994?:3y>602=:k301?;::052?xu5=?0;6?u224596g?<5;?36<9>;|q11<<72;q69>951078973?2;h27p}=6b83>7}::?i1?<84=36b>4153ty9:i4?:3y>63e=98?01?9<:3`:?xu5>l0;6?u227g96g?<5;=86<9?;|q12c<72;q6>;h52c;8971528=;7p}=7183>7}::>:1>o74=352>4163ty9;<4?:3y>627=:k301?9=:052?xu5?;0;6?u226096g?<5;=86<9>;|q125<72::5304897>528;j70<7d;345>;50k0::k52262953`54z?130<49?16>5<510`897>c28=;70<9e;344>{t:?;1<7:t=355>6713483<7?>b:?1=c<6?916>;h51628yv41:3:1?v3=768053=::1;1=4173ty9:94?:5y>62>=;8<01?6<:03a?84?n3;<<63=6d8234=z{;<>6=4;{<04=?56>279494>1c9>6<7=9>:01?8j:050?xu5>?0;69u226c9740<5;2>6739~w700290?w0<8b;122>;50?0:=o522879526<5;:m5304897>028;i70<67;344>;5>l0:;;5rs34:>5<3s486:?1<=<69k16>4751628970b28=>7p}=6`83>1}::>o1?<84=3::>47e3482n7?80:?12`<6?>1v?8m:187840n39::63=8`825g=::0n1=:>4=34e>4163ty94n4?:2y>6=5=98k01?6k:3`:?84?n3;<=6s|29g94?5|5;2?6709~w7?72908w0<75;32e>;51809n45228195277>53z?1<3<69h16>4=52c;897?228=:7p}=9583>6}::1=1=7d>3482;7?81:p6<0=839p1?67:03b?84>?38i563=988234=z{;336=4<{<0;=?76i279544=b89>6;0q~<6a;297~;50h0:=l5228`96g?<5;3o6<9>;|q1=f<72:q6>5>510c897?c2;h270<6f;345>{t:0o1<747f3482j7l<50;0x971028;>70<71;634>{t:h91<74723483>7:?0:p6d2=838p1?97:036?84?;3>;<6s|2`794?4|5;=26l850;0x971f28;>70<75;634>{t:h=1<74723483:7:?0:p6d>=838p1?9l:036?84??3>;<6s|2`;94?4|5;=o6lo50;0x971b28;>70<79;634>{t:hh1<74723483m7:?0:p6de=83np1?9;:`0897122h801?97:`08971>2h801?9n:`08971e2h801?9l:`08971c2h801?9j:`08971a2h801?99:`0897102h801?l?:3`:?xu5im0;6?u22`f96g?<5;h;6<9?;|q1e`<72;q6>lk52c;897ga28=:7p}=ag83>7}::hl1>o74=3`3>4163ty8=:4?:2y]741<5:;<6>?9;<12b?71n2wx?<650;0x967028;>70=>d;0a=>{t;831<77d>349:h7?80:p74g=838p1>?n:3`:?856l3;<=6s|30`94?4|5:;i6?l6;<12`?70:2wx?d;347>{t;8o1<7d4<5:8:6?l6;|q05c<72;q6?7}:;;:1>o74=202>4163ty?055=;8<0193;=j63=ae8235=:<;n1=;h4}r630?6=>r7?<>4>149>05?=:k30194}r631?6=:r7?<>4n2:?742<5j01v9>9:181827>38i563;068234=z{=:36=4>cz?7<2<6>m16oh4n4:?`f?g334i36l:4=b39e1=:jl0j863m3;c7?827;3k?70::f;c7?822j3k?70::7;c7?822:3k?70:=8;c7?8e32h>01?9;:`6897122h>01?97:`68971>2h>01?9n:`68971e2h>01?9l:`68971c2h>01?9j:`68971a2h>01?99:`6897102h>019:m:`6896702h>0q~:>b;296~X39k168=751638yv2503:15v3;298053=:l90:;=52ce8235=:kh0:;=52c28235=:k90:;=52b78235=:k?0::k52bc822c=z{=826=4={<61l4=b89~w14e2909w0:=8;c1?825m38i56s|43a94?4|5=8h6?l6;<61a?7082wx8?j50;0x914c2;h270:=e;345>{t<=h1<7:t=56a>67134>=i7?80:?10d<6?816>lh51628yv23k3:1>v3;4c8250=:<<;1>o74}r67`?6=:r7?8i4=b89>007=9>:0q~:;e;296~;3?j7>52z?70c<5j01688>51638yv2283:1>v3;5181f<=:<<;1=:?4}r673?6==r7?9?4<179>035=98k019;::04e?821?3;<=63;4e8235=z{=?86=4={<666?76=27?9;4=b89~w1332909w0::4;0a=>;3=?0:;=5rs576>5<5s4>>974=56f>40a3ty?954?:3y>001=98?019;n:3`:?xu3=00;6?u244;96g?<5=?j6<9>;|q70<<72=q688l53048910328;i70:99;344>;35<5s4>>n7?>5:?71`<5j01v9;k:181822l38i563;5d8234=z{=>j6=4;{_1ge>;3=o08=;52477954d<5=>o6<9>;|q725<72;q688h5107891052;h27p};6083>7}:o74=541>4163ty?:;4?:2y>032=98k01988:3`:?82113;<=6s|47:94?4|5=<>6{t16734>>i7?80:p03e=838p198::523?821:3;<<6s|47f94?0|5=6g9>00?=9?l019;;:04e?825k3;<=6s|46d94?2|V==m70?>1;35b>;e03;<=63ma;35b>{t;3kh0:;<524bg9526h57>52z?7g2<69<168i>52c;8yv2di3:1>v3;c`81f<=:4}r6`f?6=:r7?oo4=b89>0fb=9>:0q~:lc;296~;3kj09n4524bf9527hh7>52z?7ga<5j0168nk51638yv2dm3:1>v3;cd81f<=:0a6=9>;0q~:ic;296~X3nj168kk51078yv2am3:1?v3;fd8053=::=n1=:?4=5a`>40a3ty>?:4?:3y]161<5<9<6>?9;|a0d7=8381<7>t$565>41b3A>3:6F;709'0=c=0l1/>8l556g8m40a290/85k516`8?j4e13:1(96j:3a2?M2?l21vn9l7:181>5<7s->?:7?8e:J7<3=O<>;0(96j:9g8 73e2<=n7d?9f;29 1>b28=i76a=b883>!2?m38h=6F;8e98yg2>l3:1>7>50z&703<6?l1C8584H552?!2?m32n7)<:b;74a>o6>o0;6):7e;34f>=h:k31<7*;8d81g4=O<1n07pl;9d83>7<729q/898516g8L1>13A><=6*;8d8;a>"5=k0>;h5f17d94?"30l0:;o54o3`:>5<#<1o1>n?4H5:g?>{e<0l1<7=50;2x 1212=80D969;I645>"30l0?=6*=5c863`=n9>:1<7*;8d823g=5$5:f>7e632wi9>850;094?6|,=>=6<9j;I6;2>N3?81/85k58d9'60d==>o0e<8i:18'0=c=9>h07bb2;i:7E:7d:9~f1g229096=4?{%672?70i2B?4;5G4638 1>b21o0D<7m;%015?70j2.99o4:7d9j53`=83.?4h4>7c98k7d>290/85k52b38?xd2<:0;6?4?:1y'010=9>k0D969;I645>"30l03i6F>9c9'677=9>h0(?;m:45f?l71n3:1(96j:05a?>i5j00;6):7e;0`5>=zj=i?6=4=:183!23>3;5}#<=<1=:o4H5:5?M2092.?4h47e:J2=g=#:;;1=:l4$37a>01b3`;=j7>5$5:f>41e32e9n44?:%6;a?4d921vn<8<:181>5<7s->?:7?8a:J7<3=O<>;0(96j:9g8L4?e3-89=7?8b:&11g<2?l1b=;h50;&7<`<6?k10c?l6:18'0=c=:j;07pl;e083>7<729q/898516c8L1>13A><=6*;8d8;a>N61k1/>??516`8 73e2<=n7d?9f;29 1>b28=i76a=b883>!2?m38h=65rb5dg>5<5290;w):;6;34e>N30?1C8:?4$5:f>=c<@83i7)<=1;34f>"5=k0>;h5f17d94?"30l0:;o54o3`:>5<#<1o1>n?4;|`637<72;0;6=u+454952g<@=2=7E:81:&7<`3i7t$565>41f3A>3:6F;709'0=c=0l1C=4l4$302>41e3-8>n7;8e:k22c<72->3i7?8b:9l6g?=83.?4h4=c098yg?429096=4?{%672?70i2B?4;5G4638 1>b21o0D<7m;%015?70j2.99o4:7d9j53`=83.?4h4>7c98k7d>290/85k52b38?xd2880;6?4?:1y'010=9>k0D969;I645>"30l03i6F>9c9'677=9>h0(?;m:45f?l71n3:1(96j:05a?>i5j00;6):7e;0`5>=zj<=26=4=:183!23>3;52;294~"33i76j;I3:f>"5:80:;o5+24`912c5$5:f>7e632wi;?4?:383>5}#<=<1=:o4H5:5?M2092.?4h47e:J2=g=#:;;1=:l4$37a>01b3`;=j7>5$5:f>41e32e9n44?:%6;a?4d921vn:?50;094?6|,=>=6<9n;I6;2>N3?81/85k58d9K5o750;&7<`<5k810qo9?:181>5<7s->?:7?8a:J7<3=O<>;0(96j:9g8L4?e3-89=7?8b:&11g<2?l1b=;h50;&7<`<6?k10c?l6:18'0=c=:j;07pl9e;296?6=8r.?8;4>7`9K0=0<@==:7):7e;:f?M7>j2.9><4>7c9'60d==>o0e<8i:18'0=c=9>h07bb2;i:76sm6e83>7<729q/898516c8L1>13A><=6*;8d8;a>N61k1/>??516`8 73e2<=n7d?9f;29 1>b28=i76a=b883>!2?m38h=65rb7a94?4=83:p(9:9:05b?M2?>2B?;<5+49g9<`=O90h0(?<>:05a?!42j3?6g83>!2?m3;5<5290;w):;6;34e>N30?1C8:?4$5:f>=c<@83i7)<=1;34f>"5=k0>;h5f17d94?"30l0:;o54o3`:>5<#<1o1>n?4;|`5e?6=:3:1;%6;a?>b3A;2n6*=20823g=#:5<#<1o1=:l4;n0a=?6=,=2n6?m>;:a2<<72;0;6=u+454952g<@=2=7E:81:&7<`3i7=8381<7>t$565>41f3A>3:6F;709'0=c=0l1C=4l4$302>41e3-8>n7;8e:k22c<72->3i7?8b:9l6g?=83.?4h4=c098yg0029096=4?{%672?70i2B?4;5G4638 1>b21o0D<7m;%015?70j2.99o4:7d9j53`=83.?4h4>7c98k7d>290/85k52b38?xd1>3:1>7>50z&703<6?h1C8584H552?!2?m32n7E?6b:&164<6?k1/>8l556g8m40a290/85k516`8?j4e13:1(96j:3a2?>{e><0;6?4?:1y'010=9>k0D969;I645>"30l03i6F>9c9'677=9>h0(?;m:45f?l71n3:1(96j:05a?>i5j00;6):7e;0`5>=zj>h1<7<50;2x 12128=j7E:76:J734=#<1o14h5G18`8 74628=i7)<:b;74a>o6>o0;6):7e;34f>=h:k31<7*;8d81g4=3;52;294~"33i76j;I3:f>"5:80:;o5+24`912c5$5:f>7e632wi;54?:383>5}#<=<1=:o4H5:5?M2092.?4h47e:J2=g=#:;;1=:l4$37a>01b3`;=j7>5$5:f>41e32e9n44?:%6;a?4d921vn:950;094?6|,=>=6<9n;I6;2>N3?81/85k58d9K5o750;&7<`<5k810qo99:181>5<7s->?:7?8a:J7<3=O<>;0(96j:9g8L4?e3-89=7?8b:&11g<2?l1b=;h50;&7<`<6?k10c?l6:18'0=c=:j;07pl85;296?6=8r.?8;4>7`9K0=0<@==:7):7e;:f?M7>j2.9><4>7c9'60d==>o0e<8i:18'0=c=9>h07bb2;i:76sm7583>7<729q/898516c8L1>13A><=6*;8d8;a>N61k1/>??516`8 73e2<=n7d?9f;29 1>b28=i76a=b883>!2?m38h=65rb7d94?4=83:p(9:9:05b?M2?>2B?;<5+49g9<`=O90h0(?<>:05a?!42j3?6g83>!2?m3;;%06f?30m2c::k4?::k261<722e9n44?::m2<5<722h:;l4?:383>5}#<=<1=:o4$5:f>=c<@83i7)<=1;34f>"5=k0>;h5f17d94?"30l0:;o54o3`:>5<#<1o1>n?4;|`1fg<72:0:6>u+45496gd<@83i7)<=1;0`5>"5=k0>;h5f17d94?=n9;>1<75`2c;94?=e<1k1<7=50;2x 1>b2=9;7d?9f;29 1>b28=i76g;2g83>!2?m3k276a=b883>!2?m38h=6F;3098yv71n3:1>vP>6g9>0=g=9?l0q~?=4;296~X6:=1685o543d8yv4e13:1>vP=b89>0=g=:k30qp}=b883>7}Y:k301<9n:3`:?xu6090;6>uQ1928941f28{t9?l1<7{zj=nm6=4=:183!23>38im6F;879K027<,=2n65k4H0;a?!45938h=6*=5c863`=n9?l1<7*;8d823g=;=4?:383>5}#<=<1>oo4H5:5?M2092.?4h47e:J2=g=#:;;1>n?4$37a>01b3`;=j7>5$5:f>41e32e9n44?:%6;a?4d921vn8=k:181>5<7s->?:7;0(96j:9g8L4?e3-89=7j2.9><4=c09'60d==>o0e<8i:18'0=c=9>h07bb2;i:76sm52094?4=83:p(9:9:3`b?M2?>2B?;<5+49g9<`=O90h0(?<>:3a2?!42j3?6g83>!2?m3;;%6;a?>b3A;2n6*=2081g4=#:5<#<1o1=:l4;n0a=?6=,=2n6?m>;:a0a4=8381<7>t$565>7df3A>3:6F;709'0=c=0l1C=4l4$302>7e63-8>n7;8e:k22c<72->3i7?8b:9l6g?=83.?4h4=c098yg2d03:1>7>50z&703<5jh1C8584H552?!2?m32n7E?6b:&164<5k81/>8l556g8m40a290/85k516`8?j4e13:1(96j:3a2?>{e:3a2?!42j3?6g83>>o6:=0;66a=b883>>d30h0;6>4?:1y'0=c=<::0e<8i:18'0=c=9>h07d:=f;29 1>b2h307bb2;i:7E:<1:9~w40a2909wS?9f:?7o1v<<;:181[75<27?4l4;2g9~w7d>2909wSj2.9><4=c09'60d==>o0e<8i:18'0=c=9>h07bb2;i:76sm53c94?4=83:p(9:9:3`b?M2?>2B?;<5+49g9<`=O90h0(?<>:3a2?!42j3?6g83>!2?m3;;I3:f>"5:809o<5+24`912c5<5<4290;w):7e;604>o6>o0;6):7e;34f>=n<;l1<7*;8d8b=>=h:k31<7*;8d81g4=O<:;07p}>6g83>7}Y9?l0196n:04e?xu6:=0;6?uQ136891>f2=8m7p}=b883>7}Y:k30196n:3`:?x{e=821<7<50;2x 1212;hj7E:76:J734=#<1o14h5G18`8 7462;i:7)<:b;74a>o6>o0;6):7e;34f>=h:k31<7*;8d81g4=2n7>52;294~"33i76j;I3:f>"5:809o<5+24`912c5$5:f>7e632wi8l<50;094?6|,=>=6?ln;I6;2>N3?81/85k58d9K5;%06f?30m2c::k4?:%6;a?70j21d>o750;&7<`<5k810qo;j2.9><4=c09'60d==>o0e<8i:18'0=c=9>h07bb2;i:76sm4d;94?4=83:p(9:9:3`b?M2?>2B?;<5+49g9<`=O90h0(?<>:3a2?!42j3?6g83>!2?m3;;I3:f>"5:809o<5+24`912c5<5<4290;w):7e;604>o6>o0;6):7e;34f>=n<;l1<7*;8d8b=>=h:k31<7*;8d81g4=O<:;07p}>6g83>7}Y9?l0196n:04e?xu6:=0;6?uQ136891>f2=8m7p}=b883>7}Y:k30196n:3`:?x{e=:3a2?!42j3?6g83>>o6:=0;66a=b883>>d30h0;6>4?:1y'0=c=<::0e<8i:18'0=c=9>h07d:=f;29 1>b2h307bb2;i:7E:<1:9~w40a2909wS?9f:?7o1v<<;:181[75<27?4l4;2g9~w7d>2909wSj2.9><4=c09'60d==>o0e<8i:18'0=c=9>h07bb2;i:76sm4g594?4=83:p(9:9:3`b?M2?>2B?;<5+49g9<`=O90h0(?<>:3a2?!42j3?6g83>!2?m3;;%6;a?>b3A;2n6*=2081g4=#:5<#<1o1=:l4;n0a=?6=,=2n6?m>;:a731=8381<7>t$565>7df3A>3:6F;709'0=c=0l1C=4l4$302>7e63-8>n7;8e:k22c<72->3i7?8b:9l6g?=83.?4h4=c098yg5193:1>7>50z&703<5jh1C8584H552?!2?m32n7E?6b:&164<5k81/>8l556g8m40a290/85k516`8?j4e13:1(96j:3a2?>{e;091<7<50;2x 1212;hj7E:76:J734=#<1o14h5G18`8 7462;i:7)<:b;74a>o6>o0;6):7e;34f>=h:k31<7*;8d81g4=52;294~"33i76j;I3:f>"5:809o<5+24`912c5$5:f>7e632wi?5950;094?6|,=>=6?ln;I6;2>N3?81/85k58d9K5;%06f?30m2c::k4?:%6;a?70j21d>o750;&7<`<5k810qo=71;296?6=8r.?8;4=b`9K0=0<@==:7):7e;:f?M7>j2.9><4=c09'60d==>o0e<8i:18'0=c=9>h07bb2;i:76sm36`94?4=83:p(9:9:3`b?M2?>2B?;<5+49g9<`=O90h0(?<>:3a2?!42j3?6g83>!2?m3;;%6;a?>b3A;2n6*=2081g4=#:5<#<1o1=:l4;n0a=?6=,=2n6?m>;:a722=8381<7>t$565>7df3A>3:6F;709'0=c=0l1C=4l4$302>7e63-8>n7;8e:k22c<72->3i7?8b:9l6g?=83.?4h4=c098yg51m3:1>7>50z&703<5jh1C8584H552?!2?m32n7E?6b:&164<5k81/>8l556g8m40a290/85k516`8?j4e13:1(96j:3a2?>{e;?21<7<50;2x 1212;hj7E:76:J734=#<1o14h5G18`8 7462;i:7)<:b;74a>o6>o0;6):7e;34f>=h:k31<7*;8d81g4=n7>52;294~"33i76j;I3:f>"5:809o<5+24`912c5$5:f>7e632wi8i4?:083>5}#<1o1?<4>7c9'60b=;9:0e>?>:18'0=c=;8;07pl;e;295?6=8r.?4h4<109K027<@8=h7)<=1;34f>"5=m08<55f30394?"30l08=<54}c6e>5<6290;w):7e;125>N3?81C=:m4$302>41e3-8>h73i7=>1:9~f06=83;1<7>t$5:f>6763A><=6F>7b9'677=9>h0(?;k:3a4?l5693:1(96j:232?>{e=80;6<4?:1y'0=c=;8;0D99>;I34g>"5:80:;o5+24f96f>>7>51;294~"30l08=<5G4638L41d3-89=7?8b:&11a<5k01b?5<7s->3i7=>1:J734=O9>i0(?<>:05a?!42l38hm6g<1083>!2?m39:=65rb4694?7=83:p(96j:232?M2092B:;n5+233952d<,;?o6?mm;h125?6=,=2n6>?>;:a10<7280;6=u+49g9747<@==:7E?8c:&164<6?k1/>8j52ba8m676290/85k53038?xd2>3:1=7>50z&7<`<4981C8:?4H05`?!4593;:183!2?m39:=6F;709K52e<,;8:6<9m;%06`?57l2c8=<4?:%6;a?56921vn8650;394?6|,=2n6>?>;I645>N6?j1/>??516`8 73c2;in7d=>1;29 1>b2:;:76sm5883>4<729q/85k53038L1163A;nh4i232>5<#<1o1?;0D<9l;%015?70j2.99i4=d09j747=83.?4h4<1098yg3d290:6=4?{%6;a?5692B?;<5G16a8 74628=i7)<:d;0g0>o4980;6):7e;125>=zjb2:;:7E:81:J23f=#:;;1=:l4$37g>66b3`9:=7>5$5:f>67632wi9h4?:083>5}#<1o1?<4>7c9'60b=;9l0e>?>:18'0=c=;8;07pl:f;295?6=8r.?4h4<109K027<@8=h7)<=1;34f>"5=m08==5f30394?"30l08=<54}c43>5<6290;w):7e;125>N3?81C=:m4$302>41e3-8>h73i7=>1:9~f37=83;1<7>t$5:f>6763A><=6F>7b9'677=9>h0(?;k:3f:?l5693:1(96j:232?>{e>;0;6<4?:1y'0=c=;8;0D99>;I34g>"5:80:;o5+24f96`751;294~"30l08=<5G4638L41d3-89=7?8b:&11a<5mo1b?5<7s->3i7=>1:J734=O9>i0(?<>:05a?!42l38m;6g<1083>!2?m39:=65rb8094?7=83:p(96j:232?M2092B:;n5+233952d<,;?o6?j=;h125?6=,=2n6>?>;:a=3<7280;6=u+49g9747<@==:7E?8c:&164<5k81/>8j52df8k676290/85k53038?xd>03:1=7>50z&7<`<4981C8:?4H05`?!4593;51;294~"30l08=<5G4638L41d3-89=7?8b:&11a<5l<1b?"5=m09h;5f30394?"30l08=<54}c116?6=93:1;0D<9l;%015?4d92.99i4=e89l747=83.?4h4<1098yg55;3:1=7>50z&7<`<4981C8:?4H05`?!45938h=6*=5e81ag=h;8;1<7*;8d8054=51;294~"30l08=<5G4638L41d3-89=7"5=m09i:5`30394?"30l08=<54}c113?6=93:1;0D<9l;%015?4d92.99i4=e49l747=83.?4h4<1098yg5503:1=7>50z&7<`<4981C8:?4H05`?!45938h=6*=5e81a6=h;8;1<7*;8d8054=51;294~"30l08=<5G4638L41d3-89=7"5=m09i95`30394?"30l08=<54}c11b?6=93:1;0D<9l;%015?4d92.99i4=e79l747=83.?4h4<1098yg5483:1=7>50z&7<`<4981C8:?4H05`?!45938h=6*=5e81a==h;8;1<7*;8d8054=51;294~"30l08=<5G4638L41d3-89=7"5=m09in5`30394?"30l08=<54}c6:e?6=93:1;0D<9l;%015?4d92.99i4<059l747=83.?4h4<1098yg2f83:1=7>50z&7<`<4981C8:?4H05`?!45938h=6*=5e8046=h;8;1<7*;8d8054=j87>51;294~"30l08=<5G4638L41d3-89=7?8b:&11a<5lk1b?"5=m080;6<4?:1y'0=c=;8;0D99>;I34g>"5:809o<5+24f97505}#<1o1?<4=c09'60b=:o20c>?>:18'0=c=;8;07pl;c283>4<729q/85k53038L1163A;km4i232>5<#<1o1?8j52g;8k676290/85k53038?xd3l80;6<4?:1y'0=c=;8;0D99>;I34g>"5:809o<5+24f96a`5}#<1o1?<4=c09'60b=:m=0c>?>:18'0=c=;8;07pl;e183>4<729q/85k53038L1163A;kk4i232>5<#<1o1?8j53178k676290/85k53038?xd3mj0;6<4?:1y'0=c=;8;0D99>;I34g>"5:809o<5+24f975?5}#<1o1?<4=c09'60b=;9=0c>?>:18'0=c=;8;07pl;fb83>4<729q/85k53038L1163A;5<#<1o1?8j52ec8m676290/85k53038?xd28;0;6<4?:1y'0=c=;8;0D99>;I34g>"5:809o<5+24f96c`?>;:a171=83;1<7>t$5:f>6763A><=6F>7b9'677=:j;0(?;k:3d7?j5693:1(96j:232?>{e=;31<7?50;2x 1>b2:;:7E:81:J23f=#:;;1>n?4$37g>7`23f9:=7>5$5:f>67632wi9?l50;394?6|,=2n6>?>;I645>N6?j1/>??52b38 73c2;l87b=>1;29 1>b2:;:76sm52194?7=83:p(96j:232?M2092B:;n5+24f975g<#:;:6=4+49g9747<3th>?54?:083>5}#<1o1?<4=c09'60b=:mo0c>?>:18'0=c=;8;07pl:3c83>4<729q/85k53038L1163A;ij4o232>5<#<1o1?8j52ea8m676290/85k53038?xd2<=0;6<4?:1y'0=c=;8;0D99>;I34g>"5:809o<5+24f9757?>;I605>=zj<:183!2?m39:=6F;709K52e<,;8:6?m>;%06`?57k2e8=<4?:%6;a?56921vn89>:182>5<7s->3i7=>1:J734=O9>i0(?<>:05a?!42l38mh6g<1083>!2?m39:=65rb45;>5<6290;w):7e;125>N3?81C=:m4$302>41e3-8>h73i7=>1:9~f<2=83;1<7>t$5:f>6763A><=6F>7b9'03`=<:80(?<>:05a?!42l38ni6g<1083>!2?m39:=65rb8794?4=83:p(96j:9g8 12128=j7)<=1;34f>"3>o0???5+38;9064<@==:7E?6b:&11g<2?l1b=;h50;&7<`<6?k10c?l6:18'0=c=:j;07pl;c483>4<729q/85k53038L1163A;7`f3`9:=7>5$5:f>67632wi8n850;094?6|,=2n65k4$565>41f3-89=7?8b:&72c<3;;1/?4754208L1163A;2n6*=5c863`=n9?l1<7*;8d823g=5}#<1o1?h0(?;k:3d5?l5693:1(96j:232?>{e<8:1<7<50;2x 1>b21o0(9:9:05b?!4593;<4H552?M7>j2.99o4:7d9j53`=83.?4h4>7c98k7d>290/85k52b38?xd38j0;6<4?:1y'0=c=;8;0D99>;I34g>"3>o0???5+233952d<,;?o6?h?;h125?6=,=2n6>?>;:a05b=8381<7>t$5:f>=c<,=>=6<9n;%015?70j2.?:k4;339'7;I3:f>"5=k0>;h5f17d94?"30l0:;o54o3`:>5<#<1o1>n?4;|`74d<7280;6=u+49g9747<@==:7E?8c:&72c<3;;1/>??516`8 73c2;l:7d=>1;29 1>b2:;:76sm41`94?4=83:p(96j:9g8 12128=j7)<=1;34f>"3>o0???5+38;9064<@==:7E?6b:&11g<2?l1b=;h50;&7<`<6?k10c?l6:18'0=c=:j;07p}<1;296~;31108<63;9e822c=z{:81<766<5=3n6<8i;|q0a?6=:r7?544o1v9j50;1xZ1b<5??1=;h4=5f9747n6=4<{_6f?801281:p15<72:qU9=5269822c=:=908=<5rs4394?5|V<;01;7517d8907=;8;0q~;=:180[35346763ty>?7>53z\67>;1j3;=j63:3;125>{t==0;6>uQ559>2f<6>o16994<109~w03=839pR8;4=7f953`<55<4sW?=708j:04e?8312:;:7p}:7;297~X2?27=j7?9f:?63?5692wx954?:2y]1==:?90::k52598054=z{<31<7=t^4;8927=9?l018753038yv3f2908wS;n;<51>40a34?j6>?>;|q6g?6=;rT>o6383;35b>;2k39:=6s|5e83>6}Y=m16;94>6g9>1a<4981v8k50;1xZ0c<5>?1=;h4=4g97471:p24<72:qU:<5279822c=:>808=<5rs7094?5|V?801:7517d8934=;8;0q~8<:180[0434=j6<8i;<40>6763ty=87>53z\50>;0j3;=j6394;125>{t><0;6>u2484952=:=>h1=:526481f<=z{?<1<7=t=5;5>4><5<=i6<64=7496g?34?7d>3ty=47>53z?7=3<6i27>;o4>a:?50<0=9k169:l51c9>2<<5j01v;o50;1x91?128i0189m:0a893g=:k30q~8m:18082>>3;o70;8b;3g?80e2;h27p}9c;297~;31?0:i63:7c82a>;1k38i56s|6e83>6}:<0<1=k5256`95c=:>m09n45rs7g94?5|5=3=6?>4=45a>76<5?o1>o74}r4e>5<5s4?;<4e>7d>3ty<<7>53z?7=3<5:27>;o4=2:?44?4e12wx;<4?:2y>0<0=:=169:l5259>34<5j01v:<50;0x91?12;?01:<52c;8yv142909w0:66;04?8142;h27p}84;296~;2?k0946384;0a=>{t?<0;6?u256`96<=:?<09n45rs6494?4|5<=i6?o4=6496g?;o4=c:?412d=:m16;44=b89~w2g=839p1979:3g8901e2;o01:o52c;8yv1e2908w0:66;0e?830j38m709m:3`:?xu0k3:1;v3;9984g>;31<044334?;?7?=4:?61g<6:=1v5:50;7x91?121>0189m:96891?>21>01978:96891?221>0q~68:181830j32<70:67;:4?xu?03:1>v3:7c8;<>;31>0346s|8`83>7}:=>h14l524859>272;70=>=:j>0149517d8yv??2908wS77;<;`>40a34336>?>;|q:g?6=;r7?55468:?7=0<>0272o794<109~w43d2908w0:68;310>;31<0:>95214a96g?7>53z\227=:9?91=;h4=041>6763ty::>4?:7y>0<0=i:169:l5a29>0<5=3<6<97;|q2<4<72;q68475193891??282:7p}>9483>7}:<031=4;4=5;;>4?23ty:5n4?:3y>0<0=90i01976:0;`?xu6i>0;6?u248;95g7<5=336c983>6}:<0<1=n64=5;:>4e?34>2;7?l8:p5f?=838p1976:0a:?82>?3;h56s|1b`94?4|5=32628oh70:67;3fg>{t:;81<774534>247<<6:p661=838p1976:314?82>0388;6s|2c794?4|5=2<6<8k;<700?71n2wx>o850;1x90532;h270:66;707>;3110>?>5rs3a1>5<5s4>2:7?8:18182>?39:;63;948052=z{:896=4<{_116>;4=k09n452330974753z\066=:;?;1>o74=200>6763ty8>84?:2y]773<5:<<6?l6;<111?5692wx??850;1xZ641349=47<8:180[55?278:h4=b89>771=;8;0q~==8;297~X4:116?::52c;8964?2:;:7p}<2e83>6}Y;;n01>9::3`:?855l39:=6s|33g94?5|V:8n70=8b;0a=>;4:l08=<5rs20e>5<4sW99j63<8081f<=:;;l1??>;|q074<72:qU?>?4=2:g>7d>3498=7=>1:p764=839pR>==;<1:7?4e1278??4<109~w6532909w0:66;100>;2?k08?95rs216>5<4s4>2:7=<5:?63g<4;<1684953268yv54i3:1>v3:7c807d=:<0=1?>o4}r16f?6=:r7>;o4<239>70d=9?l0q~=91;296~;2?k08>>52373953`52z?63g<4:<16?;9517d8yv5103:1>v3:7c8063=:;?21=;h4}r15a?6=:r7>;o4<269>73c=9?l0q~=84;296~;2?k08>552366953`52z?63g<4:m16?:;517d8yv50j3:1>v3:7c806`=:;>h1=;h4}r1;5?6=:r7>;o4<2g9>7=7=9?l0q~=77;296~;2?k08?=52395953`52z?63g<4;816?5j517d8yv5>;3:1>v3:7c8077=:;091=;h4}r1:e?6=:r7?5;4<9`9>12d=;0k0q~=6d;296~;31?085i524859752z?63g<4lh1684;53ec8yv26j3:1>v3;97875g=:<0?180<3=<>l0q~:6a;297~X31h1684l52c;891?f2:;:7p};9c83>7}:<0l1>o74=5;a>40a3ty?5n4?:3y>0;|q7e5<72:qU8l>4=5c1>7d>34>j<7=>1:p0d4=838p19o>:3`:?82f:3;=j6s|4`694?5|V=k?70:n5;35b>;3i=08=<5rs5c6>5<3s4?6}Y39:=6s|4`:94?4|5=3369o6;<6b3?71n2wx8o950;1xZ1d034>i57;3jj09n4524c`9747io7>52z?7=<<3jk168om517d8yv2d;3:1?vP;c29>0f2=9?l019m<:232?xu3k=0;6?u248:90gg<5=i?6?l6;|q7g2<72:qU8n94=5a;>7d>34>h;7=>1:p0f>=838p197::5a4?82d03;=j6s|4e394?5|V=n:70:k2;0a=>;3l808=<5rs5f1>5<5s4?o1v9jj:180[2cm27?hk4=b89>0ac=;8;0q~:kf;297~;2?k0?hi5248590ab<5=nm6<8i;|q7a5<72:qU8h>4=5g2>40a34>n<7=>1:p0`7=838p1977:5fg?82b938i56s|4d:94?5|V=o370:j9;0a=>;3m108=<5rs5g:>5<5s4>247:j8:?7a<<6>o1v9kl:180[2bk27?ih4=b89>0`e=;8;0q~:je;296~;3100?in524dg953`m97>53z\7b0=:o74=5d6>6763ty?j:4?:3y>0<>=uQ4ga891`c28{t1`d34>mh7?;<735?71n27><=4<109~w0662908w0;8b;6eb>;3100?jk5251396g?7>53z\647=:=991>o74=421>6763ty><94?:3y>0<>=<:04e?xu29>0;6>uQ5058907?2;h270;>7;125>{t=821<707034?:47?9f:p171=839pR8<8;<71>:4<109~w04?2909w0;8b;725>;2:10::k5rs40:>5<4sW?9563:2`81f<=:=;31?;o4:529>17g=9?l0q~;=b;297~X2:k169><52c;8904e2:;:7p}:3383>7}:=>h19?l4=411>40a3ty>?>4?:2y]165<5<9?6<6?;<707?5692wx9>;50;0x90512;h270;<4;310>{t=:=1<705034?8:7?9f:p16>=839pR8=7;<70e?4e127>?54<109~w05f2909w0;8b;70<>;2;h0::k5rs41a>5<4sW?8n63:3e81f<=:=:h1?;o4:3c9>16b=9?l0q~;;2;297~X2<;1699=517d890252:;:7p}:4283>6}:=>h199?4=5;:>02634???7894<109~w0212909w0:68;775>;2<<0::k5rs47b>5<4sW?>m63:5c81f<=:=10d=9?l0q~;9f;297~X2>o169:>52c;8900a2:;:7p}:7183>7}:<0=19;k4=453>40a3ty>;<4?:2y]127<5<=96<8i;<745?5692wx9:<50;0x91??2<{t=>21<7=t^45;?83013;=j63:798054=z{<=26=4;{<6:2?30?27>;o4:769>0=01896:3`:?xu><3:1?vP64:?:0?56927297?9f:p0f3=839pR9m:;<6`1?56927?o;4>6g9~w16a2908wS:?f:?74c<498168<>517d8yv27k3:1?vP;0b9>05e=;8;019>k:04e?xu38h0;6>uQ41c8916f2:;:70:?b;35b>{t1<0;6{<6`2?4e12wx8<>50;3x91772;h27p};0e83>4}:<9n1>o74}r63f?6=9r7?uG4638yk7d6c8273c2twe=n:l:182M2092C8=<4>{08~^40e28q99i4r}o3`0a<728qC8:?4I232>4}62tP::o4>{37g>x{i9j>n6=4>{I645>O4980:w<4rZ04a>4}5=m0vqc?l4g83>4}O<>;0E>?>:0y2>x\6>k0:w?;k:|m5f37290:wE:81:K054<6s80vV<8m:0y11a:|X22g<6s;?o6psa1b71>5<6sA><=6G<108246c8273c2twe=n;;:182M2092C8=<4>{08~^40e28q99i4r}o3`10<728qC8:?4I232>4}62tP::o4>{37g>x{i9j?=6=4>{I645>O4980:w<4rZ04a>4}5=m0vqc?l5683>4}O<>;0E>?>:0y2>x\6>k0:w?;k:|m5f3?290:wE:81:K054<6s80vV<8m:0y11a:|X22g<6s;?o6psa1b7b>5<6sA><=6G<108246c8273c2twe=n;l:182M2092C8=<4>{08~^40e28q99i4r}o3`1a<728qC8:?4I232>4}62tP::o4>{37g>x{i9j?n6=4>{I645>O4980:w<4rZ04a>4}5=m0vqc?l5g83>4}O<>;0E>?>:0y2>x\6>k0:w?;k:|m5f07290:wE:81:K054<6s80vV<8m:0y11a:|X22g<6s;?o6psa1b41>5<4sA><=6sa1b40>5<4sA><=6sa1b47>5<4sA><=6sa1b46>5<4sA><=6sa1b45>5<4sA><=6sa1b44>5<4sA><=6sa1b4;>5<4sA><=6sa1b4:>5<4sA><=6sa1b4b>5<4sA><=6sa1b4a>5<4sA><=6sa1b4`>5<5sA><=6sa1b4g>5<4sA><=6sa1b4f>5<4sA><=6sa1b4e>5<5sA><=6sa1b53>5<5sA><=6sa1b52>5<5sA><=6sa1b51>5<5sA><=6sa1b50>5<5sA><=6sa1b57>5<5sA><=6sa1b56>5<5sA><=6sa1b55>5<5sA><=6sa1b54>5<4sA><=6sa1b5;>5<4sA><=6sa1b5:>5<>sA><=6sa1b5b>5<=6sa1b5a>5<0sA><=6sa1b5`>5<1sA><=6sa1b5g>5<3sA><=6sa1b5f>5<6sA><=6G<108247sU17`95~42l3wvb8j5}|l2g=5=832pD99>;|l2g=2=83;pD99>;H125?7|93wQ=;l51z06`?{zf8i397>57zJ734=zf8i3:7>51zJ734=N;8;1=v?5}[35f?7|:c9594?>6sA><=6sa1b:;>5<4sA><=6sa1b::>5<0sA><=6sa1b:b>5<2sA><=6sa1b:a>5<4sA><=6sa1b:`>5<0sA><=6sa1b:g>5<2sA><=6sa1b:f>5<3sA><=6sa1b:e>5<4sA><=6sa1b;3>5<0sA><=6sa1b;2>5<3sA><=6sa1b;1>5<2sA><=6sa1b;0>5<0sA><=6sa1b;7>5<4sA><=6sa1b;6>5<3sA><=6sa1b;5>5<4sA><=6sa1b;4>5<3sA><=6sa1b;;>5<6sA><=6G<108276c8273c2twe=n7n:182M2092C8=<4>{38~^40e28q99i4r}o3`=g<728qC8:?4I232>4}52tP::o4>{37g>x{i9j3h6=4>{I645>O4980:w?4rZ04a>4}5=m0vqc?l9e83>4}O<>;0E>?>:0y1>x\6>k0:w?;k:|m5f?b290:wE:81:K054<6s;0vV<8m:0y11a5<6sA><=6G<108276c8273c2twe=no=:182M2092C8=<4>{38~^40e28q99i4r}o3`e6<728qC8:?4I232>4}52tP::o4>{37g>x{i9jk?6=4<{I645>{i9jk>6=4;{I645>{i9jk=6=4:{I645>{i9jk<6=4={I645>{i9jk36=4={I645>{i9jk26=4<{I645>{i9jkj6=4={I645>{i9jki6=4={I645>{i9jko6=4={I645>{i9jkn6=4={I645>{i9jkm6=4={I645>{i9jh;6=4={I645>{i9jh:6=4={I645>{i9jh96=4={I645>{i9jh86=4<{I645>{i9jh?6=4<{I645>{i9jh>6=4:{I645>{i9jh=6=4<{I645>{i9jh<6=4:{I645>{i9jh36=4>{I645>O4980:w?4rZ04a>4}5=m0vqc?lb883>4}O<>;0qc?lb`83>4}O<>;0qc?lbc83>4}O<>;0qc?lbb83>4}O<>;0E>?>:0y1>x\6>k0:w?;k:|m5fdc290:wE:81:m5fdb290:wE:81:K054<6s80vV<8m:0y11a5<>sA><=6sa1ba1>5<6sA><=6G<108276c8273c2twe=nm::180M2092we=nm9:182M2092C8=<4>{08~^40e28q99i4r}o3`g2<72;qC8:?4}o3`g=<728qC8:?4I232>4}52tP::o4>{37g>x{i9ji26=4;{I645>{i9jij6=4>{I645>O4980:w?4rZ04a>4}5=m0vqc?lcc83>1}O<>;0qc?lcb83>4}O<>;0E>?>:0y1>x\6>k0:w?;k:|m5fec290>wE:81:m5feb290:wE:81:K054<6s80vV<8m:0y11a5<3sA><=6sa1bf1>5<6sA><=6G<108276c8273c2twe=nj::181M2092we=nj9:182M2092C8=<4>{08~^40e28q99i4r}o3``2<72=qC8:?4}o3``=<728qC8:?4I232>4}62tP::o4>{37g>x{i9jn26=4<{I645>{i9jnj6=4={I645>O4980:w>4rZ04a>4}5=m0vqc?ldc83>0}O<>;0qc?ldb83>4}O<>;0E>?>:0y1>x\6>k0:w?;k:|m5fbc290?wE:81:m5fbb290:wE:81:K054<6s;0vV<8m:0y11a5<5sA><=6sa1bg1>5<6sA><=6G<10827uG4638M6762:q86<4<:|X22g<6s;?o6psa1bg6>5<4sA><=6sa1bg5>5<3sA><=6sa1bg4>5<6sA><=6G<108276c8273c2twe=nkn:181M2092we=nkm:182M2092C8=<4>{08~^40e28q99i4r}o3`af<72:qC8:?4}o3`aa<72;qC8:?4I232>4}42tP::o4>{37g>x{i9jon6=4:{I645>{i9jom6=4={I645>O4980:w>4rZ04a>4}5=m0vqc?lf183>1}O<>;0qc?lf083>4}O<>;0E>?>:0y1>x\6>k0:w?;k:|m5f`5290?wE:81:m5f`4290:wE:81:K054<6s80vV<8m:0y11a:|X22g<6s;?o6psa1bd5>5<2sA><=6sa17194?7|@==:7p`>6583>4}O<>;0qc?95;295~N3?81vb<89:182M2092we=;950;3xL1163td::54?:0yK02751zJ734=zf8{I645>{i9?h1<7?tH552?xh6>m0;6?uG4638yk7093:1=vF;709~j415290:wE:81:m522=83;pD99>;|l233<728qC8:?4}o343?6=9rB?;<5rn05;>5<6sA><=6sa16c94?7|@==:7p`>7c83>4}O<>;0qc?8c;295~N3?81vb<9k:182M2092we=:k50;3xL1163td:;k4?:0yK02751zJ734=zf82:6=4>{I645>{i9181<7?tH552?xh60:0;62290:wE:81:m5=0=83;pD99>;|l2<2<728qC8:?4}o3;5<6sA><=6sa19c94?7|@==:7p`>8c83>4}O<>;0qc?7c;296~N3?81vb<6k:181M2092we=5k50;0xL1163td:4k4?:0yK02751zJ734=zf83:6=4>{I645>{i9081<7?tH552?xh1>k0;6>uG4638yk01k3:1?vF;709~j30c2908wE:81:m225=83;pD99>;|l531<728qC8:?4}o441?6=9rB?;<5rn75e>5<4sA><=6sa69294?7|@==:7p`98083>4}O<>;0qc872;295~N3?81vb;6<:182M2092we:5:50;3xL1163td=484?:0yK02753zJ734=zf?2<6=4<{I645>{i>121<7?tH552?xh1000;6e2908wE:81:m2=e=839pD99>;|l55<6sA><=6sa68294?7|@==:7p`99083>6}O<>;0qc862;291~N3?81vb;7<:180M2092we:4:50;1xL1163td=584?:0yK02751zJ734=zf?3<6=4>{I645>{i>021<7?tH552?xh1100;6i3:1=vF;709~j3?e290:wE:81:m2;|l5=a<728qC8:?4}o4:a?6=9rB?;<5rn7;e>5<6sA><=6sa6`294?7|@==:7p`9a083>4}O<>;0qc8n2;295~N3?81vb;o<:182M2092we:l:50;3xL1163td=m84?:0yK02751zJ734=zf?k<6=4>{I645>{i>h21<7?tH552?xh1i00;6;|l5ea<728qC8:?4}o4ba?6=9rB?;<5rn7ce>5<6sA><=6sa6c294?7|@==:7p`9b083>4}O<>;0qc8m2;295~N3?81vb;l<:182M2092we:o:50;3xL1163td=n84?:0yK02751zJ734=zf?h<6=4>{I645>{i>k31<7?tH552?xh1jh0;6;|l5f`<728qC8:?4}o4ab?6=9rB?;<5rn7a3>5<6sA><=6sa6b394?7|@==:7p`9c383>4}O<>;0qc8l3;295~N3?81vb;m;:180M2092we:n;50;7xL1163td=o;4?:4yK02753zJ734=zf?i36=4<{I645>{i>j31<7=tH552?xh1kh0;6>uG4638yk0dj3:1=vF;709~j3ed290:wE:81:m2fb=83?pD99>;|l5g`<72:qC8:?4}o4`b?6=9rB?;<5rn7f3>5<6sA><=6sa6e394?3|@==:7p`9d383>6}O<>;0qc8k3;295~N3?81vb;j;:182M2092we:i;50;1xL1163td=h;4?:2yK02751zJ734=zf?n36=4>{I645>{i>m31<7?tH552?xh1lh0;6;|l5``<728qC8:?4}o4gb?6=9rB?;<5rn7g2>5<5sA><=6sa6d094?4|@==:7p`9e283>7}O<>;0qc8j4;295~N3?81vb;k::182M2092we:h850;3xL1163td=i:4?:0yK02751zJ734=zf?o26=4>{I645>{i>lk1<7?tH552?xh1mk0;6;|l5ac<72;qC8:?4}o4e4?6=:rB?;<5rn7d2>5<6sA><=6sa6g094?7|@==:7p`9f283>4}O<>;0qc8i4;295~N3?81vb;h::182M2092we:k850;3xL1163td=j:4?:0yK02751zJ734=zf?l26=4>{I645>{i>ok1<7?tH552?xh1nk0;6;|l444<72:qC8:?4}o536?6=9rB?;<5rn620>5<6sA><=6sa71694?7|@==:7p`80483>4}O<>;0qc9?6;295~N3?81vb:>8:182M2092we;=650;3xL1163td<<44?:0yK02751zJ734=zf>:n6=4>{I645>{i?9l1<7?tH552?xh0980;6>uG4638yk16:3:1=vF;709~j274290:wE:81:m342=83;pD99>;|l453<728qC8:?4}o523?6=9rB?;<5rn63;>5<6sA><=6sa70;94?7|@==:7p`81`83>4}O<>;0qc9>d;297~N3?81vb:?j:180M2092we;??50;3xL1163td<>?4?:0yK02751zJ734=zfhhi6=4={I645>{iiko1<7=tH552?xhfjo0;6>uG4638ykgd93:1?vF;709~jde22908wE:81:mef1=839pD99>;|lbg=<728qC8:?4}oc`=?6=9rB?;<5rn`ab>5<6sA><=6saab`94?7|@==:7p`ncb83>4}O<>;0qcold;295~N3?81vblmj:182M2092wemnh50;3xL1163tdjh=4?:0yK02751zJ734=zfhn96=4>{I645>{iim91<7?tH552?xhfl=0;6;|lb`g<728qC8:?4}ocgg?6=9rB?;<5rn`fg>5<6sA><=6saaeg94?5|@==:7p`ndg83>4}O<>;0qcoj0;295~N3?81vblk>:182M2092wemh<50;3xL1163tdji>4?:0yK02751zJ734=zfho>6=4>{I645>{iil<1<7?tH552?xhfm>0;6290:wE:81:me`g=83;pD99>;|lbag<728qC8:?4}ocfg?6=:rB?;<5rn`gg>5<6sA><=6saadg94?7|@==:7p`neg83>4}O<>;0qcoi0;295~N3?81vblh>:182M2092wemk=50;0xL1163tdjj94?:0yK02751zJ734=zfhl=6=4>{I645>{iio=1<7?tH552?xhfn00;6>uG4638ykgai3:1=vF;709~jd`e290:wE:81:mece=83;pD99>;|lbba<728qC8:?4}ocea?6=9rB?;<5rn`de>5<6sA><=6sab1294?7|@==:7p`m0083>4}O<>;0qcl?2;295~N3?81vbo><:182M2092wen=:50;3xL1163tdi<84?:0yK02751zJ734=zfk:<6=4>{I645>{ij921<7;|la4`<728qC8:?4}o`3b?6=9rB?;<5rnc33>5<6sA><=6sab0394?7|@==:7p`m1383>4}O<>;0qcl>3;295~N3?81vbo?;:182M2092wen<;50;3xL1163tdi=;4?:0yK02751zJ734=zfk;36=4>{I645>{ij831<7?tH552?xhe9h0;6;uG4638ykd6j3:18vF;709~jg7d2903wE:81:mf4c=838pD99>;|la5c<72;qC8:?4}o`14?6=9rB?;<5rnc02>5<6sA><=6sab3094?7|@==:7p`m2283>4}O<>;0qcl=4;295~N3?81vbo<::182M2092wen?850;3xL1163tdi>:4?:2yK02753zJ734=zfk826=4>{I645>{ij;k1<7;tH552?xhe:k0;6>uG4638ykd5k3:1=vF;709~jg4c290:wE:81:mf7c=83;pD99>;|la6c<728qC8:?4}o`04?6=9rB?;<5rnc12>5<6sA><=6sab2094?7|@==:7p`m3283>4}O<>;0qcl<4;295~N3?81vbo=::182M2092wen>650;0xL1163tdi?44?:3yK02751zJ734=zfk9i6=4>{I645>{ij:n1<7?tH552?xhe;l0;6;|l`3`<72:qC8:?4}oa4b?6=;rB?;<5rnb:3>5<4sA><=6sac9094?7|@==:7p`l8g83>4}O<>;0qcm60;295~N3?81vbn7>:182M2092weo4<50;3xL1163tdh5>4?:0yK02751zJ734=zfj3>6=4>{I645>{ik0<1<7?tH552?xhd1>0;613:1?vF;709~jf?d290:wE:81:mg;|l`=`<728qC8:?4}oa:b?6=;rB?;<5rnbc2>5<6sA><=6sac`094?5|@==:7p`>27394?5|@==:7p`>27094?7|@==:7p`>27194?7|@==:7p`>27694?7|@==:7p`>27794?7|@==:7p`>27494?7|@==:7p`>27594?7|@==:7p`>27:94?7|@==:7p`>27;94?7|@==:7p`>27c94?7|@==:7p`>27g94?5|@==:7p`>27d94?5|@==:7p`>26294?5|@==:7p`>26394?7|@==:7p`>26094?7|@==:7p`>26194?7|@==:7p`>26694?7|@==:7p`>26794?7|@==:7p`>26594?2|@==:7p`>26:94?76sA><=6sa135:>5<4sA><=6sa135b>5<6sA><=6sa135a>5<6sA><=6sa135`>5<6sA><=6sa135g>5<6sA><=6sa135f>5<6sA><=6sa135e>5<3sA><=6sa13:3>5<4sA><=6sa13:2>5<6sA><=6sa13:1>5<3sA><=6sa13:0>5<4sA><=6sa13:7>5<6sA><=6sa13:6>5<3sA><=6sa13:5>5<4sA><=6sa13:4>5<6sA><=6sa13:;>5<5sA><=6sa13::>5<5sA><=6sa13:b>5<6sA><=6sa13:a>5<6sA><=6sa13:`>5<6sA><=6sa13:g>5<6sA><=6sa13:f>5<6sA><=6sa13:e>5<6sA><=6sa13;3>5<6sA><=6sa13;2>5<6sA><=6sa13;1>5<6sA><=6sa13;0>5<6sA><=6sa13;7>5<6sA><=6sa13;6>5<5sA><=6sa13;5>5<5sA><=6sa13;4>5<5sA><=6sa13;;>5<4sA><=6sa13;b>5<4sA><=6sa13;a>5<6sA><=6sa13;`>5<6sA><=6sa13;g>5<6sA><=6sa13;f>5<6sA><=6sa13;e>5<6sA><=6sa13c3>5<6sA><=6sa13c2>5<6sA><=6sa13c1>5<6sA><=6sa13c0>5<6sA><=6sa13c7>5<6sA><=6sa13c6>5<6sA><=6sa13c5>5<6sA><=6sa13c4>5<6sA><=6sa13c;>5<6sA><=6sa13c:>5<6sA><=6sa13cb>5<6sA><=6sa13c`>5<4sA><=6sa13cf>5<4sA><=6sa13ce>5<6sA><=6sa13`3>5<6sA><=6sa13`2>5<6sA><=6sa13`1>5<6sA><=6sa13`0>5<6sA><=6sa13`7>5<6sA><=6sa13`6>5<6sA><=6sa13`;>5<4sA><=6sa13`:>5<6sA><=6sa13`b>5<6sA><=6sa13`a>5<6sA><=6sa13``>5<4sA><=6sa13`g>5<6sA><=6sa13`f>5<6sA><=6sa13`e>5<6sA><=6sa13a3>5<4sA><=6sa13a2>5<6sA><=6sa13a1>5<6sA><=6sa13a0>5<6sA><=6sa13a7>5<6sA><=6sa13a6>5<6sA><=6sa13a5>5<6sA><=6sa13a4>5<6sA><=6sa13a;>5<6sA><=6sa13a:>5<6sA><=6sa13ab>5<4sA><=6sa13aa>5<2sA><=6sa13a`>5<4sA><=6sa13ag>5<6sA><=6sa13af>5<6sA><=6sa13ae>5<4sA><=6sa13f3>5<4sA><=6sa13f2>5<6sA><=6sa13f1>5<6sA><=6sa13f0>5<6sA><=6sa13f7>5<6sA><=6sa13f6>5<6sA><=6sa13f5>5<4sA><=6sa13f4>5<2sA><=6sa13f;>5<2sA><=6sa13f:>5<4sA><=6sa13fb>5<6sA><=6sa13fa>5<6sA><=6sa13f`>5<6sA><=6sa13fg>5<6sA><=6sa13ff>5<4sA><=6sa13fe>5<4sA><=6sa13g3>5<6sA><=6sa13g2>5<6sA><=6sa13g1>5<6sA><=6sa13g0>5<6sA><=6sa13g5>5<4sA><=6sa13g4>5<5sA><=6sa13g;>5<4sA><=6sa13g:>5<4sA><=6sa13ga>5<>sA><=6sa13g`>5<4sA><=6sa13gg>5<6sA><=6sa13gf>5<6sA><=6sa13ge>5<6sA><=6sa13d3>5<6sA><=6sa13d2>5<6sA><=6sa13d1>5<6sA><=6sa13d0>5<6sA><=6sa13d7>5<6sA><=6sa13d6>5<6sA><=6sa13d:>5<4sA><=6sa13da>5<6sA><=6sa13d`>5<6sA><=6sa13dg>5<6sA><=6sa13de>5<6sA><=6sa1223>5<6sA><=6sa1222>5<6sA><=6sa1221>5<6sA><=6sa1220>5<6sA><=6sa1227>5<6sA><=6sa1226>5<6sA><=6sa1225>5<6sA><=6sa1224>5<6sA><=6sa122;>5<4sA><=6sa122a>5<6sA><=6sa122`>5<6sA><=6sa122g>5<6sA><=6sa122f>5<6sA><=6sa122e>5<6sA><=6sa1233>5<6sA><=6sa1232>5<6sA><=6sa1231>5<6sA><=6sa1230>5<6sA><=6sa1237>5<4sA><=6sa1235>5<5sA><=6sa1234>5<5sA><=6sa123;>5<6sA><=6sa123:>5<6sA><=6sa123b>5<6sA><=6sa123a>5<6sA><=6sa123`>5<6sA><=6sa123g>5<6sA><=6sa123f>5<6sA><=6sa123e>5<6sA><=6sa1203>5<6sA><=6sa1202>5<6sA><=6sa1201>5<6sA><=6sa1200>5<6sA><=6sa18a1>5<4sA><=6sa18a0>5<6sA><=6sa18a7>5<6sA><=6sa18a6>5<6sA><=6sa18a5>5<6sA><=6sa18a4>5<6sA><=6sa18ab>5<6sA><=6sa18aa>5<6sA><=6sa18a`>5<2sA><=6sa18ag>5<4sA><=6sa18ae>5<4sA><=6sa18f3>5<5sA><=6sa18f2>5<6sA><=6sa18f1>5<=6sa18f0>5<6sA><=6sa18f7>5<6sA><=6sa18f6>5<6sA><=6sa18f5>5<6sA><=6sa18f4>5<6sA><=6sa18f;>5<4sA><=6sa18f:>5<5sA><=6sa18fb>5<4sA><=6sa18fa>5<5sA><=6sa18f`>5<4sA><=6sa18fg>5<5sA><=6sa18ff>5<6sA><=6sa18fe>5<4sA><=6sa18g3>5<5sA><=6sa18g2>5<4sA><=6sa18g1>5<5sA><=6sa18g0>5<4sA><=6sa18g7>5<5sA><=6sa18g6>5<4sA><=6sa18g5>5<4sA><=6sa18g4>5<4sA><=6sa18g;>5<4sA><=6sa18g:>5<4sA><=6sa18gb>5<4sA><=6sa18ga>5<5sA><=6sa18g`>5<6sA><=6sa18gg>5<6sA><=6sa18gf>5<6sA><=6sa18ge>5<6sA><=6sa18d3>5<6sA><=6sa18d2>5<5sA><=6sa18d1>5<6sA><=6sa18d0>5<6sA><=6sa18d7>5<6sA><=6sa18d6>5<6sA><=6sa18d5>5<6sA><=6sa18d4>5<5sA><=6sa18d;>5<6sA><=6sa18d:>5<6sA><=6sa18db>5<6sA><=6sa18da>5<6sA><=6sa18d`>5<6sA><=6sa18dg>5<5sA><=6sa18df>5<6sA><=6sa18de>5<6sA><=6sa1`23>5<6sA><=6sa1`22>5<6sA><=6sa1`21>5<6sA><=6sa1`20>5<5sA><=6sa1`27>5<6sA><=6sa1`26>5<6sA><=6sa1`25>5<6sA><=6sa1`24>5<6sA><=6sa1`2;>5<6sA><=6sa1`2:>5<5sA><=6sa1`2b>5<5sA><=6sa1`2a>5<5sA><=6sa1`2`>5<5sA><=6sa1`2g>5<5sA><=6sa1`2f>5<5sA><=6sa1`2e>5<6sA><=6sa1`33>5<6sA><=6sa1`32>5<6sA><=6sa1`31>5<0sA><=6sa1`37>5<1sA><=6sa1`36>5<6sA><=6sa1`35>5<2sA><=6sa1`34>5<4sA><=6sa1`3;>5<6sA><=6sa1`3:>5<4sA><=6sa1`3b>5<4sA><=6sa1`3a>5<6sA><=6sa1`3`>5<4sA><=6sa1`3g>5<4sA><=6sa1`3f>5<6sA><=6sa1`3e>5<6sA><=6sa1`03>5<6sA><=6sa1`02>5<6sA><=6sa1`01>5<6sA><=6sa1`00>5<4sA><=6sa1`07>5<4sA><=6sa1`06>5<4sA><=6sa1`05>5<6sA><=6sa1`04>5<6sA><=6sa1`0;>5<6sA><=6sa1`0:>5<6sA><=6sa1`0b>5<6sA><=6sa1`0a>5<4sA><=6sa1`0`>5<4sA><=6sa1`0g>5<4sA><=6sa1`0f>5<4sA><=6sa1`0e>5<4sA><=6sa1`13>5<4sA><=6sa1`12>5<4sA><=6sa1`11>5<4sA><=6sa1`10>5<4sA><=6sa1`17>5<4sA><=6sa1`16>5<4sA><=6sa1`15>5<4sA><=6sa1`14>5<5sA><=6sa1`1;>5<5sA><=6sa1`1:>5<5sA><=6sa1`1b>5<5sA><=6sa1`1a>5<5sA><=6sa1`1`>5<5sA><=6sa1`1g>5<5sA><=6sa1`1f>5<5sA><=6sa1`1e>5<5sA><=6sa1`63>5<5sA><=6sa1`62>5<5sA><=6sa1`61>5<4sA><=6sa1`60>5<4sA><=6sa1`67>5<4sA><=6sa1`66>5<4sA><=6sa1`65>5<4sA><=6sa1`64>5<4sA><=6sa1`6;>5<4sA><=6sa1`6:>5<4sA><=6sa1`6b>5<4sA><=6sa1`6a>5<4sA><=6sa1`6`>5<4sA><=6sa1`6g>5<=6sa1`6f>5<6sA><=6sa1`6e>5<6sA><=6sa1`73>5<6sA><=6sa1`71>5<5sA><=6sa1`70>5<6sA><=6sa1`77>5<6sA><=6sa1`76>5<6sA><=6sa1`75>5<6sA><=6sa1`74>5<5sA><=6sa1`7;>5<6sA><=6sa1`7:>5<6sA><=6sa1`7b>5<5sA><=6sa1`7a>5<5sA><=6sa1`7`>5<5sA><=6sa1`7g>5<6sA><=6sa1`7f>5<6krB?;<5rn0c54?6=:rB?;<5rn0c55?6=:rB?;<5rn0c56?6=:rB?;<5rn0c57?6=9rB?;<5rn0c50?6=9rB?;<5rn0c51?6=:rB?;<5rn0c52?6=:rB?;<5rn0c53?6=9rB?;<5rn0c551zm654=839pqc?ic;295~{i:;;1<7=t}o02f?6=9rwe>9>50;1xyk44i3:1=vsa24d94?5|ug8>57>51zm622=83;pqc<63;297~{i:1n1<7?t}o0a6?6=;rwe>lm50;3xyk4c93:1?vsa2b`94?7|ug8m<7>53zm6`g=83;pqc=?f;297~{i;931<7?t}o11a?6=;rwe??650;3xyk53l3:1?vsa35594?7|ug9=o7>53zm730=83;pqc=7b;297~{i;1?1<7?t}o1be?6=;rwe?l:50;3xyk5d13:1?vsa3b194?7|ug9n47>53zm7`4=83;pqc:?7;297~{i<9;1<7?t}o566?6=9rwe;;950;3xyk10k3:1=vsa78`94?5|ug=297>51zm3gg=839pqc9m4;295~{i?jl1<7?t}o5fa?6=;rwe;h650;3xyk>7l3:1?vsa81594?7|ug29o7>53zm<70=83;pqc6;b;297~{i0=?1<7?t}o:5e?6=;rwe4;:50;3xyk>?13:1?vsa89194?7|ug22i7>51zm51zmf23=839pqcl9f;295~{ij0>1<7=t}o`;a?6=9rweno=50;1xykdfl3:1=vsabe094?5|ughho7>51zmfc7=839pqcljb;295~{ik8:1<7=t}oa3e?6=9rweo>?50;0xyke5i3:1=vsace294?7|uginj7>53zmg`?=83;pqcj?e;297~{il921<7?t}of1`?6=;rweh?950;3xykb3k3:1?vsad5494?7|ugn=n7>53zm`33=83;pqcj7a;297~{il1>1<7?t}ofb=?6=;rwehl=50;3xykbd03:1?vsadb094?7|ugnn;7>53zm``7=83;pqck?6;297~{im9:1<7?t}og11?6=;rwei53zma0b=83;pqck72;297~{im>i1<7?t}ogb5?6=;rwei4l50;3xykcd83:1?vsaecc94?7|ugon=7>52zmaag=83;pqch?2;296~{imoh1<7?t}od15?6=;rwejj7>53zmb0?=83;pqch8e;297~{in>21<7?t}od:`?6=;rwej4950;3xyk`ek3:1?vsafc494?7|uglon7>53zmba3=83;pqchia;297~{ino>1<7?t}o300=<728qvb<=:d;295~{i9:=96=4>{|l27=1=83;pqc?<9b83>4}zf89i=7>51zm56e1290:wp`>3e`94?7|ug;8j=4?:0y~j427=3:1=vsa153b>5<6std:8?h50;3xyk73<=0;6{|l20f6=839pqc?;b`83>4}zf8>oj7>53zm51b>290:wp`>4gg94?5|ug;?j54?:0y~j436l3:1?vsa1434>5<6std:9>m50;1xyk72;?0;6:1<7?t}o36<0<728qvb<;6a;295~{i9{|l21f2=83;pqc?:d883>4}zf8?ni7>51zm5364290:wp`>60:94?7|ug;=>i4?:0y~j403:3:1=vsa1774>5<6std::;m50;3xyk71080;6kl1<7=t}o35f<<728qvbk0:w?;k:|J1f==zf8im47>51zX22g<6s;?o6pF=b99~j4ea13:1=vT>6c8273c2tB9n55rn0aee?6=9rP::o4>{37g>xN5j11vbk0:w?;k:|J1f==zf8imo7>51zm5f`c290:wp`>cgg94?7|ug;hjk4?:0y~j4b783:1=vsr}|BCG~46:j0o::=7502~DED|8tJK\vsO@ \ No newline at end of file diff --git a/cpld/XC95144XL/WarpSE.ngr b/cpld/XC95144XL/WarpSE.ngr index 9f2c664..a95045f 100644 --- a/cpld/XC95144XL/WarpSE.ngr +++ b/cpld/XC95144XL/WarpSE.ngr @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6e -$5g`==)&&IEX#x8;/,,GV(q02$%#K]M.w;8*+)NGKG%z45!..KLFV(q02$%#_OB.w`8*+)Pi{zXI#x>;1;84Zhh|9:;<<640^llp5678Vcf|R>Pnnv3456692;n7<<5D`vbE976294:86?=:HLSQQ:1<26>752F__\XZ5R@>25?699?1:>7AZTQWW>Wct}e~7=<4?>c926?TF48;1<3h4138Qavsk|5;:6=0>1:31>Zgcl9:;=1?>:10:35>LHW]]0J0?=58?386d=593K7><4>>02864442HUM_O2=3;3=51=5;3CE\XZ5A^DPF94428437?4K<083:f=52MkmL2>:1<:?7:14=Whno<=>?<083:4=4n291EC^ZT;QT86<6611?6I2<:1<`?14?>897>@U;;3:5i6:5IORVP?B;;3:5=?5;:HLSQQ4?>g97>JSSX\^1]1=50?37?119:69SV:4294m794Paef3456;;3:596O311<6?D:697?0M1?=>49B8459=2K7=90:;@>21;3G;914>7L2>9?68E979=2K7>=08;@>15?79=2K7><0:;@>16;117;2G;?7>0M1614:C?=;2?10g8EVtaWge<=>>_hos[DUunVddx=>?10;8EZ@TJ5;;245N_GQA847912KTJ^L313<:?DYA[K6:?374A^DPF973601JSK]M<07==>GXNZH7=;06;@]EWG:6?730MRH\B=3;:<=FWOYI0<718:C\BVD;9730MRH\B=03:<=FWOYI0??19:C\BVD;:;4i7LQISC>17?7912KTJ^L322<;?DYA[K69255N_GQA868?3HUM_O2;>99B[CUE4<437LQISC>5:==FWOYI0:07;@]EWG:?611JSK]M<8<7?Dekz?1Jcxz@Ed9BkprHMVg~t=>?0g9BkprHMVg~t=>?0033?Dir|FOTaxv?01225464AnwwK@Yhz9:;>4:Cpaq2E5=DOE?6M7M59@4:AooZOI^Vcf|RMck^KMR472>5833M6:295K<3<5?A:4294?7I2<>79GPDELzl1OXLMDr^llp5679;80HYOLKs]mkq6788Uba}QKT@AHvZhh|9:;=<94D`vb85803Mkm1?15:FbpdG?3MkmL2?>89GeqgF48:5n6Jnt`C?54<7601OmyoN<03=e>Bf|hK7=7>18:FbpdG;9720HlznA=0=e>Bf|hK7?7>18:FbpdG;;720HlznA=6=<>Bf|hK79364D`vbE90902NjxlO37?:8@drfI52546Jnt`C?=;0Pilr\@jssGLUjbi>?013f?Air|FOTmcj?01316>Bh}}ENSl`k0122[lkwWMe~xBKPaof34576l2NdyyAJ_np3456582NdyyAJ_np3456XadzTHb{{OD]lv56788n0Hb{{OD]lv5679;:0Hb{{OD]lv5679Vcf|RJ`uuMF[jt789;:=6K:;DDbhi773LLj`aQfmq]FBdjk9;1N_85JS=2=1>CT484>7H]32?58AV:4294>7H]33?c8AVYffm:;<=?n;DQ\ekb789:Te`~PER]bja6789;j7H]Paof34576i2OXSl`k0122[lkwWLYTmcj?0132`>CTWjg{Sh?01214>CTWjg{Sh?012\mhvXMZUha}Qjq12344bCTWfx;<=>Pilr\AVYhz9:;<<;4EUC@O46?1028AQGDCVcf|RK[ABI2<>CiikfnX<4Es78Aw:76>1N~1?50?78Aw:66=1MOAE=;GF0?CBD<2LOOH=4FER1?C@33OLo=:5IFe3.Mk>9:DE`4+Nf8;37KHk1,Km6g=ANm;&EcQfmq68BCb5?2LMh?#Fn99EBa4*Ag;27KHk2,Km54>9:DE`7+Nf=;37KHk2,Km1g=ANm8&EcQfmq68BCb4?2LMh>#Fn99EBa5*Ag;27KHk3,Km54?@Al<'Bb55IFe7.Mk7>3OLo9 Ga10:8BCb2%@d9n6HId4/JjZojx=1MJi88;GDg2(Oi9=1MJi8"Io]jiuYANm<&Ec?;;GDg32=ANm=&Ec64FGf4)Lh612LMh:#Fn03;?C@c?$Ce>45IFe5.Mk4602LMh:#Fn2;8BCb0%@d8=55IFe5.Mk2e3OLo; Ga_hos0>@Al1=0JKj7-Hl;?C@c0$Ce=45IFe:.Mk7602LMh5#Fn3;8BCb?%@d9=55IFe:.Mk5>3OLo4 Ga30;8BCb?%@d?=55IFe:.Mk3e3OLo4 Ga_hos7>@TJ:1M_@m4FRO\4(vfzldo6H\M^3.tdtbf}i0J^CP2,rbv`hsk2LXAR="p`pfjq7?5F339J07=N=;1B:?5F759JEFUb3@KH_Rgbp^KBGV733@DBX>5FNW78MJGD\m1BCLM[_`lg4567:91BCLM[_`lg4567W`g{SDANCU]bja6789;o7DANCU]bja67888;7DANCU]bja6788Uba}QFO@AW[dhc89::=i5FO@AW[hs89:;i6G@ABV\ip~789::j6G@ABV\ip~789::=h5FO@AW[hs89:;>k5FO@AW[hs89:;>?j;HMBGQYj}q:;<=:>1:KLEFRXe|r;<=>Pilr`?LIFK]Ud~=>?00g8MJGD\Vey<=>?_hos[LIFK]Ud~=>?0048MJGD\{<0EBLJRSg8MJDBZ[Uecy>?0001?LIEM[XTbbz?013\mhvXAFHN^_Qaou2344733@EIA95FOCQ7?LID[<1BCN]>5:KLGV423@EH_>m4INAP[dhc89:;=h5FOBQ\ekb789:Te`~PINAP[dhc89:;=k5FOBQ\ghvXmx:;<=<;;HM@WZejxVoz<=>?_hos[LID[Vif|Rk~01235c=NGJYTo`~Pep2344433@EH_Rmbp^gr4566W`g{SDALS^antZcv89::=k5FOBQ\ghvXmx:;=_hos[LID[Vif|Rk~01215c=NGJYTo`~Pep2346433@EH_Rmbp^gr4564W`g{SDALS^antZcv89:8=k5FOBQ\ghvXmx:;<9<;;HM@WZejxVoz<=>;_hos[LID[Vif|Rk~01275c=NGJYTo`~Pep2340433@EH_Rmbp^gr4562W`g{SDALS^antZcv89:>=k5FOBQ\ghvXmx:;<;<;;HM@WZejxVoz<=>9_hos[LID[Vif|Rk~01255c=NGJYTo`~Pep2342433@EH_Rmbp^gr4560W`g{SDALS^antZcv89:<=k5FOBQ\ghvXmx:;<5<;;HM@WZejxVoz<=>7_hos[LID[Vif|Rk~012;5c=NGJYTo`~Pep234<433@EH_Rmbp^gr456>W`g{SDALS^antZcv89:2=k5FOBQ\ghvXmx:;==<;;HM@WZejxVoz<=??_hos[LID[Vif|Rk~01335==NGJYTe`~9;HMGKKCc3@EOCCKPos2345473@EOCCKPos2345YneyUBCIAAE^mq4567911BCIAAEhl4?LICGGOy86G@L1a8MJJ7Wds<=>?d:KLH5Yj}q:;<=?i;HMO4Zkrp9:;<<>>e:KLH5Yj}q:;<=?>f:KLH5Yj}q:;<=?>1g9JKI6Xe|r;<=>>20g8MJJ7Wds<=>?12g8MJJ7Wds<=>?15f8MJJ7Wds<=>?2d9JKI6Xe|r;<=>=1d9JKI6Xe|r;<=><1d9JKI6Xe|r;<=>;1d9JKI6Xe|r;<=>:1d9JKI6Xe|r;<=>91e9JKI6Xe|r;<=>8e:KLH5Yj}q:;<=9>d:KLH5Yj}q:;<=6j;HMO4Zkrp9:;<5?j;HMO4Zkrp9:;<4?>0:KLH5Yj}q:;<=Qfmq`8MJJ7Wfx;<=>>c:KLH5Yhz9:;OHD8Uecy>?003f?LIK9Vddx=>?1^kntZOHD8Uecy>?0036?LIKLZ30EB@_Rdcg}47OHX^IX56G@PVPfea602CD\Z\jae{\mhvXAFZ\^hoky058MJTCZL[m7DA]DSGR[dhc89:;>95FOSFQATYffm:;<=Qfmq]JKWBUMXUjbi>?013e?LIUL[OZS`{w012355=NG[NYI\Qbuy23457692CD^I\JQ^ov|56788;:=6G@REPFUZkrp9:;1:KLVATBYVg~t=>?02324>OHZMXN]Rczx12341763@EYH_K^_lw{4567<8;;7DA]DSGR[hs89:;9:1038MJTCZL[Taxv?01255462CD^H_}139JKWCVzVcf|RG@RDSq50=NG[]:h6G@RV3\ekb789:n7DA]W0]bja6789;n7DA]W0]bja67898n7DA]W0]bja67899:=6G@RV3\ekb789:Te`~l;HMQS4Yhz9:;<Paof34566m2CD_=Qnne2345YneyUBC^>Paof34566k2CD_=Qbuy2345b?01]jiuYNGZ:Tc>?013f?LITWjg{Sh?012f?LITWjg{Sh?013f?LITWjg{Sh?010f?LITWjg{Sh?011f?LITWjg{Sh?016f?LITWjg{Sh?017f?LITWjg{Sh?0147?LIR8j1BCX>Pmtz3456c3@E^Pmtz34566;l1BCX>Pmtz34566Pmtz34565m2CDY=Qbuy234546m2CDY=Qbuy234556m2CDY=Qbuy234526m2CDY=Qbuy234536m2CDY=Qbuy234506l2CDY=Qbuy23451b3@E^>0:KLSWTBYVg~t=>?0032?LIPZ[OZS`{w012354763@E\^_K^_lw{4567:8;:7DAXRSGR[hs89:;??4038MJQUZL[Taxv?0127546119JKRTUMXUfyu>?01527>OH_[XN]Rczx1234Zojx11BCZ\]EPp1?LU33@Y_Oh5FSUA\mhvXAZ^H=i5FS^antZcv89:;h6G\_bos[`w789;o7D]Pclr\at678;n0E^Qlmq]fu567;m1B_Rmbp^lf4567;2Ce<>5Fn018Mk443@d8?6Ga429Jj0ci5CPL]B@AYT]KU8n6B_M^@VWQTN9m1G\@QLOTLWAWY6l2F[ARM@UOVFVZ4c3EZFSNAZNUGQ[6>f3EZFSIHI_0;:?IVJWMLMS?o4LQO\@C@X:9k0@]CPDGD\64g>o4LQO\@C@X:=k0@]CPDGD\60g:o4LQO\@C@X:1k0@]CPDGD\6JWEVNMJR;6;MRN[A@AW?30@]CPDGD\3<=KXDUOJKQ79:NSIZBANV3j7A^B_YMQ6Z7>3E^bah|30?c8HQojm{6:<3m4LUknaw:693:5m6B[ilgq847912F_e`k}<0<:?IRnelx7>374LUknaw:4601GXdcjr=6==>JSadoy0806;MVji`t;>730@Ygbes>4:<=K\`gn~1619:NWmhcu40437AZfmdpWG45?0037?IRnelx_ORmbp^gr4567:8>0@YgbesV@[fkwWl{;<=><169OPlkbz]ITo`~Pep2345Yney20@Ygbes]355=K\`gn~R>Pmtz3456692F_e`k}_1]nq}6789;:>6B[ilgq[5Yj}q:;<=?>139OPlkbzV:Taxv?01215441:NWmhcuW9Ufyu>?01720>JSadoyS=Qbuy2345Yney20@Ygbes]2=>JSadoyS<>6;MVji`tX98;;7AZfmdp\5Zgil9:;?_hos[IRnelxT=Road12344773E^bah|P1^cm`5679;<0@Ygbes]2[dhc89::Sdc_MVji`tX9Vkeh=>?1033?IRnelxT=Road123670_`lg4565W`g{SAZfmdp\5Zgil9:;>?0337?IRnelxT=Rmbp^gr4567;8=0@Ygbes]2[fkwWl{;<=>Pilr24>JSadoyS2:NWmhcuW8Ufyu>?010254=K\`gn~R?Pmtz345649=1GXdcjr^3\ip~789:Te`~>0:NWmhcuW8Uecy>?0005?IRnelxT=R``t1235ZojxVF_e`k}_0]mkq6788;m7AZfmdp\5Ziu89:;>95CThofvZ7Xg{:;<=Qfmq]OPlkbzV;Tc>?013e?IRnelxT=Ra}012261=K\`gn~R?Pos2344YneyUGXdcjr^3\kw6788;m7AZfmdp\5Ziu89:9>95CThofvZ7Xg{:;?033;?IRnelxT>55CThofvZ5?3E^bah|P499OPlkbzV?37AZfmdp\2==K\`gn~R97;MVji`tX011GXdcjr^;6?Iifl8n0@bok1^llp5679;:0@bok1^llp5679Vcf|RB`ae3\jjr789;:h6Cnde]\eab789:o7@okd^]b`a6788l0Aua}_Sgpqir;994m7@v`r^Pfwpjs48;5i6Cwos]Qavsk|5;5i6Cwos]Qavsk|585i6Cwos]Qavsk|595i6Cwos]Qavsk|5>5i6Cwos]Qavsk|5?5i6Cwos]Qavsk|5<5i6Cwos]Qavsk|5=5i6Cwos]Qavsk|525i6Cwos]Qavsk|535>6@?2:L26>H5:2D8>6@;2:L60>HHZ1;0C>5@R378KW4E9<1D^?L=3:MQ70=HZ:H9?6A]449LV1D6;2EY9>5@R718KW143FX386Azt1g8Kpr7W`g{SB{{0068Kpr6m2E~x<:4Otv0a>Ir|:Uba}Q@uu120>Ir|=o0Cxz;_hos[Jss<8>0Cxz:4:Mvp32W;87<0]1?50?68U979<2[7>384Q=194;20:SlWWcflpUjbi>?0105?TiTZlkouRoad1234ZojxV[d__kndx]bja6789;:<6_`SSgb`|Yffm:;<<<9;PmPV`gcqVkeh=>?1^kntZWh[[ojhtQnne234476;2[d__kndx]`iuYby9:;5^oRPfeaXkdzTi|>?000`?TiTZlkouRmbp^gr4566W`g{S\a\Rdcg}ZejxVoz<=>>1g9RkVTbimsTc>?0107?TiTZlkouRa}0123[lkwWXeX^hoky^mq45679o1Zc^\jae{\kw67888?7\a\Rdcg}Ziu89::Sdc_PmPV`gcqVey<=>>149QE969>2XJ0<>18:PB847=87<0^L2>1?78VD:66<1YM1<15:PB86823[K783;4R@>6:0=UI5<596\N<6<6?WG;07?0^L26>29QEH3W_hos[WGJKZ:S=<>4R@O@WZojxVXJAN]>8:PBIWcflp;;7_OBRdcg}Zkrp9:;<?1008VDKUmhnrS`{w012354753[KF^hoky^ov|5678;;:=6\NMSgb`|Yj}q:;<==>4:PBIWcflpUfyu>?01]jiu3=5]ARGO[dhc89:;Sdc_SCPAIYffm:;<=?k;SCPAIYj}q:;<=k4R@QFHZkrp9:;<1:PBW@JXe|r;<=>Pilr6?WGTMGn0^L]JN^ov|5678l1YM^KA_lw{45679o1YM^KA_lw{456798l0^L]JN^ov|5678;;n7_O\EO]nq}67899:=6\NSDL\ip~789:Te`~:;SCPvc3h4R@QqvZkrp9:;<>?i;SCPvwYj}q:;<=:>e:PBWwtXe|r;<=>:109QEVtuWds<=>?_hosg>TFW9Ufyu>?01f8VDY7Wds<=>?1d9QEZ6Xe|r;<=>>1d9QEZ6Xe|r;<=>=1e9QEZ6Xe|r;<=><119QEZ6Xe|r;<=>Pilrg?WGX99Ufyu>?01g8VDY68Vg~t=>?00d8VDY68Vg~t=>?003e?WGX99Ufyu>?0102a>TFW8:Taxv?012054=UIV;;S`{w0123[lkwl2XJS_lw{4567;8;0^LQ>1^ov|5678Vcf|n5]A^3\ip~789:o7_OP1^ov|56788o0^LQ>_lw{456798o0^LQ>_lw{4567:8n0^LQ>_lw{4567;8:0^LQ>_lw{4567W`g{o6\N_3]nq}6789n0^LQ=_lw{45679l1YMRQbuy234576m2XJS>Qbuy234546l2XJS>Qbuy23455682XJS>Qbuy2345Yneyi0^LQ;_lw{4567l2XJS9Qbuy23457b3[KT8Rczx123447b3[KT8Rczx123477c3[KT8Rczx12346773[KT8Rczx1234Zojxj1YMR;Pmtz3456c3[KT9Rczx12344cS`{w012354cS`{w012364bS`{w0123746S`{w0123[lkwk2XJS;Qbuy2345bTFW>Ufyu>?0132a>TFW>Ufyu>?0102`>TFW>Ufyu>?01124>TFW>Ufyu>?01]jiueTFW1Ufyu>?013f?WGX0Vg~t=>?003f?WGX0Vg~t=>?033g?WGX0Vg~t=>?0233?WGX0Vg~t=>?0^kntf=UIV3Taxv?012g?WGX1Vg~t=>?00g8VDY>Wds<=>?10g8VDY>Wds<=>?20f8VDY>Wds<=>?3028VDY>Wds<=>?_hos1>THEJY<7_ABCR6[51=UGDIX8UQfmq]QKHET4RNO@WZejxVoz<=>?279QKHETWjg{Sh?012\mhvXZFGH_Rmbp^gr456798:0^BCLS^kntZTHEJY:>6\\8:PP4qiUmo;;7_]?tnPfbZgil9:;?_hos[WU7|fXnjRoad12344773[Y;xb\jf^cm`5679;<0^^>{oSge[dhc89::Sdc_SQ3pjTbnVkeh=>?1033?WU7|fXnjRoad123670?3348VV6sg[omSl`k0120[lkwW[Y;xb\jf^cm`567;8;=7_]?tnPfbZojxVXX?01f8VVYdeyUn}=>?1e9QWZejxVoz<=>=d:PP[fkwWl{;<==k;SQ\ghvXmx:;<9j4RR]`iuYby9:;9i5]S^antZcv89:=h6\\_bos[`w789=87_ZC5:Pfeac3[ojhtQnne2345473[ojhtQnne2345YneyUYiljv_`lg45679m1Yiljv_`lg4566:91Yiljv_`lg4566W`g{S_kndx]bja6788;o7_kndx]bja678;8;7_kndx]bja678;Uba}Q]e`fz[dhc89:9=<>4Rdcg}ZojxVXnmiw>7:PfbAiimo1YikJ`nd]bja67898?7_kiDnlf[dhc89:;Sdc_Sge@jhbWhdo<=>?1g9QacBhflUecy>?0007?WcaLfdnSca{0122[lkwW[omHb`j_omw45669l1YikJ`nd]lv5678;80^hhKoog\kw6789Uba}Q]egFlj`Yhz9:;<<84RddQat>?239QacTbyVkeh=>?0^kntZTbn[ozSl`k0123544>139QacSuoVcf|R\jfTpd5a=Umo_ykRa}012365=Umo_ykRa}0123[lkwW[omYiPos2345713[oxyaz6;Sgpqir;87k0^h}zlu>24;e1;2=e>Tb{|f019:Pfwpjs4:427_k|umv?0;?89Qavsk|5<556\jstnw828>3[oxyaz38?;8V`urd}622?84RdqvhqY7Wge<=>?_hos[Wct}e~T0_nb}4:Q`wq2<[ldo6]jnu]bja6789;n7^kat^cm`5678Vcf|R]jnu]bja6789;i7^kat^mq45679j1XiczPos2345YneyUXiczPos23457f3Zdo^LCLSVP26>Uil[KFO^Y]_`lg4567:h1Xbi\NMBQTVZgil9:;<0:Qm`WGJKZ]YSnc_ds3456XadzT_cj]ALAPSWYdeyUn}=>?0036?VhcZHGH_Z\Pclr\at67889;7^`kR@O@WRTXkdzTi|>?00]jiuYTfmXJAN]XR^antZcv89::=<;4SofQEHET_[Uha}Qjq123666<[gnYM@M\WS]`iuYby9:;>Rgbp^Qm`WGJKZ]YSnc_ds345469<1Xbi\NMBQTVZejxVoz<=><319PjaTFEJY\^Rmbp^gr4564W`g{S^`kR@O@WRTXkdzTi|>?02321>Uil[KFO^Y]_bos[`w789>8<6]adSCNGVQUWjg{Sh?016\mhvX[gnYM@M\WS]`iuYby9:;8?033;?VhcZFGH_<=4SofQKHETWjg{Sh?01220>Uil[EFO^Qlmq]fu56788;?7^`kRNO@WZejxVoz<=>?2068WkbUGDIXSnc_ds345649=1Xbi\@MBQ\ghvXmx:;<=:>7:Qm`WIJKZUha}Qjq1234Zojx890_cj]OLAP[fkwWl{;<=?>4:Qm`WIJKZUha}Qjq12354733Zdo^BCLS^antZcv89::><:4SofQKHETWjg{Sh?013051=TfmXDAN]Pclr\at6788>:;6]adSMNGVYdeyUn}=>?1^knt45<[gnYC@M\_bos[`w7898:86]adSMNGVYdeyUn}=>?2037?VhcZFGH_Rmbp^gr4565:8>0_cj]OLAP[fkwWl{;<=<<169PjaTHEJYTo`~Pep2347Yney;=7^`kRNO@WZojxVYeh_ABCR3e?VhcZFGH_Ra}012361=TfmXDAN]Pos2345YneyUXbi\@MBQ\kw6789;?7^}jt39WWa=S[Vif|Rk~0123`>RTWjg{Sh?013g?QUXkdzTi|>?03f8PVYdeyUn}=>?359W]UC23]cfi64Thofv96902^bah|31?:8Plkbz585m6Zfmdp?7?6902^bah|33?58Plkbz]I:>6ZfmdpWGZejxVoz<=>?2`9Wmhcu\JUha}Qjq1234ZojxV^bah|[C^antZcv89:;=i5[ilgq[dhc89:;>=5[ilgq[dhc89:;Sdc_UknawYffm:;<=?8;Upj@drf;2\HO45YIDU\P\VB<2\[Mm4VQCq[kis89::=h5YP@p\jjr789;Te`~PVQCq[kis89::=l5YiePBIFUPZm1]ei\NMBQTV32l911]ei\NMBQTV32lWjg{Sh?0122=>Pnl[KFO^Y]65i\ghvXmx:;<=?>9:Tj`WGJKZ]Y:9ePclr\at67898:56XfdSCNGVQU>=aTo`~Pep234556k2\bh_OBCRUQ21mXkdzTi|>?01]jiu473_co^LCLSVP50nYneyU]ei\NMBQTV32l98?0Zdj]ALAPSWYdeyUn}=>?0228RlbUIDIX[_Qlmq]fu5678Vcf|RXfdSCNGVQUWjg{Sh?012250=QamXJAN]XR^antZcv89::?=5YiePBIFUPZVif|Rk~0122[lkwW_co^LCLSVP\ghvXmx:;<5:Tj`WGJKZ]YSnc_ds3454482\bh_OBCRUQ[fkwWl{;<=7[gkR@O@WRTXkdzTi|>?0213?SocZHGH_Z\Pclr\at678:Uba}QYiePBIFUPZVif|Rk~0120543<^`nYM@M\WS]`iuYby9:;8>>4VhfQEHET_[Uha}Qjq1230ZojxV\bh_OBCRUQ[fkwWl{;<=:>149UmaTFEJY\^Rmbp^gr4562;91]ei\NMBQTVZejxVoz<=>:_hos[SocZHGH_Z\Pclr\at678<;:96XfdSCNGVQUWjg{Sh?01404>Pnl[KFO^Y]_bos[`w78981078RlbUIDIX[_Qlmq]fu5670::0Zdj]ALAPSWYdeyUn}=>?8^kntZPnl[KFO^Y]_bos[`w7892:=85YiePBIFUPZVif|Rk~012:75=QamXJAN]XR^antZcv89:2Sdc_WkgVDKD[^XTo`~Pep234<76=2\bh_OBCRUQ[fkwWl{;<<><0:Tj`WGJKZ]YSnc_ds3446XadzTZdj]ALAPSWYdeyUn}=>>0036?SocZHGH_Z\Pclr\at67989;7[gkR@O@WRTXkdzTi|>?10]jiuYQamXJAN]XR^antZcv89;:=?01326>Pnl[KFO^Y]_np345659;1]ei\NMBQTVZiu89:;?<;4VhfQEHET_[Ud~=>?0^knt7=P[<1\_1>15:UP84823^Y7>394WR>0>5823^Y7?384W`psW@7c3QCGECV"XE@#4+7'[]_I,= > @Q@ML0^cjVCo==5Wdl]Neoiu^lxxeb`>0:ZgiZKnffx]i}foo78\j:76?1Sc1>1179[k969:?1Sc1>1389[k969W`g{?6V|t89[wqAJgmogn6Qnde2345:76m1Tmij?012?5?69j2Ujhi>?01>2:g=Ximn;<=>32?f8[dbc89:;0>4?>c9\eab789:7?3l4_`fg4566494h7Rokd12359776l1Tmij?013?54<76j1Tmij?013?548e3Vkoh=>?1=3=f>Yflm:;<<2=>c9\eab789;7?3l4_`fg45664=4i7Rokd1235939j2Ujhi>?00>5:g=Ximn;<=?37?`8[dbc89::050m;^cg`567953546Qnne2345713Vkeh=>?0^kntZYffm:;<=?7;^cm`56798<0Sl`k0122[lkwWVkeh=>?10:8[dhc89:9=;5Paof3454XadzTSl`k01215==Xign;<==>6:]bja678:Uba}QPaof3455602Ujbi>?0535?Zgil9:;8Rgbp^]bja678=;37Road123140:_hos[Zgil9:;9<64_`lg45619?1Tmcj?014\mhvXWhdo<=>9199\ekb789=::6Qnne2342YneyUTmcj?0152<>Yffm:;<5?9;^cm`5670Vcf|RQnne234=7?3Vkeh=>?9048[dhc89:2Sdc_^cm`5671820S`{w01233>Yhz9:;<<:4_np3456XadzTSb|?01223>Yhz9:;=<:4_np3457XadzTSb|?01321>gcllxn7l`k0123[jt789:9>6oad1234Ziu89:;Sdc_`lg4567Wfx;<=>>3:amp2=dg|di<4cr18`c`>3mcyinzfoo18bvd33`eia95focq:?kGCg|~GI=?7;oCGkprKM9Uba}QaAEmvpIC798:0bLJ`uuNF4Ziu89:;>;5aAEmvpIC7Wfx;<=>Pilr\jDBh}}FN199mEAir|EO:Sdc_oCGkprKM8;87cO\7:lBWZ@TJ>1eM^QFOC31?kGTW@EIScO\_HMAkprf3gKXSDAMotv5?kGTg|~n7cO\otv\ekb789:9>6`NSnww[dhc89:;Sdc_oCPkprXign;<=>>e:lBWjssWge<=>>239mEVir|Vddx=>?1^kntZhF[fSca{01225a=iIZe~xRa}012365=iIZe~xRa}0123[lkwWgKXcxzPos2345703gKdyyAJ159mEjssGLUba}QaAnwwK@723gHN^_74nCGQVZ@TJ8;0bOK]R^DPFZgil9:;?0^kntZhEM[XTJ^LPaof3456612dII_\PIN@4?kD@W@EI;6`MR^KLFc=iJ[UBCOQnne2345433gHYSDAM_`lg4567W`g{ScL]_HMA[dhc89:;=k5aBS]JKGYj}q:;<=??;o@Q[LIEWds<=>?1038jGTXAFHTaxv?01225475aBS]JKGYj}q:;<=Qfmqg8jGTXAFHTc>?0101?kDUW@EISb|?012\mhvXfKXTEBLPos2345733gIJ_n5aC@Q\ip~789:o7cMNS^ov|56788o0bNO\_lw{456798n0bNO\_lw{4567:l1eOL]Pmtz345659m1eOL]Pmtz34564m2dHM^Qbuy234556m2dHM^Qbuy234526l2dHM^Qbuy23453682dHM^Qbuy2345Yney<0bIZNCJc8jARFKBUM_Oo4nEVBGNYNGKo0bIZNCJ]bja6789897cJ[ABI\ekb789:Te`~PnEVBGNYffm:;<=?j;oFWEFMXff~;<=?=2:lGPDELWge<=>>_hos[kBSIJATbbz?01322>hCagFNh6`KioNF[jt789:9<6`KioNF[jt789:Te`~PnEkmH@Yhz9:;<<84nEkmK@c6`KioMF[lkwWgNbbBK>7:lGkprHMo1eHb{{OD]bja67898?7cJ`uuMF[dhc89:;Sdc_oFlqqIBWhdo<=>?1g9m@jssGLUjbi>?0007?kBh}}ENSl`k0122[lkwWgNdyyAJ_`lg456698>0bIaztNG\mhvXfMe~xBK>e:lGkprHMVey<=>?239m@jssGLUd~=>?0^kntZhCg|~DIRa}012350=iAYF9:6`FPM0q0>hKLZ20bAJ\_GQA<>hKLZUBCO?;;oNGWZOHJVdGH^QFOCmvpg=iDMYTEBL`uua8jIBTWhdo<=>?1d9mHAUXign;<=>Pilr\jIBTWhdo<=>?1b9mHAUXign;<=?>e:lO@VYffm:;<c:lO@VYffm:;Rgbp^lO@VYffm:;0bAYJc:lOS@Yffm:;<=?j;oNTAZgil9:;hHMVkeh=>?00a8jJCXign;<=>Pilr\jJCXign;<=>>b:lLAZgil9:;=?1348jJpbzekrSl`k0122[lkwWgE}ibny^cm`56798;;7cAyesnb}Zhh|9:;=?84nNtfvig~Wge<=>>_hos[kIqm{fjuR``t1235412:lQKHETW`g{Sc\@MBQ22>hUGD]Ni6`]OLUF[dhc89:;>?5aRNOTAZgil9:;?1008jWIJ_LUba}QaRNOTA42hRLZUjbi>?013f?kSC[Vkeh=>?0^kntZhRLZUjbi>?013`?kSC[Vkeh=>?10g8jPBTWhdo<=>>_hos[kSC[Vkeh=>?10a8jPBTWge<=>>1d9mQAUXff~;<=?Pilr\jPBTWge<=>>1c9mQAUXg{:;<=?l;oWGWZiu89:;Sdc_oWGWZiu89:;=95aUVG`?kSPMVkeh=>?00g8jPQBWhdo<=>?_hos[kSPMVkeh=>?00g8jPQBW`g{Sc[XE068jSKF02d]ALQFOC37?kPJIVCDNR`YM@]JKGir|k1eZ@OPIN@lqqehQXHUecy>?00]jiuYi^YKTbbz?01327>hPM>1e[HQISC58tjjXkea27}ac_bnhak>vhz}Uh`fka9:rlvqYci}k37}a}t^mse3=wg{~T}o5osv\v`urd}30|b|{_raov<=wg{~T~k{1:p0?wgj02x`Rzvpd38wc=tg|xhiRhfldlbi`b<{fyohQciogmf7=rx01}ma{j_bkm=>pfd|oTc}l7;ya:156dl1so4;<6ynsg12*=tJK|0g8222c0sg9m:7?4n2d4>3=#;o?1?hh4}R;:>6`c2;2m6<=;b02e>400mh1o?hk50;395~U>i39mh7<7f;300g77n3;=;h94vG174?6=93;1;%0:4?2bj2h8ih4?:5`957<11rF8j?4={I3e4>"5190?il5rL2d0>4}#v??:0:9y.2f?3:17d7>:188k1002900e9=k:188k1ec2900e9l=:188k1gc2900c4<50;9l0d7=831b89k50;9l0<`=831d88l50;9l006=831bo;4?::kf6?6=3`>=47>5;n60a?6=3f>?47>5;h64g?6=3f>j<7>5;h6`a?6=3f>i?7>5;n6:5?6=3`386=44i8294?=h<:<1<75`42c94?=n<>l1<75f45d94?=h:1<75`46694?=n1<75`45`94?=h:hn1<7*=9381ef=i:0;1<65`2``94?"51;09mn5a28395>=h:k<1<7*=9381ef=i:0;1>65`2c794?"51;09mn5a28397>=h:k>1<7*=9381ef=i:0;1865`2c194?"51;09mn5a28391>=h:k81<7*=9381ef=i:0;1:65`2c394?"51;09mn5a28393>=h:k:1<7*=9381ef=i:0;1465`2`d94?"51;09mn5a2839=>=h:ho1<7*=9381ef=i:0;1m65`2`c94?"51;09mn5a2839f>=n??0;6)<62;56?k4>93:07d9;:18'6<4=?<1e>4?51:9j36<72-82>79:;o0:5?4<3`=96=4+280930=i:0;1?65f7183>!4>:3=>7c<61;68?l0a290/>4<5749m6<7==21b:h4?:%0:6?123g82=784;h4g>5<#:081;85a28393>=n>j0;6)<62;56?k4>93207d8m:18'6<4=?<1e>4?59:9j2d<72-82>79:;o0:5?g<3`<26=4+280930=i:0;1n65f6983>!4>:3=>7c<61;a8?l00290/>4<5749m6<7=l21b;h4?:%0:6?123g82=7k4;h5g>5<#:081;85a2839b>=n?j0;6)<62;56?k4>93;;76g8b;29 7?52>?0b?7>:038?l1f290/>4<5749m6<7=9;10e:750;&1=7<0=2d95<4>3:9j3=<72-82>79:;o0:5?7332c<;7>5$3;1>235<#:081;85a283953=6=4+28097225<#:081?::4n3;2>4=5<6290;w)=m0;31<>N4n91d=?950;9~f6`3290:6=4?{%1a4?5el2B8j=5`29g94?=zj=9;6=4>d;1:>1b|D:l96l?:8`8^=c=9r;?6pT<6g8245=u`3=6=44o205>5<5<!4>:3>:7c<61;28?l27290/>4<5409m6<7=921b?k4?:%0:6?263g82=7<4;h1g>5<#:0818<5a28397>=n;k0;6)<62;62?k4>93>07d=n:18'6<4=<81e>4?55:9j7<<72-82>7:>;o0:5?0<3`936=4+280904=i:0;1;65f3683>!4>:3>:7c<61;:8?l51290/>4<5409m6<7=121b?84?:%0:6?263g82=7o4;h17>5<#:0818<5a2839f>=n;:0;6)<62;62?k4>93i07d==:18'6<4=<81e>4?5d:9j0d<72-82>7:>;o0:5?c<3`>26=4+280904=i:0;1j65m48:94?3=:3?p@>h=:0yK5c64=#?4$5fa>d7<,=no6?7;;%6g=??c3g>o97?4$5fb>4`f3`3o6=44i8g94?=ni80;66a=9583>>o4??0;66l8:186>7<2sE9m>7?tH0d3?x"4j90:j45fa083>>i51=0;66g6d;29?l?b2900e4950;9a3?6==3:1N4n91G?k<51z&271<3m81ve4;50;9j=2<722c3j7>5;hc2>5<=2wx>;k50;0x96`6288<7095969~w70a2909w0952868Z7?33ty9;=4?:3y]e4=:?3k:7psm43:94?5=83:p(>l?:354?M5a82c:>l4?::k26g<722e9;84?::p=a<72;qU5i527;;g?xu>m3:1>vP6e:?76=<6:k1v?7;:181[4><27<6?7;;|q033<72:qU?:84=68b5>;3:10:>l5rs504>5<5s4=15h5243:962346|,:h;6<88;n37f?6=3`;>=7>5;h367?6=3`;>97>5;h363?6=3`;>57>5;h36f?6=3`;>h7>5;h36b?6=3`;==7>5;h357?6=3`;=97>5;h37b?6=3`9h>7>5;c37g?6=13:150z&0f5<5?m1C?k>4i00b>5<5<5<6=44}c37a?6=;3:1vP>4c9>51c=:>?0q~?:1;296~X6=816=9m513c8yv72;3:1>vP>529>51e=9;h0q~?:5;296~X6=<16=9m513a8yv72?3:1>vP>569>51e=9;n0q~?:9;296~X6=016=9m513d8yv72j3:1>vP>5c9>51e=9;o0q~?:d;296~X6=m16=9m51228yv72n3:1>vP>5g9>51b=9;h0q~?91;296~X6>816=9m51238yv71;3:1>vP>629>51b=9;k0q~?95;296~X6><16=9j513f8yv73n3:1>vP>4g9>51b=9;i0q~=l2;296~X4k;16=9j513g8yv73k3:1>v3>4b8130=:9=o1=?o4}r37`?6=:r7:8i4=749>51c=9;h0qpl<9c83>`<328;p(>l?:2;a?j5>>3:17d:6:188m1g=831b??4?::k07?6=3`9?6=44i2794?=n;?0;66g<7;29?l5?2900e>750;9j7d<722c8n7>5;h1g>5<o4?::k26f<722c:>i4?::m130<722wi?4650;794?6|,:h;68j4H2d3?l75i3:17d?=b;29?l75k3:17d?=d;29?j40=3:17pl<9883>3<729q/?o>5639K7c65<5<5<54;294~"4j90>46F:;50;9~w6?12909wS=66:?0=d<5?<1v9750;0xZ1?<5:336<2c9~w62=838pR>:4=2;:>44e3ty897>52z\01>;41>0:>n5rs2494?4|V:<01>78:00g?xu4?3:1>vP<7:?0=<<6:h1v>650;0xZ6><5:336<288o7p}2b9~w6b=838pR>j4=2;:>44b3ty85:4?:3y>7<1=:>?01>7n:00a?xu4110;6?u238:9623<5:3j6<i41j0;66g;9;29?l2f2900e><50;9j76<722c887>5;h16>5<>o403:17d=6:188m6g=831b?o4?::k0`?6=3k92h7>55;294~"4j90>n6F7j:186>5<7s-9i<7;l;I1e4>o6:h0;66g>2c83>>o6:j0;66g>2e83>>i5?<0;66sm38d94?0=83:p(>l?:708L6`73`;9m7>5;h31f?6=3`;9o7>5;h31`?6=3`;9i7>5;n041?6=3th8m=4?:583>5}#;k:1955G3g28m44f2900e<k3:1>vP<9b9>7d6=:>?0q~:6:181[2>3492h7?=a:p0d<72;qU8l5238g957gl3;9n6s|3283>7}Y;:16?4j513a8yv532909wS=;;<1:b?75j2wx?84?:3y]70=:;0n1=?j4}r15>5<5sW9=70=6e;31f>{t;>0;6?uQ369>7<`=9;k0q~=7:181[5?3492i7?=c:p7<<72;qU?45238g957bn3;9h6s|3c83>7}Y;k16?4h513a8yv5c2909wS=k;<1:b?75m2wx?4j50;0x96?c2;=>70=n0;31f>{t;0o1<7712349j<7?=a:p7<`=838p1>7i:356?85f83;9o6srb2c5>5>o3i3:17d==:188m65=831b?94?::k01?6=3`9=6=44i2594?=n;10;66g<9;29?l5f2900e>l50;9j7a<722h8m>4?:883>5}#;k:1:>5G3g28m44f2900e<:188k7122900qo=n4;292?6=8r.8n=492:J0b5=n9;k1<75f13`94?=n9;i1<75f13f94?=n9;o1<75`26794?=zj:k>6=4<:183!5e83?>7E=i0:k26d<722c:>o4?::m130<722wx?l<50;0xZ6g5349j97<85:p0<<72;qU84523`1957gj6=4={_6b?85f;3;9n6s|3383>7}Y;;16?l=513a8yv542909wS=<;<1b7?75l2wx?94?:3y]71=:;h>1=?l4}r16>5<5sW9>70=n3;31a>{t;?0;6?uQ379>7d5=9;l0q~=8:181[50349j87?=a:p7=<72;qU?5523`195667}Y;h16?l:513f8yv5e2909wS=m;<1b0?75k2wx?i4?:3y]7a=:;h>1=?k4}r1b7?6=:r78m>4=749>7d3=9;k0q~=n4;296~;4i=09;8523`7957dg}#;k:1?n64o2a7>5<>o4i3:17d=m:188m6b=831b?k4?::k74?6=3`>96=44b2a6>5<2290;w)=m0;7a?M5a82c:>l4?::k26g<722c:>n4?::k26a<722e9;84?::a7f0=83?1<7>t$2`3>0b<@:l;7d?=a;29?l75j3:17d?=c;29?l75l3:17b<85;29?xd4k>0;6>4?:1y'7g6==<1C?k>4i00b>5<5<52z\0g1=:;j=1>:;4}r1;>5<5sW9370=l5;31e>{t;00;6?uQ389>7f3=9;h0q~=n:181[5f349h97?=c:p7g<72;qU?o523b7957b3;9o6s|3g83>7}Y;o16?n8513f8yv272909wS:?;<1`2?75i2wx8?4?:3y]07=:;j<1=?l4}r1`1?6=:r78o84=749>7f1=9;k0q~=l6;296~;4k?09;8523b5957dc}#;k:1?h94o2g0>5<5<5<5<5<5<5<?50;9l623=831vn>k::186>5<7s-9i<7<8b:J0b5=n9;k1<75f13`94?=n9;i1<75f13f94?=h:>?1<75rb2g5>5<4290;w)=m0;042>N4n91b=?o50;9j57d=831d>:;50;9~w6c42909wS=j3:?0a3<5?<1v>mn:181[5di278i94>2c9~w6ed2909wS=lc:?0a1<6:h1v>mj:181[5dm278i94>2b9~w6b72909wS=k0:?0a1<6:l1v>j=:181[5c:278i94>2e9~w6b32909wS=k4:?0a1<6:o1v>j9:181[5c>278i94>309~w6b?2909wS=k8:?0a1<6;91v>jn:181[5ci278i84>2`9~w6bd2909wS=kc:?0a0<6:j1v>jj:181[5cm278i84>2c9~w6c72909wS=j0:?0a0<6:m1v>k;:18185b<38<9636=4={<1f1?40=278i;4>2c9~yg55?3:197>50z&0f5<2m2B8j=5f13c94?=n9;h1<75f13a94?=n9;n1<75`26794?=zj:8h6=4<:183!5e838<:6Fo8:180>5<7s-9i<7;:;I1e4>o6:h0;66g>2c83>>i5?<0;66sm2cc94?5=83:p(>l?:458L6`73`;9m7>5;h31f?6=3f8<97>5;|`1fg<72:0;6=u+3c2910=O;o:0e<50z&0f5<212B8j=5f13c94?=n9;h1<75f13a94?=h:>?1<75rb2g1>5<4290;w)=m0;76?M5a82c:>l4?::k26g<722e9;84?::a7=c=8391<7>t$2`3>03<@:l;7d?=a;29?l75j3:17b<85;29?xd6;:0;644?:1y'7g6=>:1C?k>4i00b>5<5<5<5<5<2m7>53;294~"4j90>96F5<7s-9i<7;i;I1e4>o6:h0;66g>2c83>>o6:j0;66g>2e83>>i5?<0;66sm3b`94?3=83:p(>l?:4g8L6`73`;9m7>5;h31f?6=3`;9o7>5;h31`?6=3f8<97>5;|`0ga<72<0;6=u+3c291a=O;o:0e<50z&0f5<2m2B8j=5f13c94?=n9;h1<75f13a94?=n9;n1<75`26794?=zj:n:6=4::183!5e83?o7E=i0:k26d<722c:>o4?::k26f<722c:>i4?::m130<722wi?i=50;794?6|,:h;68j4H2d3?l75i3:17d?=b;29?l75k3:17d?=d;29?j40=3:17pl0<729q/?o>55b9K7c65<5<6=44}c1g3?6==3:1N4n91b=?o50;9j57d=831b=?m50;9j57b=831d>:;50;9~f6b>290>6=4?{%1a4?3d3A9m<6g>2`83>>o6:k0;66g>2b83>>o6:m0;66a=7483>>{e;mh1<7;50;2x 6d72h?;h31e?6=3`;9n7>5;h31g?6=3`;9h7>5;n041?6=3th8hi4?:483>5}#;k:19n5G3g28m44f2900e<?1<75rb2g2>5<2290;w)=m0;7a?M5a82c:>l4?::k26g<722c:>n4?::k26a<722e9;84?::a7=5=83?1<7>t$2`3>0e<@:l;7d?=a;29?l75j3:17d?=c;29?l75l3:17b<85;29?xd40<0;684?:1y'7g6==j1C?k>4i00b>5<5<5<55;294~"4j90>n6F66:186>5<7s-9i<7;j;I1e4>o6:h0;66g>2c83>>o6:j0;66g>2e83>>i5?<0;66sm39`94?3=83:p(>l?:4g8L6`73`;9m7>5;h31f?6=3`;9o7>5;h31`?6=3f8<97>5;|`050z&0f5<2j2B8j=5f13c94?=n9;h1<75f13a94?=n9;n1<75`26794?=zj8??6=4::183!5e83?h7E=i0:k26d<722c:>o4?::k26f<722c:>i4?::m130<722wi=8850;794?6|,:h;68m4H2d3?l75i3:17d?=b;29?l75k3:17d?=d;29?j40=3:17pl>5983>0<729q/?o>55e9K7c65<5<6=44}c36e?6==3:1N4n91b=?o50;9j57d=831b=?m50;9j57b=831d>:;50;9~f43d290>6=4?{%1a4?3c3A9m<6g>2`83>>o6:k0;66g>2b83>>o6:m0;66a=7483>>{e9h?;h31e?6=3`;9n7>5;h31g?6=3`;9h7>5;n041?6=3th::=4?:483>5}#;k:19h5G3g28m44f2900e<?1<75rb047>5<2290;w)=m0;7g?M5a82c:>l4?::k26g<722c:>n4?::k26a<722e9;84?::a530=83?1<7>t$2`3>0b<@:l;7d?=a;29?l75j3:17d?=c;29?l75l3:17b<85;29?xd4:00;6>4?:1y'7g6==?1C?k>4i00b>5<5<54;294~"4j909;45G3g28m44f2900e<83:187>50z&0f5<5?01C?k>4i00b>5<5<6=44}c14b?6=;3:1N4n91b=?o50;9j57d=831d>:;50;9~f6?5290?6=4?{%1a4?4012B8j=5f13c94?=n9;h1<75f13a94?=h:>?1<75rb2:2>5<4290;w)=m0;76?M5a82c:>l4?::k26g<722e9;84?::a7<2=8391<7>t$2`3>7113A9m<6g>2`83>>o6:k0;66a=7483>>{e9<:1<7=50;2x 6d72<<0D>h?;h31e?6=3`;9n7>5;n041?6=3th?5n4?:283>5}#;k:19:5G3g28m44f2900e<349K7c65<033o7p}<2783>3}Y;;<01><8:356?855k3;9n6344e3ty9n44?:5y]6g?<5;hj6?9:;<0af?75i278o44>2b9~w61c2909wS=8d:?0<`<5?<1v5o50;1xZ=g<5=3j6<2o7?=b:p7<3=838pR>7:;<1b3?40=2wx=9l50;0xZ42e34;=;7?;b:p0a5=838pR9j<;<1`=?75i2wx>o650;0xZ7d?348in7<85:p773=838pR><:;<11g?40=2wx=><50;0xZ45534;8?7<85:p507=838p1<88:072?872:38<96s|14194?4|58<<6<;<;<360?40=2wx=8;50;0x940028?>70?:6;041>{t9<=1<743034;>47<85:p50?=838p1<88:07:?872i38<96s|14`94?4|58<<6<;m;<36g?40=2wx=;;50;0x940028<>70?96;041>{t943c34;>i7<85:p50`=838p1<88:07e?871838<96s|17394?4|58<<6<8>;<356?40=2wx=;=50;0x940028<870?94;041>{t;;21<744f349957<85:p7=4=838p1>6<:356?85>83;9m6s|39694?4|5:2>6?9:;<1:4?75j2wx?5850;0x96>02;=>70=60;31g>{t;121<77123492>7?=a:p7=g=838p1>6m:356?85>:3;9n6s|39a94?4|5:2o6?9:;<1:6?75k2wx?:k50;0x961a2;=>70=64;31e>{t;1:1<7712349287?=b:p0m38<96s|38494?4|5:3i6>79;<1b=?75i2wx??o50;0x964e2;=>70==9;31f>{t;0i1<76?d349j57?=b:p7d4=838p1>o9:2c1?85f13;9o6s|3b694?4|5:i36>m;;<1`=?75j2wx=9h50;0x940028>m70?:0;041>{t;l91<76c4349n>7?=b:p0<>=83>p1977:3;7?84ej3;9n63<28826d=:9<:1=?o4}r1`7?6=;r78o44=749>7`4=9;k01>6j:00b?xu3100;6?u248:9=`=:<0k1>:;4}r6:f?6=:r7?5n4=749>052z?0=7<5?<16?5?513`8yv5>;3:1>v3<8d826g=:;0>1>:;4}r1b2c9>7d?=:>?0q~=la;296~;4m>08ol523b`962352z?0a2<4kj16?nj52678yv5dm3:1>v3:;4}r1g4?6=:r78i:47a7=:>?0q~=k2;296~;4m>08h?523e1962352z?0a2<4l=16?i;52678yv5cm3:1>v3:;4}r1`6?6=;rT:4o5217597f4<5:o96?9:;|q0a5<72:q6?h953d2896c62;=>70=8f;31e>{t;m<1<7=t=2g4>6b1349o;7<85:?0<4<6:h1v>j7:18185b?39o463;4lm09;85rs5094?76sW>970=l8;61?855?3;9o63=b`826g=:9:91=>?4=20a>44c34;>>7?=d:?211<6:m16=88513f8943?288o70?:a;31`>;6=j0:>i5214g957b<58<;6<2c9>530=9;h0(>9>:538j617291v9>50;32[27349h47:?;<113?75l279nl4>2`9>565=9::01>55826f=:9<<1=?m4=07;>44d34;>m7?=a:?21f<6:k16=8k513`89407288h70?92;31`>;6>=0:>i52174957b<,:=:69?4n253>4=z{:l1<7??{_1e?85d039m70==7;31f>;6;:0:>k5233`957d<58?96<2`9>50>=9;h01<;n:00`?872k3;9o63>5d826d=:9?:1=?l4=041>44d34;=87?=c:?223<6:h1/?:?5409m726=:2wx?i4?:01xZ6b<5:3i6>j4=2c2>6b<5:k=6>j4=2a;>6b<5:8<6<o4>2`9>504=9;k01<;;:00b?872>3;9n63>59826d=:944f34;>i7?=c:?225<6:h16=;<513`89403288j70?96;31g>"4?80?=6`<7180?xu4j3:1:vP177m:2;896g62:301>o9:2;896e?2:301<=<:00a?!5093>:7c=80;48yv5?290=wS=7;<1:f?5?349j=7=7;<1b2?5?349h47=7;<307?75i2.8;<4;1:l035<03ty8;7>511y]72=:;0h1?:523`3972=:;h<1?:523b`957e<5:io6<2c9>7a5=9;h01>j::00b?85c?3;9h6344c349oj7?=d:?0a4<6:m1/?:?5409m726=02wx?;4?:02xZ60<5:3i6>84=2c2>60<5:k=6>84=2aa>44e349hh7?=a:?0gc<6:m16?i?513f896b4288o70=k5;31`>;4l>0:>o523e;957g<5:ni6<2b9>7`7=9;i0(>9>:538j617201v>;50;33[523492n7=:;<1b5?52349j:7=:;<1`f?75l278oi4>2e9>7f`=9;h01>j>:00b?85c;3;9o6344d349on7?=b:?0`a<6:h16?ih513`896c6288i7)=81;62?k5083k0q~=;:1824~X4<2785o4<4:?0e4<4<278m;4<4:?0gg<6:h16?nj513a896ea288j70=k1;31g>;4l:0:>l523e7957d<5:n<6<2`9>7ab=9;h01>ji:00b?85b93;9m6*<70875>h4?90i7p}<3;29e~X4;2785o4<3:?0e4<4;278m;4<3:?0<6<6:m16?5;513f896>0288o70=79;31g>;40k0:>n5239f957d<,:=:69?4n253>f=z{:81<7ot^20896?e2:801>o>:20896g12:801>6<:00`?85?=3;9o63<86826f=:;131=?l4=2:a>44e3493h7?=a:&034<392d8;=4k;|q7e?6=irT?m63<9c87e>;4i80?m63;40:0:>l52397957d<5:2<6<2e9>7=b=9;n0(>9>:538j6172l1v9750;cxZ1?<5:3i6974=2c2>1?<5:k=6974=2:0>44e349397?=a:?0<2<6:h16?57513f896>e288j70=7d;31g>"4?80?=6`<718e?x{ef19~H6`42;qe8h85499'0`3=>2w/?o>52c58^=c=:ro1=:4rZ24e>7}683;=6pg66;29?l21>3:17b:me;29?l24k3:17b:nd;29?j2f93:17d:188m11b2900e>;>:188m1b42900e9l>:188m7d?2900c9om:188m6422900c?ll:188k1>c2900c?ok:18'6<4=:hi0b?7>:198k7ge290/>4<52`a8j7?62810c?l9:18'6<4=:hi0b?7>:398k7d2290/>4<52`a8j7?62:10c?l;:18'6<4=:hi0b?7>:598k7d4290/>4<52`a8j7?62<10c?l=:18'6<4=:hi0b?7>:798k7d6290/>4<52`a8j7?62>10c?l?:18'6<4=:hi0b?7>:998k7ga290/>4<52`a8j7?62010c?oj:18'6<4=:hi0b?7>:`98k7gf290/>4<52`a8j7?62k10e>h50;&1=7<4m2d95<4?;:k0`?6=,;396>k4n3;2>4=h5180976g:298m6?=83.95?45$3;1>6co4>3:1(?7=:2g8j7?62>10e>;50;&1=7<4m2d95<47;:k00?6=,;396>k4n3;2><=h5180j76g<2;29 7?52:o0b?7>:c98m1g=83.95?45$3;1>6co3?3:1(?7=:2g8j7?62o10e9850;&1=7<4m2d95<4>0:9j00<72-82>7=j;o0:5?7632c?87>5$3;1>6c5<#:081?h5a283956=h5180:865m33f94?`=93lp@>h=:0yK5c60=z,:h;6<<<;h;g>5<5<6=44o3:4>5<5<5<5<5<5<5=50;9l6=3=831d>5950;9l6=?=831d>5l50;9l6=e=831d>5j50;9aa=<72:0:6>uC3g095~N6n91v(>l?:d58md7=831d>4:50;9j=a<722h<6=4<:183!5e83o87E=i0:N0b7<6s-;887:j1:j5;n0:0?6=3ty2h7>52z\:`>;021l0q~<9e;296~;02;3?7S<64:p63`=838pRl?4=68b5>{zjlo1<7=51;1xH6`528qC=k>4}%1a4?c03`k:6=44o3;7>5<3587a4=za1l1<75fa083>>i51=0;66s|9e83>7}Y1m16;76i;|q12`<72;q6;7<64:\1=1=z{;4<4sE9m>7?tH0d3?x"4j90n;6gn1;29?j4><3:17d7k:188f2<72:0;6=u+3c29a6=O;o:0@>h=:0y'562=4:50;9~w{t:?o1<70q~<9f;296~Xf927<6l?4}|`245<72:0:6>uC3g095~N6n91v(>l?:d58md7=831d>4:50;9j=a<722h<6=4<:183!5e83o87E=i0:N0b7<6s-;887:j1:j5;n0:0?6=3ty2h7>52z\:`>;021l0q~<9e;296~;02;3?7S<64:p63`=838pRl?4=68b5>{zj8:86=4<:080I5a:3;pD5;n0:0?6=3`3o6=44b683>6<729q/?o>5e29K7c61<75rs8f94?4|V0n01:47f:p63c=838p1:4=959]6<252z\b5>;02h;0qpl>0883>6<62:qG?k<51zJ2b5=z,:h;6h94i`394?=h:0>1<75f9e83>>d029086=4?{%1a4?c43A9m<6Bn=6sf8g83>>of93:17b<64;29?xu>l3:1>vP6d:?4>=`52z?4>7?33W8286s|27d94?4|Vh;01:4n1:~f46d29086<4<{M1e6?7|@8l;7p*of93:17b<64;29?l?c2900n:4?:283>5}#;k:1i>5G3g28H6`528q/=>:54d38yl>a2900el?50;9l6<2=831v4j50;0xZ03j6s|27g94?4|5>09595Q2868yv41n3:1>vPn1:?4>d76}K;o81=vF>f19~ 6d72l=0el?50;9l6<2=831b5i4?::`4>5<4290;w)=m0;g0?M5a82F8j?4>{%300?2b<2wb4k4?::kb5?6=3f8287>5;|q:`?6=:rT2h638:9d8yv41m3:1>v38:3;7?[4><2wx>;h50;0xZd7<5>0j=6srb02;>5<32;0>w)=m0;33<>o6ml0;66g=8b83>>o50k0;66a>0583>>d68>0;6>4?:1y'7g6==?1C?k>4i00b>5<5<53;294~"4j909;;5G3g28m44f2900e<vP=8b9>551=9;h0q~<7b;296~X50k16==8513`8yv77<3:1>vP>059>550=:>?0q~??5;296~;68>09;852114957g2}#;k:1=<;4i0ge>5<5<5<7E=i0:k26d<722c:>o4?::m130<722wi==h50;194?6|,:h;68;4H2d3?l75i3:17d?=b;29?j40=3:17pl>1083>6<729q/?o>52648L6`73`;9m7>5;h31f?6=3f8<97>5;|q2ac<72;qU=hh4=031>44f3ty94i4?:3y]6=b<58;96<44f3ty9444?:3y]6=?<58:m6<7123ty:544=:>?01:00b?xu68o0;6?u211d9623<58;:6<4473`8357>5;h3fe?6=3`;nh7>5;h3ff?6=3`;nj7>5;h0;`?6=3`83;7>5;h3fg?6=3f;:;7>5;c32b?6=<3:1N4n91b=?o50;9j57d=831b=?m50;9l623=831vn5<7s-9i<7;n;I1e4>o6:h0;66g>2c83>>o6:j0;66a=7483>>{e98h1<7=50;2x 6d72<<0D>h?;h31e?6=3`;9n7>5;n041?6=3th:=i4?:583>5}#;k:19l5G3g28m44f2900e<50z&0f5<5?m1C?k>4i00b>5<5<5<6=44}r0;=?6=vP>ee9>54`=9;k0q~?jb;296~X6mk16=<7513c8yv7bn3:1>vP>eg9>54d=9;k0q~<7d;296~X50m16=vP=869>54c=9;n0q~?jc;296~X6mj16=vP>169>54c=:>?0q~?>8;296~;69o09;85210g957g52z?25<<5?<16=v3>1c8130=:98o1=?m4}r32g?6=:r7:=i4=749>54c=9;o0qpl>0383>6<729q/?o>5549K7c65<6=44}c33f?6=>3:1N4n91b=?o50;9j57d=831b=?m50;9j57b=831b=?k50;9l623=831ve`9>576=9lk01<>m:00b?xu6mk0;6>uQ1d`8944728oi70??b;31f>{t9li1<7=t^0g`?87583;no63>0c826a=z{8oo6=4<{_3f`>;6:90:ii5211`957c53z\2a`=:9921=hk4=021>44f3ty:ik4?:2y]5``<58;>620n01<>?:8f8946420n01<>6:8f8946d20n01b<42908wS<73:?fa?g634l26?7;;|q1<0<72:qU>5;4=d:9e4=:ml09595rs3:4>5<4sW83;63j8;0:0>;6:9094:5rs3::>5<2sW83563>1781=1=:98?1>574=003>7>>34;;n7?=c:p6=d=839pR?6m;<33=?4><27:<54=8c9~w7>d290?wS<7c:?246<51=16==6529a89465288i7p}=8e83>1}Y:1n01<>?:3;7?876=383h63>21817<85:p552=838p1<><:`38946?28:?7p}>0`83>7}:9931m<5211`962352z?24f0e9~w4702909w0?>6;c2?87583;:;6srs8f94?4|V0n01<<=:8d8yv4?93:1>vP=809>574=:1;0q~<73;296~X50:16=?<52918yv4?=3:1>vP=849>574=:1?0q~<77;296~X50>16=?<52958yv4?13:1>vP=889>574=:130q~<7b;296~X50k16=?<529`8yv4?k3:1>vP=8b9>574=:1i0q~<7d;296~X50m16=?<529f8yv7bi3:1>vP>e`9>574=9lk0q~?jb;296~X6mk16=?<51d`8yv7bk3:1>vP>eb9>574=9li0q~?jd;296~X6mm16=?<51df8yv7bm3:1>vP>ed9>574=9lo0q~?jf;296~X6mo16=?<51dd8yxd?k3:1?7?53zN0b7<6sA;m<6sC3g195~"3m<0>7p*>o>l3:17o950;194?6|,:h;6h=4H2d3?l>a2900el?50;9l6<2=831v4j50;0xZ03j6s|27g94?4|5>09595Q2868yv41n3:1>vPn1:?4>d753;397~J4n;0:wE?i0:O7c5=9r.?i84:;|&0f5<58<1bm<4?::m1=1<722c2h7>5;c594?5=83:p(>l?:d18L6`73`2m6=44i`394?=h:0>1<75rs8f94?4|V0n01:47f:p63c=838p1:4=959]6<252z\b5>;02h;0qpl<4883>0<52"3m:0??<5+4e`9e4=#4:4$5f:>6<5+4ec9=`=i>of93:17b<64;29?l50>3:17o950;796?3|D:l96<3:17d7k:188m5<2290;w)=m0;g6?M5a82c297>5;h;4>5<>i51=0;66sm3g394?7=83:p(>l?:00;?M5a82e:>:4?::p=a<72;qU5i527;:e?xu>m3:1>vP6e:?4><352z?0b4<6:>16;778;|q12c<72;q6;7<64:\1=1=z{;=;6=4={_c2?81=i81vqo:=8;297?6=8r.8n=4=769K7c65<6=44}r;g>5<5sW3o70959e9~w44e3ty9594?:3y]6<2<5>09595rs255>5<4sW9<:638:`38914?288j7p};2683>7}:?33n70:=8;041>{zj=3:6=4<:080I5a:3;pDn97;4}%1a4?47=2cj=7>5;n0:0?6=3`3o6=44b683>6<729q/?o>5e29K7c6>i51=0;66s|9e83>7}Y1m16;76i;|q12`<72;q6;7<64:\1=1=z{;{I3e4>{K;o91=v*;e486?x"4j909=:5fa083>>i51=0;66g6d;29?g1=8391<7>t$2`3>`5<@:l;7A=i2;3x 4532=o:7pg7f;29?lg62900c?7;:188yv?c2909wS7k;<597}Yi816;7o>;|a6a5=8391=7=tL2d1>4}O9o:0qA=i3;3x 1c22<1v(>l?:33;?lg62900c?7;:188m53;294~"4j90n?6F7}:?38286P=959~w70a2909wSo>;<59e4=zuk8hn7>53;397~J4n;0:wE?i0:O7c5=9r.?i84:;|&0f5<5901bm<4?::m1=1<722c2h7>5;c594?5=83:p(>l?:d18L6`73E9m>7?t$017>1c63tc3j7>5;hc2>5<5<5sW3o70958g9~w70b2909w0952868Z7?33ty9:k4?:3y]e4=:?3k:7psm2ca94?5=939p@>h=:0yK5c60=z,:h;6?>:;hc2>5<50z&0f5>of93:17b<64;29?xu>l3:1>vP6d:?4>=`52z?4>7?33W8286s|27d94?4|Vh;01:4n1:~f7b529086?4;{M1e6?7|@8l;7pBof93:17b<64;29?l?c2900n:4?:283>5}#;k:1i>5G3g28H6`528q/=>:54d38yl>a2900el?50;9l6<2=831vnl>50;094?6|,:h;6<=:;I1e4>o6:00;66a=7483>>{t1m0;6?uQ9e9>e5<6:01v?8j:18181=0o16m=4=749~w70a2909w0952868Z7?33ty9;=4?:3y]e4=:?3k:7psm44`94?5=:3>p@>h=:0yK5c60=z,:h;6??m;hc2>5<50z&0f5>of93:17b<64;29?xdf83:1>7>50z&0f5<6;<1C?k>4i00:>5<6=44}r;g>5<5sW3o70o?:00:?xu5>l0;6?u27;:e?8g72;=>7p}=6g83>7}:?38286P=959~w7172909wSo>;<59e4=zuk8hm7>55;092~"4j909ol5`2b494?=n;:i1<75f33g94?=n:j>1<75f32f94?=e:j31<7:50;2x 6d72<30D>h?;h31e?6=3`;9n7>5;h31g?6=3f8<97>5;|`1g=<72:0;6=u+3c29620<@:l;7d?=a;29?l75j3:17b<85;29?xu5k?0;6?uQ2b4897e?2;=>7p}<3b83>7}Y;:i01?m6:00`?xu4:l0;6?uQ33g897e>288j7p}=c583>7}Y:j>01?m6:00a?xu4;m0;6?uQ32f897e?288i7p}=c683>7}::j31>:;4=3a;>44f3twi>im50;591?d|,:h;6?jl;n0g0?6=3`98o7>5;h11a?6=3`8h87>5;h10b?6=3`9>;7>5;h1053;294~"4j90>:6F5<7s-9i<7<86:J0b5=n9;k1<75f13`94?=h:>?1<75rb3f4>5<4290;w)=m0;76?M5a82c:>l4?::k26g<722e9;84?::a6a?=8391<7>t$2`3>03<@:l;7d?=a;29?l75j3:17b<85;29?xd5lh0;694?:1y'7g6=:>30D>h?;h31e?6=3`;9n7>5;h31g?6=3f8<97>5;|q1`1<72;qU>i:4=3fb>7123ty8?n4?:3y]76e<5;n<6<44f348o:7?=a:p6f2=838pR?m;;<0gf?75j2wx?>h50;0xZ65a348o57?=a:p701=838pR>;8;<0g=?75j2wx?>650;0xZ65?348om7?=c:p6a3=838p1?jm:356?84c>3;9n6s|27g94?4|5;n=6?9:;<0g3?75j2wx>;h50;0x97b02;=>70{t:m21<7712348om7?=b:~f7b6290=6>47{%1a4?4c92e9on4?::k07f<722c8>h4?::k07c<722c89:4?::k07g<722h9h=4?:283>5}#;k:19;5G3g28m44f2900e<?1<75rb3ae>5<3290;w)=m0;04=>N4n91b=?o50;9j57d=831b=?m50;9l623=831v?ml:181[4dk279ok4=749~w65d2909wS=2`9~w65a2909wS=;8:181[52?279oh4>2`9~w65e2909wS=2c9~yg4d:3:147=5az&0f5<5k;1d>oj50;9j76e=831b??k50;9j76b=831b?>k50;9j76`=831b?8950;9j76d=831i>n?50;194?6|,:h;6884H2d3?l75i3:17d?=b;29?j40=3:17pl=bg83>6<729q/?o>5579K7c65<6=44}c0`4?6=>3:1n>52678yv54k3:1>vP<3b9>6f7=9;h0q~==e;296~X4:l16>n?513c8yv54l3:1>vP<3e9>6f6=9;h0q~=n>513a8yv54n3:1>vP<3g9>6g`=9;h0q~=:7;296~X4=>16>oh513c8yv54j3:1>vP<3c9>6f6=9;o0q~52z?1fc<5?<16>n>513f8yxd3><0;6o4::gy'7g6==831b?>750;9j76g=831b?>l50;9a032=8391<7>t$2`3>00<@:l;7d?=a;29?l75j3:17b<85;29?xd3=l0;6>4?:1y'7g6==<1C?k>4i00b>5<5<=<7>53;294~"4j90>:6F5<7s-9i<7;9;I1e4>o6:h0;66g>2c83>>i5?<0;66sm47194?>=83:p(>l?:35e?M5a82c:>l4?::k26g<722c:>n4?::k26a<722c:>h4?::k26c<722c:?=4?::m130<722wx88m50;0xZ13d34>=?7<85:p76e=838pR>=l;<650?75j2wx??k50;0xZ64b34>=87?=a:p76c=838pR>=j;<66a?75i2wxm54?:3y]e==:<;7>53z\012=:44f3ty8?54?:3y]76><5=<96<74=540>44b3ty8?l4?:3y]76g<5=<86<l4=540>4573ty?9i4?:3y>032=:>?0198<:00b?xu3=l0;6?u244g9623<5=<86<526789104288h7p};6083>7}::;4=540>44c3twi>h<50;697?0|,:h;6?k=;n0be?6=3`8h?7>5;h6b>5<l?:448L6`73`;9m7>5;h31f?6=3f8<97>5;|`1`c<72:0;6=u+3c2910=O;o:0e<50z&0f5<5??1C?k>4i00b>5<5<52z\1ed=::l:1>:;4}r0`7?6=;rT9o>522d3957g<5;nm6<7}::l;1>:;4=3g3>44f3ty9hh4?:3y>6a`=:>?01?k?:00a?x{e:o>1<7:53;4x 6d72;l?7bk=50;194?6|,:h;6884H2d3?l75i3:17d?=b;29?j40=3:17pl=f083>6<729q/?o>5549K7c65<6=44}c0e6?6=;3:1vP=ad9>6c4=:>?0q~k=513c897`6288j7p}<2;296~X4:279j>4>2c9~w15=838pR9=4=3d2>44e3ty9ik4?:3y>6c5=:>?01?h=:00b?xu5n90;6?u22g39623<5;l96<1?78t$2`3>6613f8i=7>5;h0`7?6=3`986=44i5694?=e;9?1<7=50;2x 6d72<<0D>h?;h31e?6=3`;9n7>5;n041?6=3th8<>4?:283>5}#;k:1985G3g28m44f2900e<5<6=44}r0a5?6=:rT9n<52316962353z\1g6=:;9?1=?o4=220>44f3ty8?7>52z\07>;48<0:>o5rs5694?4|V=>01>><:00a?xu4880;6?u23179623<5::?6<l?:22`?j4e:3:17dt$2`3>00<@:l;7d?=a;29?l75j3:17b<85;29?xd4800;6>4?:1y'7g6==<1C?k>4i00b>5<5<53;294~"4j909;;5G3g28m44f2900e<75d=9;k01>>6:00b?xu4<3:1>vP<4:?04g<6:k1v9;50;0xZ13<5::26<7}:;931>:;4=22b>44e3twi?<<50;697?0|,:h;6>?=;n0a7?6=3`8h?7>5;h16>5<l?:448L6`73`;9m7>5;h31f?6=3f8<97>5;|`04c<72:0;6=u+3c2910=O;o:0e<50z&0f5<5??1C?k>4i00b>5<5<52z\1f6=:;8:1>:;4}r0`7?6=;rT9o>52303957g<5::m6<7}:;8;1>:;4=233>44f3ty875`=:>?01>??:00a?x{e;821<7:53;4x 6d72:;37b6<729q/?o>5549K7c65<6=44}c122?6=;3:1vP=b59>740=:>?0q~278=:4>2c9~w11=838pR994=236>44e3ty8=>4?:3y>741=:>?01>?9:00b?xu49=0;6?u23079623<5:;=6<1?78t$2`3>67b3f8i97>5;h0`7?6=3`9j6=44i2d94?=e;8n1<7=50;2x 6d72<<0D>h?;h31e?6=3`;9n7>5;n041?6=3th8=o4?:283>5}#;k:1985G3g28m44f2900e<c;297?6=8r.8n=4=779K7c65<6=44}r0a1?6=:rT9n85230a962353z\1g6=:;8n1=?o4=23a>44f3ty8m7>52z\0e>;49m0:>o5rs2d94?4|V:l01>?m:00a?xu4900;6?u230f9623<5:;h6<l?:207?j4e>3:17dt$2`3>00<@:l;7d?=a;29?l75j3:17b<85;29?xd4:80;6>4?:1y'7g6==<1C?k>4i00b>5<5<7>53;294~"4j909;;5G3g28m44f2900e<775=9;k01><>:00b?xu4?3:1>vP<7:?066<6:k1v9750;0xZ1?<5:8:6<7}:;;;1>:;4=201>44e3twi>ko50;697?0|,:h;6?hn;n0bb?6=3`8h?7>5;h1;>5<l?:448L6`73`;9m7>5;h31f?6=3f8<97>5;|`1b2<72:0;6=u+3c2910=O;o:0e<50z&0f5<5??1C?k>4i00b>5<5<52z\1ec=::o21>:;4}r0`7?6=;rT9o>522g;957g<5;l<6<7}::o31>:;4=3d;>44f3ty9j;4?:3y>6c1=:>?01?h7:00a?x{e:l21<7:53;4x 6d72;o37b=831i>h950;194?6|,:h;6884H2d3?l75i3:17d?=b;29?j40=3:17pl=e483>6<729q/?o>5549K7c65<6=44}c0f2?6=;3:1vP=ac9>6`0=:>?0q~h9513c897c2288j7p}<9;296~X41279i:4>2c9~w1>=838pR964=3g6>44e3ty9i>4?:3y>6`1=:>?01?k9:00b?xu5m=0;6?u22d79623<5;o=6<1?78t$2`3>6673f8i<7>5;h0`7?6=3`9i6=44i2f94?=e:ol1<7=50;2x 6d72<<0D>h?;h31e?6=3`;9n7>5;n041?6=3th9ji4?:283>5}#;k:1985G3g28m44f2900e<5<6=44}r0a4?6=:rT9n=522gg962353z\1g6=::ol1=?o4=3dg>44f3ty8n7>52z\0f>;5no0:>o5rs2f94?4|V:n01?hk:00a?xu5nk0;6?u22gd9623<5;ln6<kj5267897`b288i7psm2dg94?2=;3l?:3gf?j4fl3:17dj50;9a6`b=8391<7>t$2`3>00<@:l;7d?=a;29?l75j3:17b<85;29?xd5mk0;6>4?:1y'7g6==<1C?k>4i00b>5<5<53;294~"4j909;;5G3g28m44f2900e<hm52678yv4d;3:1?vP=c29>6`b=9;k01?km:00b?xu4j3:1>vPj50;0xZ6b<5;oi6<hj5267897cd288j7p}=e`83>7}::lh1>:;4=3g`>44e3twi?>950;794?6|,:h;6?9m;I1e4>o6:h0;66g>2c83>>o6:j0;66g>2e83>>i5?<0;66sm4c294?4=83:p(>l?:016?M5a82c:>44?::m130<722wi8lm50;094?6|,:h;6<=:;I1e4>o6:00;66a=7483>>{e7E=i0:k26<<722e9;84?::a0<6=8381<7>t$2`3>4523A9m<6g>2883>>i5?<0;66sm4`694?4=83:p(>l?:016?M5a82c:>44?::m130<722wi?8l50;194?6|,:h;6884H2d3?l75i3:17d?=b;29?j40=3:17pl<5583>6<729q/?o>5579K7c65<6=44}c17b?6==3:150z&0f5<2l2B8j=5f13c94?=n9;h1<75f13a94?=n9;n1<75`26794?=zj:996=4<:183!5e83?=7E=i0:k26d<722c:>o4?::m130<722wi?>:50;694?6|,:h;6874H2d3?l75i3:17d?=b;29?l75k3:17b<85;29?xd4;?0;6>4?:1y'7g6==?1C?k>4i00b>5<5<jj7>53;294~"4j90>;6F5<7s-9i<7;n;I1e4>o6:h0;66g>2c83>>o6:j0;66a=7483>>{e<1l1<7:50;2x 6d72h?;h31e?6=3`;9n7>5;h31g?6=3f8<97>5;|`7e6<72=0;6=u+3c291<=O;o:0e<5<5<53;294~"4j90>;6F5<7s-9i<7;:;I1e4>o6:h0;66g>2c83>>i5?<0;66sm48794?2=83:p(>l?:4;8L6`73`;9m7>5;h31f?6=3`;9o7>5;n041?6=3th9o84?:583>5}#;k:1955G3g28m44f2900e<?1<75rb26g>5<5290;w)=m0;301>N4n91b=?750;9l623=831vn978:181>5<7s-9i<7?<5:J0b5=n9;31<75`26794?=z{0<1<7mt^848964c20n015m59e9>e=<>l2788446d:?7=4<>l279o>46d:?1`6<>l279oo46d:?1ff<>l279h?46d:?71g<>l2wx8;850;0xZ10134kj6<<6;|q7f`<72;qU8ok4=5a2>7123ty??n4?:2y]06e<5=km6<i<7<85:p0d7=838pR9o>;<6b0?40=2wx>o750;1xZ7d>3498<7?=b:?071<6:h1v9;m:181[22j27?9o4=959~w=g=832pR5o4=20g>4ce342h6l?4=213>44c349887?=b:?073<6:h1684;513a897e2288j7p}<5983>6}Y;<201>;m:00a?853j3;9n6s|48394?4|V=3:70:61;0:0>{t<>o1<7;4l5rs5f0>5<2sW>o?63;ag826g=:44e34>297?=b:p0g7=838pR9l>;<6`4?75i2wx>o650;6xZ7d?3499h7?jc:?7e6<6:k16>n;513`8yv2fj3:1>vP;ac9>0de=:>?0q~==5;296~X4:<168lm513;8yv4ek3:1>vP=bb9>6ge=:0>0q~:7d;296~X30m1684>52678yv52?3:15v3<2e82ac=::mi1?894=3f2>630348h>7=:7:?720<4=>16?8l526789655288i70=<4;31g>;4;?0:>o5rs3f7>5<5s48o?7o>;<0gg?4c<2wx4n4?:2y>>513c891?4288j7p}<4883>6}:;=31>4:4=27a>44f349>87?=a:pe=<72=q6??j51dg89d>=:0>0198::`:891?4288i7p}<4b83>7}:;=31?:84=26g>7123ty?5?4?:3y>0<5=:>?0197::00b?xu31=0;6?u24879623<5=3<6<<6;|q7fc<72;q68n?513;891e72;=>7p}<3983>1}:;;n1>5?4=3f`>65?34>=97=<8:?00c<6:h1v>;?:181852<38<963<31826f=z{:926=4<{<11`?4?;27?:84<389>71`=9;h0q~=m6<;3><08?o5235d957b56z?06a<50016>no532a897bd2:9h70;5k;08?n52477976e54z?06a<50k16>i<5a09>6fg=;:n01?m=:21g?xu4;l0;6>u233f96=e<5;i96>=j;<651?54m2wx?>h50;7x964c2;2o70;5l808?k522b0976`<5=<>6>=i;|q7<`<72;q684>513;891>a2;=>7p};a383>7}::;4=5c5>44f3ty9on4?:3y>6fd=i816>i?52ba8yv4d;3:1jv3=c281=1=::l81>n=4=3d7>7e4349;:7e;0`7>;4:=09o>522gc96f5<5;o36?m<;<134?4d;279ih4=c29>0f6=9;i0196i:00`?xu5kk0;68u233f95`b<5;ii6?7;;<106?75i27?m>4>2b9>6f3=9;i0q~=97::c:p71c=838p1>:6:8g8962a2;=>7p};9783>7}:<0;1m<52485962357z?06a<6mh16>no533g897bd2:8n70;5k;08>h52477977c<5:9<6?9:;|q00d<72;q6?9l52678962c28827p}<2g83>7}:;:=1=?o4=213>7123ty8?<4?:3y>761=9;h01>==:356?xu4;:0;6?u2325957e<5:9?6?9:;|q070<72;q6?>9513f896512;=>7p};a483>7}:1=?74=5c5>7123tyj57>52z?bi<5286891g1288h7p}=d283>7}::m91>4:4=5c5>44e3ty9ni4?:3y>6ge=i816>n<52cf8yv2fm3:1>v3;b1826<=::;4}r0`0?6=;r79ol4=c59>6ae=:j>01?m::356?xu5im0;6?uQ2`f897cb2;ko7)=81;0bg>h4?90;7p}=ac83>7}Y:hh01?k7:3ca?!50938jo6`<7182?xu5j?0;6?uQ2c4896432;h=7)=81;0bg>h4?9097p}=b483>7}Y:k?01>?j:3`6?!50938jo6`<7180?xu5j=0;6?uQ2c68967?2;h?7)=81;0bg>h4?90?7p}=b283>7}Y:k901>?=:3`0?!50938jo6`<7186?xu5j;0;6?uQ2c08966d2;h97)=81;0bg>h4?90=7p}=b083>7}Y:k;01>>9:3`2?!50938jo6`<7184?xu5j90;6?uQ2c2896672;h;7)=81;0bg>h4?9037p}=ag83>7}Y:hl01?hn:3ce?!50938jo6`<718:?xu5il0;6?uQ2`g897`32;kn7)=81;0bg>h4?90j7p}=a`83>7}Y:hk01?k=:3cb?!50938jo6`<718a?xu4n3:1>vP53z\0`>;48908h63=ed80`>"4?808i6`<7182?xu4j3:1?vP"4?808i6`<7180?xu413:1>vP<9:?1a=<412.8;<452z\0<>;5nh0846*<7080a>h4?90>7p}<7;296~X4?278>94<7:&034<4m2d8;=49;|q02?6=:rT8:63<19802>"4?808i6`<7184?xu4=3:1>vP<5:?057<4=2.8;<452z\00>;48j0886*<7080a>h4?9027p}<3;296~X4;278<;4<3:&034<4m2d8;=4n;|q06?6=:rT8>63=f5806>"4?808i6`<718a?xu3i3:1>vP;a:?1a7<3i2.8;<452z\7=>;4:=0?56*<7080a>h4?90o7p};8;297~X30279jl4;8:?1a=<302.8;<452z\73>;4910?;6*<7080a>h4?90m7p};6;296~X3>278=?4;6:&034<4m2d8;=4>0:p00<72;qU885231a900=#;>;1?h5a362954=z{=>1<70(>9>:2g8j6172880q~:<:181[24348m87:<;%145?5b3g9<<7?<;|q0g?6=:rT8o63=e380g>"4?808i6`<71820>{zj=9>6=4>6;00>65|D:l96l?:06b?l?12900e<:9:188m4>e2900e<=9:188m40e2900c<6l:188m15d2900e5o50;9l5=c=831b8:k50;9j51d=831b8i=50;9j0g7=831d=;j50;9l5a2=831b??;50;9l026=831d=5650;9l5gd=831d8o4?::m60?6=3f>?n7>5;c1bf?6=j3;1nvB259j=a<722e94<4?::m1<6<722e9484?::m1<2<722e9444?::k2ad<722c:io4?::k2af<722c:ii4?::k2a`<722h:>?4?:c8;>`}K;o81=vF>f19~ 6d72:ki7d?ja;29?l7bj3:17d?jc;29?l7bl3:17d?je;29?l?a2900c?6>:188k7>42900c?6::188k7>02900c?66:188f`>=8391=7=tL2d1>4}O9o:0q)=m0;g4?lg62900c?7;:188m53;294~"4j90n?6F7}:?38286P=959~w70a2909wSo>;<59e4=zukon6=4<:080I5a:3;pD5;n0:0?6=3`3o6=44b683>6<729q/?o>5e29K7c61<75rs8f94?4|V0n01:47f:p63c=838p1:4=959]6<252z\b5>;02h;0qpljd;290?4==r.8n=4jd:kf5;h0;=?6=3fo26=44bda94?5=83:p(>l?:478L6`73`;9m7>5;h31f?6=3f8<97>5;|`ff?6=;3:144f3ty:ih4?:3y]5`c<5li1=?l4}r0;=?6=:rT94452ec826g=z{l31<7?0q~kn:1818cd2;=>70km:00b?x{en10;654::by'7g6=n11b=ho50;9ja=<722c9444?::k2a`<722c:io4?::k2af<722c:ii4?::mfb?6=3kl<6=4<:183!5e83?=7E=i0:k26d<722c:>o4?::m130<722wij<4?:283>5}#;k:19;5G3g28m44f2900e<5<7s-9i<7;9;I1e4>o6:h0;66g>2c83>>i5?<0;66smf483>0<729q/?o>55e9K7c65<5<6=44}cd5>5<2290;w)=m0;04f>N4n91b=?o50;9j57d=831b=?m50;9j57b=831d>:;50;9~w4cf2909wS?ja:?e3?75j2wxi54?:5y]a==:n>0:>l52f0826d=:n<0:>o5rs3::>5<4sW83563i1;31f>;a;3;9n6s|1dg94?4|V8on70h<:00b?xu6mk0;6?uQ1d`89c3=9;i0q~?jc;296~X6mj16j84>2e9~w4cc2909wS?jd:?e1?75i2wxik4?:3y]ac=:n?09;85rsg294?4|5o=1>:;4=g4957g71234l=6<b0<5?<16j;4>2e9~yg4?:3:1?7>50z&0f5<2>2B8j=5f13c94?=n9;h1<75`26794?=zj;2?6=4<:183!5e83?>7E=i0:k26d<722c:>o4?::m130<722wi>5850;194?6|,:h;6884H2d3?l75i3:17d?=b;29?j40=3:17pl=8983>6<729q/?o>5569K7c65<6=44}rg;>5<0s4o36?7;;`><5o21i552290957g<5;2?6<2c9~w4cf2909wS?ja:?e52z\2aa=:n10:ii5rs0gf>5<4sW;ni63jd;3fa>;a03;ni6s|9g83>6}Y1o16i546d:?fa??c3ty94<4?:3y]6=7<5;296?9:;|q1<6<72;qU>5=4=3:7>7123ty9484?:3y]6=3<5;2=6?9:;|q1<2<72;qU>594=3:;>7123ty9444?:9y]6=?<5lo1>4:4=df96=?<5o21>574=3:1>44e348387?=a:?1<3<6:h16>56513c8yvc>2909w0k7:`389`b=m01vhh50;0x9`c=i816j54jf:~w<`52z\1<4=:9;81>5?4}r0;7?6=:rT94>5213096=552z\1<0=:9;81>5;4}r0;3?6=:rT94:5213096=152z\1<<=:9;81>574}r3fe?6=:rT:il5213095`g52z\2ag=:9;81=hl4}r3fg?6=:rT:in5213095`e52z\2aa=:9;81=hj4}r3fa?6=:rT:ih5213095`c6}K;o81=vF>f19~H6`428q/8h;54:'7g6=:8i0el?50;9l6<2=831b5i4?::`4>5<4290;w)=m0;g0?M5a82F8j?4>{%300?2b92wb4k4?::kb5?6=3f8287>5;|q:`?6=:rT2h638:9d8yv41m3:1>v38:3;7?[4><2wx>;h50;0xZd7<5>0j=6srb04`>5<42808wA=i2;3xL4`73tF8j>4>{%6f1?25;h;g>5<0;6>4?:1y'7g6=m:1C?k>4i9d94?=ni80;66a=9583>>{t1m0;6?uQ9e9>3?>a3ty9:h4?:3y>3?4><2T9595rs34e>5<5sWk:7095a09~yg7f83:197<55zN0b7<6sA;m<6sC3g195~"3m<0?7p*>o>l3:17d7j:188m<1=831i;7>55;294~"4j90n96F5;h:e>5<:183!5e83;946F03j6s|9d83>7}Y1l16;77:;|q12`<72;q6?k?5135892<>?2wx>;h50;0x92<51=1U>4:4}r044?6=:rTj=638:`38yxd5=l0;694=:5yO7c4=9rB:j=5rL2d0>=}#4=#4=z,:h;65<<1<75m7;297?7=;rF8j?4>{I3e4>{#;k:1=k74i`394?=h:0>1<75f9e83>>d029086=4?{%1a4?c43A9m<6g7f;29?lg62900c?7;:188yv?c2909wS7k;<597}Yi816;7o>;|a045=8381<7>t$2`3>4523A9m<6g>2883>>i5?<0;66s|9e83>7}Y1m16;77k;|q1=1<72;qU>4:4=681=1=z{:==6=4={_142>;39:0:>45rs531>5<5s4=1m<52401962354;397~J4n;0:wE?i0:O7c5=0r.?i84;;%6f7?2492.?ho4n1:&7`a<51=1/8i759e9m0a3=92.?hk4<779m0a1=82w/?o>51gf8m5<42808wA=i2;3xL4`73t.8n=4>f89je4<722e9594?::k:`?6=3k=1<7=50;2x 6d72l90D>h?;h:e>5<;k50;0x92<51=1U>4:4}r05b?6=:rTj=638:`38yxu>l3:1>vP6d:?4>52z\1=1=:?38286s|36494?4|V:==7095a09~yg33290>6?4:{M1e6?7|@8l;7pB0(9k<:512?!2cj3k:7):kd;0:0>"3l002h6`;d482?!2ci33n7c:k6;38 1c72:kj7c:k8;38y!5e83;mi6g6d;29?l?b2900el?50;9l6<2=831b?lo50;9a3?6==3819vB51g;8md7=831d>4:50;9j=a<722c2i7>5;h;4>5<0;684?:1y'7g6=m<1C?k>4i8794?=n1>0;66g7f;29?lg62900c?7;:188yg5a93:1=7>50z&0f5<6:11C?k>4o004>5<0296s|27g94?4|5:l:6<<8;<59=2=z{;7}Yi816;7o>;|a07g=8391<7>t$2`3>7113A9m<6g>2`83>>o6:k0;66a=7483>>{t1m0;6?uQ9e9>3??c3ty2i7>52z\:a>;3:h0:>o5rs3;7>5<5sW828638:3;7?xu4ih0;6>uQ3`c892l4>2`9~w14>2909w0959d9>07g=:>?0qpl>8583>0<52{#;k:1=kl4i`394?=h:0>1<75f9e83>>o>m3:17d78:188f2<72<0;6=u+3c29a0=O;o:0e4;50;9j=2<722c3j7>5;hc2>5<=2wx>;k50;0x96`6288<7095969~w70a2909w0952868Z7?33ty9;=4?:3y]e4=:?3k:7psm1e294?3=:3?p@>h=:0yK5c61=z,:h;65<t$2`3>`3<@:l;7d7::188m<1=831b4k4?::kb5?6=3f8287>5;|`0b4<7280;6=u+3c2957><@:l;7b?=7;29?xu>l3:1>vP6d:?4>=`v38:3;7?[4><2wx>:>50;0xZd7<5>0j=6srb0:f>5<42808wA=i2;3xL4`73tF8j>4>{%6f1?25;h;g>5<0;6>4?:1y'7g6=m:1C?k>4i9d94?=ni80;66a=9583>>{t1m0;6?uQ9e9>3?>a3ty9:h4?:3y>3?4><2T9595rs34e>5<5sWk:7095a09~yg7c<3:1?7?53zN0b7<6sA;m<6sC3g195~"3m<0?7p*>o>l3:17o950;194?6|,:h;6h=4H2d3?l>a2900el?50;9l6<2=831v4j50;0xZ03j6s|27g94?4|5>09595Q2868yv41n3:1>vPn1:?4>d76}K;o81=vF>f19~H6`428q/8h;54:'7g6=:9?0el?50;9l6<2=831b5i4?::`4>5<4290;w)=m0;g0?M5a82c3j7>5;hc2>5<5<5sW3o70958g9~w70b2909w0952868Z7?33ty9:k4?:3y]e4=:?3k:7psm1c`94?5=939p@>h=:0yK5c61=z,:h;6?>:;hc2>5<50z&0f5>of93:17b<64;29?xu>l3:1>vP6d:?4>=`52z?4>7?33W8286s|27d94?4|Vh;01:4n1:~f1d=8391=7=tL2d1>4}O9o:0qA=i3;3x 1c22=1v(>l?:326?lg62900c?7;:188m53;294~"4j90n?6F5;n0:0?6=3ty2h7>52z\:`>;021l0q~<9e;296~;02;3?7S<64:p63`=838pRl?4=68b5>{zj:=36=4::386I5a:3;pDn97:4$5g0>1563->on7o>;%6g`?4><2.?h446d:l7`0<63->om77j;o6g2?7<,=nm6>99;o6g3?61<75f36494?=e?3:197<55zN0b7<6sA;m<6s+3c295c?5}#;k:1i85G3g28H6`528q/=>:54d38yl?22900e4950;9j5;n0:0?6=3th8j<4?:083>5}#;k:1=?64H2d3?j75?3:17p}6d;296~X>l27<65h4}r;f>5<5sW3n7095949~w70b2909w0=i1;313>;020=0q~<9f;296~;02;3?7S<64:p626=838pRl?4=68b5>{zj=836=4<:183!5e838<;6F02h6s|9d83>7}Y1l168?6513`8yv4><3:1>vP=959>3?4><2wx?:850;1xZ61134=1m<5243:957g9;7>52z?4>=83?1>7;tL2d1>4}O9o:0qA=i3;cx 1c22=1/8h=54238 1be2h;0(9jk:3;7?!2c133o7c:k5;38 1bf20o0b9j9:09'0a`=;><0b9j8:19~ 6d72;;;7d7k:188m5<22;0>wA=i2;3xL4`73t.8n=4>f89je4<722e9594?::k:`?6=3`3n6=44i8594?=e?3:197>50z&0f5>o>?3:17d6i:188md7=831d>4:50;9~f6`6290:6=4?{%1a4?7502B8j=5`13594?=z{0n1<77p}=6d83>7}:;o;1=?94=68:3>{t:?l1<70q~<80;296~Xf927<6l?4}|`76=<72:0;6=u+3c29621<@:l;7d?=a;29?l75j3:17b<85;29?xu>l3:1>vP6d:?4>3:1?vP<779>3?g634>947?=a:p071=838p1:46e:?76=<5?<1vqo:;b;291?5=>rF8j?4>{I3e4>{K;o91mv*;e487?!2b;3>8=6*;dc8b5>"3lm09595+4e;9=a=ih3l?0:7):j0;1be>h3l10;7p*0}K;o81=vF>f19~ 6d728l27do>:188k7?32900e4j50;9j=`<722c2;7>5;c594?3=83:p(>l?:d78L6`73`3>6=44i8594?=n0o0;66gn1;29?j4><3:17pl4<729q/?o>513:8L6`73f;9;7>5;|q:`?6=:rT2h638:9d8yv?b2909wS7j;<59=0=z{;{t:>:1<75<7s-9i<7?<5:J0b5=n9;31<75`26794?=zj=8j6=4<:183!5e838<;6F02h6s|9d83>7}Y1l168?o513`8yv4><3:1>vP=959>3?4><2wx?lo50;1xZ6gf34>947?=9:?76d<6:h1v9<6:18181=1l168?o52678yv25?3:1>v38:`38914?2;=>7psm1`794?1=;33p(>l?:0c6?j7f93:17d6n:188m42e2900e8:50;9j72>=831b=5l50;9j5d0=831i=l<50;794?6|,:h;68l4H2d3?l75i3:17d?=b;29?l75k3:17d?=d;29?j40=3:17pl>a283>6<729q/?o>5569K7c65<6=44}c3b0?6=;3:1N4n91b=?o50;9j57d=831d>:;50;9~w4g62909wS?n1:?2e1<5?<1v5o50;0xZ=g<58k96<44f3ty>87>52z\60>;6i:0:>l5rs25;>5<5sW9<463>a2826g=z{82i6=4={_3;f>;6i;0:>n5rs0c5>5<5sW;j:63>a3826a=z{8k96=4={<3b6?40=27:m94>2`9~w4g42909w0?n3;041>;6i=0:>o5r}c3:g?6=i3=1==u+3c2955<1<75f1`294?=n91l1<75f4e194?=n;hi1<75f19g94?=n;hn1<75f15794?=e90h1<7=50;2x 6d72h?;h31e?6=3`;9n7>5;n041?6=3th:5>4?:583>5}#;k:1945G3g28m44f2900e<<3:1?7>50z&0f5<5??1C?k>4i00b>5<5<53;294~"4j90>96F5<7s-9i<7;:;I1e4>o6:h0;66g>2c83>>i5?<0;66sm18;94?2=83:p(>l?:4;8L6`73`;9m7>5;h31f?6=3`;9o7>5;n041?6=3th:5l4?:583>5}#;k:1>:74H2d3?l75i3:17d?=b;29?l75k3:17b<85;29?xu6180;6?uQ183894?f2;=>7p}7}Y;hl01<7::00b?xu2<3:1?vP:4:?2=g<6:h16=4=513c8yv7f83:1>vP>a19>5vP;d29>5<5=9;i0q~=nc;296~X4ij16=49513c8yv7?m3:1?vP>8d9>5<1=9;h01<76:00a?xu4im0;6?uQ3`f894?>288h7p}>4483>7}Y9=?01<76:00b?xu61;0;6?u218`9623<583?6<7}:90>1>:;4=0;6>44e3ty9:k4?:3y>5<3=:>?01<7n:00b?xu61?0;6?u21859623<583j6<i6l<0;66g>o2<3:17d?n0;29?l7?n3:17d:k3;29?l5fk3:17d?k4;29?l5fl3:17d?;5;29?g7cn3:1?7>50z&0f5<2>2B8j=5f13c94?=n9;h1<75`26794?=zj8n<6=4;:183!5e83?j7E=i0:k26d<722c:>o4?::k26f<722e9;84?::a5a>=8391<7>t$2`3>7113A9m<6g>2`83>>o6:k0;66a=7483>>{e9m31<7=50;2x 6d72h?;h31e?6=3`;9n7>5;n041?6=3th:ho4?:283>5}#;k:1985G3g28m44f2900e<:;50;9~w4b22909wS?k5:?2``<5?<1v>oi:181[5fn27:h44>2`9~w02=839pR8:4=0fe>44e34;o;7?=b:p5d6=838pRa34;o;7?=c:p0a5=838pR9j<;<3g3?75i2wx?lm50;0xZ6gd34;on7?=a:p5a2=839pR2c9~w6gc2909wS=nd:?2`a<6:j1v<:::181[73=27:hi4>2`9~w4b12909w0?kf;041>;6l10:>l5rs0f4>5<5s4;o;7<85:?2`=<6:k1v?8j:18187c038<963>d8826g=z{;2`9~w4bf2909w0?kb;041>;6ll0:>o5rs0f`>5<5s4;oh7<85:?2``<6:j1vqo?71;29=?b=951938k40b2900e>oi:188m02=831b=5:50;9j5=`=831b8:k50;9j53b=831b?lm50;9j5=4=831i=::50;194?6|,:h;68;4H2d3?l75i3:17d?=b;29?j40=3:17pl>7483>6<729q/?o>5579K7c65<6=44}c343?6=;3:1N4n91b=?o50;9j57d=831d>:;50;9~f41?29086=4?{%1a4?40>2B8j=5f13c94?=n9;h1<75`26794?=zj8=26=4<:183!5e83?=7E=i0:k26d<722c:>o4?::m130<722wi=:o50;194?6|,:h;6?99;I1e4>o6:h0;66g>2c83>>i5?<0;66sm16`94?5=83:p(>l?:478L6`73`;9m7>5;h31f?6=3f8<97>5;|`23a<72:0;6=u+3c2910=O;o:0e<50z&0f5<2?2B8j=5f13c94?=n9;h1<75`26794?=zj82;6=4<:183!5e838<:6F5<7s-9i<7;:;I1e4>o6:h0;66g>2c83>>i5?<0;66sm16094?5=83:p(>l?:448L6`73`;9m7>5;h31f?6=3f8<97>5;|`236<72=0;6=u+3c2962?<@:l;7d?=a;29?l75j3:17d?=c;29?j40=3:17p}>6d83>7}Y9?o01<9<:356?xu4io0;6?uQ3`d8941e288j7p}:4;291~X2<27:;94>2`9>52?=9;k01<9k:00b?870n3;9m6s|19694?5|V82?70?84;31f>;6?m0:>o5rs0:e>5<4sW;3j63>74826g=:9>=1=?o4}r64a?6=;rT?;h52167957g<58=m6<44e34;<>7?=b:p7de=838pR>ol;<344?75i2wx=5<50;0xZ4>534;<>7?=a:p53`=838p1<9;:356?870i3;9m6s|16394?4|58=>6?9:;<3470?88;31f>{t:?o1<771234;<57?=b:p63`=838p1<96:356?870i3;9n6s|26294?4|58=j6?9:;<34f?75j2wx>:?50;0x941e2;=>70?83;31e>{t9>i1<771234;3<7?=a:p52c=838p1<9i:356?87?83;9n6s|26094?4|582;6?9:;<344?75j2wx>:=50;0x94172;=>70?83;31f>{t9>81<771234;5z&0f5<6ko1d=om50;9j7d`=831b994?::k2`5<722c:4k4?::k7f4<722c:no4?::k0ef<722c:4?4?::`2g7<72:0;6=u+3c2910=O;o:0e<50z&0f5<2>2B8j=5f13c94?=n9;h1<75`26794?=zj8i>6=4<:183!5e83?=7E=i0:k26d<722c:>o4?::m130<722wi=n850;194?6|,:h;6?99;I1e4>o6:h0;66g>2c83>>i5?<0;66sm1b594?5=83:p(>l?:448L6`73`;9m7>5;h31f?6=3f8<97>5;|`2g=<72:0;6=u+3c29620<@:l;7d?=a;29?l75j3:17b<85;29?xd6k00;6>4?:1y'7g6==<1C?k>4i00b>5<5<53;294~"4j90>96F5<7s-9i<7;8;I1e4>o6:h0;66g>2c83>>i5?<0;66sm1bg94?5=83:p(>l?:355?M5a82c:>l4?::k26g<722e9;84?::a5gc=8391<7>t$2`3>03<@:l;7d?=a;29?l75j3:17b<85;29?xd6k90;6>4?:1y'7g6==?1C?k>4i00b>5<5<54;294~"4j909;45G3g28m44f2900e<vP>bb9>5f7=:>?0q~=nf;296~X4io16=n7513c8yv33290>wS;;;<3`6?75i27:o:4>2`9>5fd=9;k01uQ1e2894e5288i70?lb;31f>{t91l1<7=t^0:e?87d;3;9n63>c4826d=z{=h:6=4<{_6a5>;6k:0:>l521bf957d53z\2fg=:9j?1=?l4=0a3>44e3ty8mn4?:3y]7de<58hn6<44f3ty:ni4?:3y>5f4=:>?017}:9j<1>:;4=0a4>44e3ty9:k4?:3y>5f1=:>?01c`83>7}:9jh1>:;4=0af>44f3ty:on4?:3y>5fb=:>?01c183>7}:9j:1>:;4=0a2>44d3twi=5j50;094?6|,:h;6<=:;I1e4>o6:00;66a=7483>>{e<>91<7<50;2x 6d7289>7E=i0:k26<<722e9;84?::a72g=83>1<7>t$2`3>0?<@:l;7d?=a;29?l75j3:17d?=c;29?j40=3:17pl>8`83>1<729q/?o>5589K7c65<5<?h7>53;294~"4j90>96F5<7s-9i<7;k;I1e4>o6:h0;66g>2c83>>o6:j0;66g>2e83>>i5?<0;66sm1`594?2=83:p(>l?:35:?M5a82c:>l4?::k26g<722c:>n4?::m130<722wi=4>50;694?6|,:h;6874H2d3?l75i3:17d?=b;29?l75k3:17b<85;29?xd60:0;6>4?:1y'7g6=:><0D>h?;h31e?6=3`;9n7>5;n041?6=3th8;n4?:283>5}#;k:1>:84H2d3?l75i3:17d?=b;29?j40=3:17pl=6183>7<729q/?o>51278L6`73`;957>5;n041?6=3th:4;4?:383>5}#;k:1=>;4H2d3?l7513:17b<85;29?xd6l;0;6?4?:1y'7g6=9:?0D>h?;h31=?6=3f8<97>5;|q:2?6=9;qU5;523``9=a=:9=?15i5217a9=a=:9h:15i5224g9=a=:1j02h63:4;;g?87?<33o70?k0;;g?87?m33o70?k4;;g?871l33o70?mb;;g?82e20n01>97:8f894>?20n019:m:8f8yv73>3:1>vP>479>01b=9;h0q~?7b;290~X60k16=l;519`894>f288j70=8c;31e>{t9:<1<76c83>7}Y9?h01<8l:`38yv7?k3:1>vP>8b9>5=b=:>?0q~:f2905=>=;><019:m:2cb?87f=32j70?60;31g>{t91o1<7=t^0:f?87?m382863>9b82<`=z{==n6=4<{_64a>;6080?;h52194957?56z\20g=:;hh1=hm4=0c6>42e349513`8yv2c;3:19vP;d29>5d6=i816=4m54e1894c72=n870:82;31`>{ti=63>d3826<=z{8;6>m095952193953b53z\2`1=:9m>1>4:4=0g3>4b33ty8>84?:3y]773<5==96<4=550>7123ty:454?:3y]5=><58236?7;;|q2fg<72:qU=ol4=0`a>7?334;hj7?mb:p0g<72;qU8o524c81=1=z{<>1<7ot^46896ge28oj70;;:3;7?87f=3??70?6c;77?87b83??70?71;77?87dn3??70?7d;31=>;4?h0:>l5rs56a>5<5sW>?n63;4c81=1=z{:kn6=4={<1bf?4?=27:m:4>2b9~w4b22909w0?k4;c2?87b83;o96s|3`d94?1|5:ki6?68;<3:g?5fn27:i=45=7=;hl014g6348=<7?=9:p72>=83om:0gg?8500382863>a4803==:91k1=?m4=56g>44f34;2<7?=a:p72?=838p1>97:8g8961f2;=>7p}>4483>1}:;hh1=hk4=066>7?334;2o7?;5:?2a5<6<<1v<7>:18187?m3k:70?6c;3:5>{t<;<1<77>>34>i6l?4}r;`>5<5s43h6?7;;<77>j7>52z?11`<4??16>;>52678yv7?=3:1>v3>858b5>;60?09;85rs0c5>5<5s4;j97?n6:?2e2<5?<1v9b82e5=:9l:1=l>4}r06a?6=11<4ih16=5:59d9>5a6=1l1v<6;:18187?<382863>8082<1=z{880827123ty:4?4?:2y>5=7=91801i64k4=56g>7123ty::n4?:3y>53e=:0>01<6n:00a?xu6000;6?u219:9=`=:91k1>:;4}r3g5?6=:r7:h=4n1:?2`7<5?<1vcg82`5=z{:=i6=4={<14e?75j278;n4=749~w6gd2903w0=nb;0;5>;>k39<:63>9b80ef=:9l:1?lm4=0:2>6gd34;hj7=nc:?2e2<6:h16=5=513`8yv5fl3:18v36gc34;j;7?=b:~f153290::7=k:40xH6`528qC=k>4}M1e7?4|f=o=6:94$5g6>3=z,:h;6<:6;[15b?7|:10vc<:9:188m1ed2900c9:>:188m1012900c<=9:188mf0=831b8l950;9l53>=831b5>4?::k2<`<722c2<7>5;n64a?6=3`;3;7>5;h:3>5<5<5<5<4}M1e7?7|,=o>6>5r$2`3>4423`3o6=44o3:2>5<5<5<5<5<5<551`:8m4cf2900e5=50;9l6=3=831d>5950;9l6=?=831d>5l50;9l6=e=831ii54?:282>6}K;o81=vF>f19~ 6d72l=0el?50;9l6<2=831b5i4?::`4>5<4290;w)=m0;g0?M5a82F8j?4>{%300?2b92wb4k4?::kb5?6=3f8287>5;|q:`?6=:rT2h638:9d8yv41m3:1>v38:3;7?[4><2wx>;h50;0xZd7<5>0j=6srbdg94?5=939p@>h=:0yK5c65<50z&0f5>{t1m0;6?uQ9e9>3?>a3ty9:h4?:3y>3?4><2T9595rs34e>5<5sWk:7095a09~yg`>29086<4<{M1e6?7|@8l;7p*of93:17b<64;29?l?c2900n:4?:283>5}#;k:1i>5G3g28H6`528q/=>:54d38yl>a2900el?50;9l6<2=831v4j50;0xZ03j6s|27g94?4|5>09595Q2868yv41n3:1>vPn1:?4>d76}K;o81=vF>f19~ 6d72l=0el?50;9l6<2=831b5i4?::`4>5<4290;w)=m0;g0?M5a82F8j?4>{%300?2b92wb4k4?::kb5?6=3f8287>5;|q:`?6=:rT2h638:9d8yv41m3:1>v38:3;7?[4><2wx>;h50;0xZd7<5>0j=6srb020>5<42808wA=i2;3xL4`73t.8n=4j7:kb5?6=3f8287>5;h;g>5<0;6>4?:1y'7g6=m:1C?k>4L2d1>4}#9:>18h?4}h:e>5<;k50;0x92<51=1U>4:4}r05b?6=:rTj=638:`38yxd6800;6>4>:2yO7c4=9rB:j=5r$2`3>`15<7s-9i<7k<;I1e4>J4n;0:w)?<4;6f5>{n0o0;66gn1;29?j4><3:17p}6d;296~X>l27<65h4}r05a?6=:r7<6?7;;_0:0>{t:?l1<7l:180>4<4sE9m>7?tH0d3?x"4j90n;6gn1;29?j4><3:17d7k:188f2<72:0;6=u+3c29a6=O;o:0@>h=:0y'562=0qd6i:188md7=831d>4:50;9~w{t:?o1<70q~<9f;296~Xf927<6l?4}|`eo5000;66g>ec83>>o6mm0;66g>ed83>>ibn3:17oh;:187>5<7s-9i<7;6;I1e4>o6:h0;66g>2c83>>o6:j0;66a=7483>>{en80;694?:1y'7g6==01C?k>4i00b>5<5<6=44}cd0>5<4290;w)=m0;042>N4n91b=?o50;9j57d=831d>:;50;9~w7>>2908wS<79:?e0?75k27m=7?=c:p5`d=839pR44f34l:6<52z\2a`=:n80:>o5rsdd94?4|Vll01k=52678yv`72909w0h;:356?8`4288j7p}i1;296~;a938<963i3;31f>{zjol1<7853;:x 6d72ol0e?66:188m4cc2900e5}#;k:19l5G3g28m44f2900e<2`83>>o6:k0;66a=7483>>{enm0;694?:1y'7g6=:>30D>h?;h31e?6=3`;9n7>5;h31g?6=3f8<97>5;|q1<<<72:qU>574=gg957e<5oi1=?o4}r3f`?6=:rT:ii52fd826g=z{8on6=4={_3fa>;am3;9m6s|1d`94?4|V8oi70hl:00a?xu50>0;6?uQ29589cb=9;i0q~hn:181[`f34lo6?9:;|qef?6=:r7mi7<85:?e`?75i2wxjn4?:3y>bf<5?<16ji4>2c9~yg76=3:1:7:59z&0f5<69<1b>5?50;9j5`e=831b=ho50;9j6=e=831b=hl50;9l55b=831i=<:50;194?6|,:h;6884H2d3?l75i3:17d?=b;29?j40=3:17pl>0g83>6<729q/?o>5579K7c65<6=44}c325?6=;3:1N4n91b=?o50;9j57d=831d>:;50;9~f474290>6=4?{%1a4?40j2B8j=5f13c94?=n9;h1<75f13a94?=n9;n1<75`26794?=z{;2:6=4;{_0;5>;69=0:>o5211d957d<58;:6<44f3ty:il4?:3y]5`g<58:m6<5m4=030>44d3ty:io4?:3y]5`d<58;:6<7123ty:542=:>?01l?:4a8L6`73`;9m7>5;h31f?6=3`;9o7>5;h31`?6=3f8<97>5;|q2ad<72:qU=ho4=036>4cf34;;n7?=c:p5`d=83?pR4ce34lm62`9~w4cd2908wS?jc:?250<6mj16==l513f8yv7bl3:1?vP>ee9>b=<6mm16jk4>ee9~w4cb2908wS?je:?e;bm33o70h6:8f8946720n01<><:8f8946>20n01<>l:8f8yv4?93:18vP=809>55e=:0>0170??0;c2?877;38286s|29594?5|V;2<70??0;0:0>;an383;6s|29;94?2|V;2270h6:3;7?8`?2;2270hi:3::?xu50k0;6>uQ29`89`>=i816ih4=959~w7>d2908wS<7c:?f<27:=84=8b9~w``=838p1hk5a09>b=b<55d=:>?0q~??d;296~;68j0j=63>14824a=zuz3o6=4={_;g?875:33m7p}=8083>7}Y:1;01<<=:3:2?xu50:0;6?uQ291894452;287p}=8483>7}Y:1?01<<=:3:6?xu50>0;6?uQ295894452;2<7p}=8883>7}Y:1301<<=:3::?xu50k0;6?uQ29`894452;2i7p}=8b83>7}Y:1i01<<=:3:`?xu6mh0;6?uQ1dc8944528oj7p}>ec83>7}Y9lh01<<=:0ga?xu6mj0;6?uQ1da8944528oh7p}>ee83>7}Y9ln01<<=:0gg?xu6ml0;6?uQ1dg8944528on7psm9583>6<62:qG?k<51zJ2b5=zD:l86{#;k:1>=;4i`394?=h:0>1<75f9e83>>d029086=4?{%1a4?c43A9m<6g7f;29?lg62900c?7;:188yv?c2909wS7k;<597}Yi816;7o>;|a54}O9o:0qA=i3;3x 1c22:1v(>l?:326?lg62900c?7;:188m53;294~"4j90n?6F5;n0:0?6=3ty2h7>52z\:`>;021l0q~<9e;296~;02;3?7S<64:p63`=838pRl?4=68b5>{zj8o:6=4<:080I5a:3;pDn97=4}%1a4?47=2cj=7>5;n0:0?6=3`3o6=44b683>6<729q/?o>5e29K7c6>i51=0;66s|9e83>7}Y1m16;76i;|q12`<72;q6;7<64:\1=1=z{;{I3e4>{K;o91=v*;e480?x"4j909=o5fa083>>i51=0;66g6d;29?g1=8391<7>t$2`3>`5<@:l;7d6i:188md7=831d>4:50;9~fd6=8381<7>t$2`3>4523A9m<6g>2883>>i5?<0;66s|9e83>7}Y1m16m=4>289~w70b2909w0958g9>e5<5?<1v?8i:18181=:0>0R?7;;|q135<72;qUm<527;c2?x{ell0;6>4=:5yO7c4=9rB:j=5rL2d0>4}#5<7s-9i<7k<;I1e4>o?n3:17do>:188k7?32900qoo?:181>5<7s-9i<7?<5:J0b5=n9;31<75`26794?=z{0n1<752678yv41n3:1>v38:3;7?[4><2wx>:>50;0xZd7<5>0j=6srbb;94?1=:3hp@>h=:0yK5c66=#1c03->oh7<64:&7`<<>l2d?h84?;%6gb?50>2d?h:4>;|&0f5<6n?1Q4h4>{3`9y_51n38p>i4<1;j721=831b5i4?::k033<722e95l4?:%0:6?4>12d95<4?;:m1==<72-82>7<69:l1=4<632e95:4?:%0:6?4>12d95<4=;:m1=0<72-82>7<69:l1=4<432h<6=4m:48`I5a:3;pDn?7:<1:&7`g7?=uS9=j7=1<75f9e83>>o4??0;66a=9`83>!4>:38256`=9083?>i5110;6)<62;0:=>h5180:76a=9683>!4>:38256`=9081?>i51<0;6)<62;0:=>h5180876gn7;29 7?52h<0b?7>:198md3=83.95?4n6:l1=4<632cj87>5$3;1>d0d0290>6947{M1e6?7|@8l;7pB8=6*;dc8b5>"3lm09595+4e;9=a=i>i51=0;66g>o4??0;66l8:180>4<4sE9m>7?tH0d3?x"4j90:j45fa083>>i51=0;66g6d;29?g1=8391<7>t$2`3>`5<@:l;7d6i:188md7=831d>4:50;9~w{t:?o1<70q~<9f;296~Xf927<6l?4}|`756<72:0;6=u+3c2913=O;o:0e<50z&0f5<5??1C?k>4i00b>5<5<7E=i0:k26<<722e9;84?::p=a<72;qU5i529;31=>{ti80;6?uQa09>07b=9;h0q~<64;296~X51=16;7<64:p7dg=838pR>on;<61`?75i2wx?:850;0xZ61134>:?7?=a:pi4=749~w1752909w095a09>045=:>?0qpln:187>6<1sE9m>7?tH0d3?xJ4n:0"3lk0j=6*;de81=1=#"3lo08;;5a4e595>{#;k:1>==4i8f94?=ni80;66a=9583>>o4??0;66l8:180>4<4sE9m>7?tH0d3?x"4j90:j45fa083>>i51=0;66g6d;29?g1=8391<7>t$2`3>`5<@:l;7d6i:188md7=831d>4:50;9~w{t:?o1<70q~<9f;296~Xf927<6l?4}|`756<72:0;6=u+3c2913=O;o:0e<t$2`3>4523A9m<6g>2883>>i5?<0;66s|9e83>7}Y1m1657?=9:pe4<72;qUm<52401957d52z\1=1=:?38286s|36494?4|V:==70:>3;31e>{t03:1>v38:8f89<<5?<1v9?=:18181=i8168<=52678yxd6=3:187=56zN0b7<6sA;m<6sC3g193~"3m:0??<5+4e`9e4=#4:4$5f:>6=5+4ed97207643`3o6=44i`394?=h:0>1<75f36494?=e?3:1?7?53zN0b7<6sA;m<6s+3c295c?5<7s-9i<7k<;I1e4>o?n3:17do>:188k7?32900q~7k:181[?c34=14k5rs34f>5<5s4=1>4:4^3;7?xu5>o0;6?uQa09>3?g63twi8<=50;194?6|,:h;6884H2d3?l75i3:17d?=b;29?j40=3:17pl6:181>5<7s-9i<7?<5:J0b5=n9;31<75`26794?=z{0n1<74:4=681=1=z{:==6=4={_142>;39:0:>l5rs983>7}:?33o70752678yv26:3:1>v38:`3891742;=>7psm2583>1<42?qG?k<51zJ2b5=zD:l86:u+4d19067<,=ni6l?4$5fg>7?33->o577k;o6g1?6<,=nm6>99;o6g3?75<6<62:qG?k<51zJ2b5=z,:h;65<50z&0f5>of93:17b<64;29?xu>l3:1>vP6d:?4>=`52z?4>7?33W8286s|27d94?4|Vh;01:4n1:~f17429086=4?{%1a4?313A9m<6g>2`83>>o6:k0;66a=7483>>{e13:1>7>50z&0f5<6;<1C?k>4i00:>5<6=44}r;g>5<5sW3o707513;8yvg62909wSo>;<627?75j2wx>4:50;0xZ7?334=1>4:4}r142?6=:rT8;;52401957g;>2;=>7p};1383>7}:?3k:70:>3;041>{zj;3h6=4<:183!5e838<:6F98:180[50?27<6>on;<0:g?75j2wx5i4?:4y]=a=:?33o70o59e9>50<>l279877k;|q033<72:qU?:84=68033=::0i1=?o4}r0:f?6=99;<36>611348?6>99;<0:g?40=2wx>4o50;0xZ7?f348?6?7;;%145?4>12d8;=4?;|q1==<72;qU>464=0796<2<,:=:6?76;o144?752z\1=2=:i38286*<7081=<=i;>:1>6s|28794?4|V;3>70952868 6162;327c=80;18yvg02909wSo8;<07>d7<,:=:6l84n253>5=z{h?1<7:1?6srb342>54:{%6f7?25j2.?hn4nd:&7a<oi7=:e:&7a=<03t.8n=4>f59Y<`<5s;i1>l4rZ24e>7}5m38<6pa<6683>!4>:39=:6`=9083?>i4><0;6)<62;152>h5180:76a<6583>!4>:39=:6`=9081?>i4=o0;6)<62;152>h5180876gm6;29 7?52k?0b?7>:198mg2=83.95?4m5:l1=4<632ci?7>5$3;1>g3d5><0;6>4>:2yO7c4=9rB:j=5r$2`3>77c3`ki6=44i`a94?=h;l?:2d`?lge2900elm50;9l70c=831i?k750;194?6|,:h;6884H2d3?l75i3:17d?=b;29?j40=3:17pl6<729q/?o>5579K7c65<6=44}c1ef?6=;3:12`9~wde=839pRlm4=2d:>44f349mm7?=b:p70c=838pR>;j;<1ef?40=2wx?k650;0x96`>2;=>70=ib;31e>{t;o31<7712349mn7?=b:~wdd=838pRll4=2d`>dd7}Y;hl:27f?x{e:?<1<7=51;1xH6`528qC=k>4}%1a4?46l2cjn7>5;hc`>5<5<42:0>w)=m0;1eg>ofj3:17dol:188k63b2900n>h6:180>5<7s-9i<7;9;I1e4>o6:h0;66g>2c83>>i5?<0;66sm3gc94?5=83:p(>l?:448L6`73`;9m7>5;h31f?6=3f8<97>5;|`0bg<72:0;6=u+3c29620<@:l;7d?=a;29?l75j3:17b<85;29?xufj3:1?vPnb:?0b<<6:k16?ko513c8yvgd2908wSol;<1e=?75i278jl4>2c9~w63b2909wS=:e:?0bg<5?<1v>h7:18185a138<9632c9~yvge2909wSom;<1eg?ge3tyjo7>52z\bg>;4nj0jo6s|34g94?4|V:?n70=ic;16a>{zj;<<6=4<:080I5a:3;pDi7>5;c1eg?6=;3919v*?1<75rb2db>5<4290;w)=m0;75?M5a82c:>l4?::k26g<722e9;84?::a7cd=8391<7>t$2`3>7113A9m<6g>2`83>>o6:k0;66a=7483>>{tik0;6>uQac9>7c?=9;h01>hn:00b?xufk3:1?vPnc:?0b<<6:h16?ko513`8yv52m3:1>vP<5d9>7cd=:>?0q~=i8;296~;4n009;8523g`957g52z?0bd<5?<16?kl513`8yxufj3:1>vPnb:?0bf5<5sW9>i63:?7>53;294~"4j90>96F5<7s-9i<7;:;I1e4>o6:h0;66g>2c83>>i5?<0;66sm37g94?4=83:p(>l?:016?M5a82c:>44?::m130<722wx8<<50;1x97012hh019?<:356?826=3;9n6s|40694?4|5;<<6ll4=536>7123ty8::4?:3y]731<5;<<6>;j;%145?51>2d8;=4?;|q020<72;qU?;;4=345>63b3-9<=7=96:l035<63ty8:94?:3y]732<5;<>6>;j;%145?51>2d8;=4=;|q01c<72;qU?8h4=24f>7123-9<=7=96:l035<43tyi:7>52z\a2>;5>>0jo6*<708a1>h4?90;7p}m4;297~Xe<279:;4nc:?750<6:h1/?:?5b49m726=92wxn>4?:2y]f6=::??1mn52401957g<,:=:6o;4n253>7=z{ho1<7:t^`g897022hh019?<:00a?851m3;956*<708a1>h4?9087ps|36594?4|V:=<70953658yv?c2909wS7k;<59=a=z{:==6=4={_142>;02:==7p}=9`83>6}Y:0k01:4=9`9>637=j?1/?:?528;8j617291v?77:180[4>027<6?77;<055?d33-9<=7<69:l035<63ty95:4?:2y]6<1<5>095:522739f6=#;>;1>474n253>7=z{;3>6=4<{_0:1>;02;3>70<91;cf?!50938256`<7180?xu38=0;6?u27;c4?841939=;6*<708746=i;>:1<6s|41094?4|5>0j963=608020=#;>;18==4n253>4=z{=::6=4={<59e1=::?;1?;:4$252>1643g9<<7<4}r1eb?6=:r7<6l<4=342>63a3-9<=7:?3:l035<43twi8n<50;797?0|D:l96l?:327?l?c2900e4k50;9je4<722e9594?::k033<722h<6=4::386I5a:3;pD5;h;f>5<a2900el?50;9l6<2=831vn>h>:182>5<7s-9i<7?=8:J0b5=h9;=1<75rs8f94?4|V0n01:47f:p=`<72;qU5h527;;6?xu5>l0;6?u23g39571<5>02;6s|27d94?4|5>09595Q2868yv4083:1>vPn1:?4>d74?:383>5}#;k:1=>;4H2d3?l7513:17b<85;29?xd3:10;6>4?:1y'7g6=:>=0D>h?;h31e?6=3`;9n7>5;n041?6=3ty2h7>52z\:`>;020n0q~7j:181[?b34>947?=a:p6<2=838pR?7;;<596<253z\033=:<891=?74=50;>44e3ty?=?4?:3y>3?g634>:?7<85:p071=838p1:46e:?76=<5?<1vqo?98;290?4==rF8j?4>{I3e4>{K;o91=v*;e480?x"4j909<;5fa083>>i51=0;66g6d;29?l1a2900n:4?:583>5}#;k:1i95G3g28m<1=831b4k4?::kb5?6=3f8287>5;|`b4?6=:3:17123ty52z\4b>;020=0q~<9f;296~;02;3?7S<64:p626=838pRl?4=68b5>{zj8>=6=4;:386I5a:3;pDn97=4}%1a4?47>2cj=7>5;n0:0?6=3`3o6=44i6d94?=e?3:187>50z&0f5>o?n3:17do>:188k7?32900qoo?:181>5<7s-9i<7?<5:J0b5=n9;31<75`26794?=z{0n1<752678yv1a2909wS9i;<59=2=z{;7}Yi816;7o>;|a5d?=8391=7=tL2d1>4}O9o:0qA=i3;3x 1c22:1v(>l?:326?lg62900c?7;:188m53;294~"4j90n?6F5;n0:0?6=3ty2h7>52z\:`>;021l0q~<9e;296~;02;3?7S<64:p63`=838pRl?4=68b5>{zj89=6=4<:080I5a:3;pDn97=4}%1a4?47=2cj=7>5;n0:0?6=3`3o6=44b683>6<729q/?o>5e29K7c6>i51=0;66s|9e83>7}Y1m16;76i;|q12`<72;q6;7<64:\1=1=z{;4<4sE9m>7?tH0d3?xJ4n:0:w):j5;18y!5e838;96gn1;29?j4><3:17d7k:188f2<72:0;6=u+3c29a6=O;o:0e5h50;9je4<722e9594?::p=a<72;qU5i527;:e?xu5>l0;6?u27;0:0>X51=1v?8i:181[g634=1m<5r}c65`?6=;3818vBh?;h:e>5<7E=i0:k26<<722e9;84?::p=a<72;qU5i52a1826<=z{;5<5s4=1>4:4^3;7?xu5?90;6?uQa09>3?g63twini4?:282>6}K;o81=vF>f19~H6`428q/8h;53:'7g6=:9=0el?50;9l6<2=831b5i4?::`4>5<4290;w)=m0;g0?M5a82F8j?4>{%300?2b92wb4k4?::kb5?6=3f8287>5;|q:`?6=:rT2h638:9d8yv41m3:1>v38:3;7?[4><2wx>;h50;0xZd7<5>0j=6srb562>5<42;0?wA=i2;3xL4`73tF8j>4>{%6f1?5b:kb5?6=3f8287>5;h;g>5<0;6>4?:1y'7g6=m:1C?k>4i9d94?=ni80;66a=9583>>{ei90;6?4?:1y'7g6=9:?0D>h?;h31=?6=3f8<97>5;|q:`?6=:rT2h63n0;31=>{t:?o1<7;f838<96s|27d94?4|5>09595Q2868yv4083:1>vPn1:?4>d71}K;o81=vF>f19~H6`428q/8h;53:'7g6=:8h0el?50;9l6<2=831b5i4?::`4>5<4290;w)=m0;g0?M5a82c3j7>5;hc2>5<5<5290;w)=m0;301>N4n91b=?750;9l623=831v4j50;0xZ52z?4>7?33W8286s|26294?4|Vh;01:4n1:~f1d629086?4;{M1e6?7|@8l;7pBof93:17b<64;29?l?c2900n:4?:283>5}#;k:1i>5G3g28m=`=831bm<4?::m1=1<722wim=4?:383>5}#;k:1=>;4H2d3?l7513:17b<85;29?xu>l3:1>vP6d:?b4?7512wx>;k50;0x9252z\b5>;02h;0qpl>b083>3<421q/?o>51c38k4gd2900e2`83>>o6:k0;66a=7483>>{e9ho1<7=50;2x 6d72<<0D>h?;h31e?6=3`;9n7>5;n041?6=3th:mk4?:583>5}#;k:1>:74H2d3?l75i3:17d?=b;29?l75k3:17b<85;29?xu6ij0;6?uQ1`a894ga2;=>7p}>b583>7}Y9k>01b`83>7}Y9kk01a883>7}Y9h301l?:061?j74j3:17d?m4;29?l74?3:17d?m5;29?l7e>3:17d?m7;29?l7e03:17d?<9;29?l7e:3:17d?<6;29?g7393:1?7>50z&0f5<2=2B8j=5f13c94?=n9;h1<75`26794?=zj89o6=4<:183!5e83?=7E=i0:k26d<722c:>o4?::m130<722wi=>h50;194?6|,:h;6884H2d3?l75i3:17d?=b;29?j40=3:17pl>4183>2<729q/?o>526g8L6`73`;9m7>5;h31f?6=3`;9o7>5;h31`?6=3`;9i7>5;h31b?6=3f8<97>5;|q27g<72;qU=>l4=063>7123ty:n94?:3y]5g2<58>:6<94=062>44e3ty:n84?:3y]5g3<58>;6<44d3ty:n:4?:3y]5g1<58>;6<44e3ty:?44?:3y]56?<589o6<44f3ty:?;4?:3y]560<589m6<3e83>7}:9:n1>:;4=063>44b3ty:?h4?:3y>56`=:>?01<:?:00e?x{e=:0;644<:cy'7g6==:1d8h4?::k2f1<722c:?:4?::k2f0<722c:n;4?::k2f2<722c:n54?::k2f7<722c?n7>5;c71>5<4290;w)=m0;76?M5a82c:>l4?::k26g<722e9;84?::a15<72:0;6=u+3c2913=O;o:0e<?0q~?m4;296~X6j=169?4>2`9~w4502909wS?<7:?66?75j2wx=o;50;0xZ4d234?:6<52z\2f2=:=80:>i5rs0`;>5<5sW;i463:1;31a>{t9k81<7<7?=b:p0c<72;q69?4=749>14<6:h1v8>50;0x906=:>?018?513d8yxd3?00;6?4?:1y'7g6=9:?0D>h?;h31=?6=3f8<97>5;|`gg?6=;3:1N4n91b=?o50;9j57d=831d>:;50;9~f4?a29086=4?{%1a4?40>2B8j=5f13c94?=n9;h1<75`26794?=zjm:1<7=50;2x 6d72<<0D>h?;h31e?6=3`;9n7>5;n041?6=3tho>7>53;294~"4j90>:6F5<7s-9i<7;7;I1e4>o6:h0;66g>2c83>>o6:j0;66a=7483>>{e9?k1<7:50;2x 6d72;=j7E=i0:k26d<722c:>o4?::k26f<722e9;84?::a03`=8391<7>t$2`3>7113A9m<6g>2`83>>o6:k0;66a=7483>>{ek:0;6>4?:1y'7g6=:><0D>h?;h31e?6=3`;9n7>5;n041?6=3thon7>55;294~"4j90>i6F?1<75rb5a:>5<2290;w)=m0;7g?M5a82c:>l4?::k26g<722c:>n4?::k26a<722e9;84?::a020=8391<7>t$2`3>00<@:l;7d?=a;29?l75j3:17b<85;29?xd3?k0;6>4?:1y'7g6=:><0D>h?;h31e?6=3`;9n7>5;n041?6=3th?;54?:283>5}#;k:1985G3g28m44f2900e<5<7s-9i<7<86:J0b5=n9;k1<75f13`94?=h:>?1<75rb0ca>5<3290;w)=m0;7:?M5a82c:>l4?::k26g<722c:>n4?::m130<722wi=o=50;:94?6|,:h;6?9i;I1e4>o6:h0;66g>2c83>>o6:j0;66g>2e83>>o6:l0;66g>2g83>>o6;90;66a=7483>>{e9:21<7=50;2x 6d72h?;h31e?6=3`;9n7>5;n041?6=3th:894?:283>5}#;k:1>:84H2d3?l75i3:17d?=b;29?j40=3:17pl>3`83>6<729q/?o>5579K7c65<6=44}c`e>5<3290;w)=m0;7:?M5a82c:>l4?::k26g<722c:>n4?::m130<722wio84?:483>5}#;k:1>:l4H2d3?l75i3:17d?=b;29?l75k3:17d?=d;29?j40=3:17pll1;297?6=8r.8n=4:5:J0b5=n9;k1<75f13`94?=h:>?1<75rb560>5<3290;w)=m0;7:?M5a82c:>l4?::k26g<722c:>n4?::m130<722wi89950;494?6|,:h;6?9k;I1e4>o6:h0;66g>2c83>>o6:j0;66g>2e83>>o6:l0;66a=7483>>{e<1>1<7:50;2x 6d72<30D>h?;h31e?6=3`;9n7>5;h31g?6=3f8<97>5;|`7<3<72:0;6=u+3c2913=O;o:0e<50z&0f5<5?m1C?k>4i00b>5<5<5<6=44}c6;N4n91b=?o50;9j57d=831d>:;50;9~f1d029086=4?{%1a4?313A9m<6g>2`83>>o6:k0;66a=7483>>{eo4?::k26f<722c:>i4?::k26`<722e9;84?::a0g?=8391<7>t$2`3>03<@:l;7d?=a;29?l75j3:17b<85;29?xd4k80;6?4?:1y'7g6=9:?0D>h?;h31=?6=3f8<97>5;|`7gg<72;0;6=u+3c29563<@:l;7d?=9;29?j40=3:17pl>4983>7<729q/?o>51278L6`73`;957>5;n041?6=3th?884?:383>5}#;k:1=>;4H2d3?l7513:17b<85;29?xd30h0;6?4?:1y'7g6=9:?0D>h?;h31=?6=3f8<97>5;|`7fg<72;0;6=u+3c29563<@:l;7d?=9;29?j40=3:17p}>4783>1}Y9=<01382863>45826g=z{=ih6=4={_6`g>;4k80:>45rs562>5<3sW>?=63>6984b>;6;6>h0:>o5rs015>5<3sW;8:63>3781=1=:9=81=>84=5a4>44e3tyh:7>53z\`2>;cm3k:70j=:00b?xu3i>0;6?uQ4`58940f288j7p}>6983>1}Y9?20145826d=z{091<76t^8189<2=i816?oh59e9>``<>l27h577k;<6`6??c34;=477k;<372??c3ty:4h4?:3y]5=c<583o6l?4}r;3>503b=1m16ni46d:?704<>l27?;h46d:?7f4<>l2wx8:k50;1xZ11b34>2`9~w=6=83?pR5>4=0c;>4cd34><47?=a:?2eg<6:k16=>6513`8yv2e93:1?vP;b09>0g7=:0>019l8:00b?xu6l:0;6?uQ1e1891d>288j7p}>d583>7}Y9m>01:`38yv23i3:1>vP;4`9>51>=9;30q~:9d;296~X3>m168;j52868yv20<3:1>vP;759>02?=:>?0q~:m:180[2e34>i6?7;;<70>1dh>7>53z\7g7=:4:4=ea957g44e34ni6?9:;|q:0?6=?r7:m54>ec9>=1<51=16=ll513c8945f288j70li:00b?823;3;9m63;85826d=z{83o6=4<{<3:`?4><27:5k4>2`9>0=2=9;h0q~m=:1818dc2h;01n=52678yve32909w0m::356?8e6288i7p}>6883>7}:9?21m<5217c962352z?73g<5?<168:6513`8yv5en3:1>v34=749>011=9;k0q~:mc;296~;3jm09;8524c;957d7?334>70:md;31f>{t71234>in7?=9:p``<72;q6hh4=959>`7<6:k1v<:<:181873<38<963>3`826g=z{jl1<7:;4}rf2>5<4s4i26>98;44f34n96?9:;|qg3?6=:r7o<7?=b:?g70:;5;31=>{t61134>h;7<85:p511=838p1<:9:`38942?2;=>7p};8283>6}:<1>1>:;4=5:`>44f34>ih7?=a:p0=3=838p1969:356?82?k3;9n6s|49594?4|5=236?9:;<6;e?7512wx8;k50;0x910c2h;0198i:356?xu6m80;6>u21d396<2<583m6<44e3tyo57>52z?gg?40=27::l4>2b9~w4?b290=w0?n8;3fe>;61o09;852464957g<58ki6<4>2c9~wgc=838p1n=513c89g`=:>?0q~m?:1818e4288i70m>:356?xu6ij0;6?u21`;9e4=:9k;1=lm4}r641?6=:r7?;;4=749>02d=9;h0q~:87;296~;3?00:>45246:96237>54z?2f4<6j;16=9<51c08905=9k801:;4}r30f?6=:r7:?;4n1:?207<6;k1v9k50;0x91d=i8169>4;e:p`6<72:q6h94=749>`=<6:h168nl513;8yvb22909w0j9:356?8b?288i7p}>b583>=}:9h21>5?4=0`2>4d334;?>7?m4:?67?7e<27:n>4>2`9>fc<6:j1689=513a891>3288h7p}>b483>=}:9h21>5=4=061>4d234?86b783>=}:9h21>5;4=061>4d134?86b683><}:9h21>594=061>4d034?864>2e9>g0<6:j16899513f891>d288o70:md;31`>{t9k21<77t=0c;>7>>34;?>7?m8:?67?7e027?:k4>2c9>5g5=9;o01n;513f89120288n70:7c;31a>;3jm0:>h5rs0`:>5<5s4;j47<7b:?2f6<6:o1vb082fd=:9k91=>>4}r670?6=:r7?8<4n1:?700<5?<1v9ln:18182e93k:70:mb;041>{t?288i7p};8883>7}:<>o1m<5249c962352z?2f4<6ih16=ll52678yv7f13:1?v3>a881=1=:9k;1=l74=555>44e3ty:?:4?:2y>514=9:=018=51258945?2;=>7p}>3883>7}:9=81=>74=01b>7123ty?o54?:3y>0f1=9;k019m6:356?xudm3:19v3l9;0:e>;cj3;9h63k4;31`>;c>3;9h63;c8826g=#;>;1oi5a36294>{tkj0;68u2c881===:lk0:>n52d5826f=:l?0:>o524b;957b<,:=:6nj4n253>4=z{jh1<7;t=b;96<1<5mh1=?l4=e6957d<5m<1=?o4=5a:>44f3-9<=7mk;o144?47?234ni6<44f34n=6<{I3e4>{K;o91>v`;e78;7>"3m<0=7p*\4>o0=w<<5d;3`>7`=::08<7s`34594?=n1?0;66g<7e83>>i4=90;66g7a;29?j2283:17dm9:188m6?22900e99l:188k1gf2900c5>50;9j0a5=831d>4j50;9j6g>=831i=h<50;:95?>|D:l9662900c?6<:188k7>22900c?68:188k7>>2900e<7?tH0d3?x"4j90:i?5f1dc94?=n9lh1<75f9g83>>i5080;66a=8283>>i50<0;66a=8683>>i5000;66lj8;297?7=;rF8j?4>{I3e4>{#;k:1i:5fa083>>i51=0;66g6d;29?g1=8391<7>t$2`3>`5<@:l;7A=i2;3x 4532=o:7pg7f;29?lg62900c?7;:188yv?c2909wS7k;<597}Yi816;7o>;|aa`<72:0:6>uC3g095~N6n91v(>l?:d58md7=831d>4:50;9j=a<722h<6=4<:183!5e83o87E=i0:N0b7<6s-;887:j1:j5;n0:0?6=3ty2h7>52z\:`>;021l0q~<9e;296~;02;3?7S<64:p63`=838pRl?4=68b5>{zjln1<7;52;4x 6d72ln0eh650;9j5`g=831b=hl50;9ja`<722en57>5;cg`>5<3290;w)=m0;7;?M5a82c:>l4?::k26g<722c:>n4?::m130<722wiio4?:283>5}#;k:1>:84H2d3?l75i3:17d?=b;29?j40=3:17p}j8;296~Xb027nn7?=a:p5`g=838pR44f3ty:io4?:3y]5`d<5li1=?l4}rgf>5<5sWon70kl:00`?xub13:1>vPj9:?ff?40=2wxil4?:3y>af<5?<16io4>2c9~yg`?290?6>49{%1a4?`?3`;nm7>5;hgf>5<>da<3:1?7>50z&0f5<2>2B8j=5f13c94?=n9;h1<75`26794?=zjo;1<7=50;2x 6d72<<0D>h?;h31e?6=3`;9n7>5;n041?6=3thm?7>53;294~"4j909;;5G3g28m44f2900e<2`9>b4<6:k1vhk50;0xZ`c<5o>1=?l4}rg;>5<5sWo370h>:00b?xubn3:1>vPjf:?e7?40=2wxj=4?:3y>b1<5?<16j>4>2`9~wc7=838p1k?526789c5=9;h0qpl=8383>6<729q/?o>5579K7c65<6=44}c0;0?6=;3:13:1?7>50z&0f5<2?2B8j=5f13c94?=n9;h1<75`26794?=zj;236=4<:183!5e83?=7E=i0:k26d<722c:>o4?::m130<722wi>5o50;194?6|,:h;68;4H2d3?l75i3:17d?=b;29?j40=3:17p}j8;29<~;b0382863jd;g;?8`?2l201?6=:00a?84?<3;9m63=87826g=::121=?o4=3:b>44e3tyni7>58z?fa?4><27nh7kj;`c<5;296<2`9>6=>=9;h01?6n:00b?xu6mh0;6>uQ1dc89`b=9lk01k651dc8yv7bj3:1>vP>ec9>aa<6mk1v4h50;1xZ<`<5l215i52ed8:`>{t:1;1<7{t:1?1<738<96s|29594?4|V;2<70<78;041>{t:131<77}:m10j=63jd;g:?xubn3:1>v3je;c2?8`?2ll0qp}6d;296~X>l27:>?46f:p6=7=838pR?6>;<316?4?92wx>5=50;0xZ7>434;9>7<73:p6=3=838pR?6:;<316?4?=2wx>5950;0xZ7>034;9>7<77:p6=?=838pR?66;<316?4?12wx=ho50;0xZ4cf34;9>7?ja:p5`d=838pR\?m38p=k4>d;Y73`=:r8:65<5<#:081>484n3;2>4=1<7*=938b7>h5180;76gn2;29 7?52h90b?7>:098f2<72:0:6>uC3g095~N6n91v(>l?:326?lg62900c?7;:188m53;294~"4j90n?6F5;n0:0?6=3ty2h7>52z\:`>;021l0q~<9e;296~;02;3?7S<64:p63`=838pRl?4=68b5>{zjh0;6>4>:2yO7c4=9rB:j=5r$2`3>7623`k:6=44o3;7>5<<3:17p}6d;296~X>l27<65h4}r05a?6=:r7<6?7;;_0:0>{t:?l1<702h63n:8f8yv4>?3:1>vP=969>e?4><2.8;<4=979m726=82wx>4;50;0xZ7?234=1>4:4$252>7?13g9<<7?4}rc7>5<5sWk?70o5a09'727=i:1e?:>50:pe7<72;qUm?527;c2?!5093k87c=80;38yxd3?m0;6>4>:2yO7c4=9rB:j=5rL2d0>4}#5<7s-9i<7k<;I1e4>o?n3:17do>:188k7?32900q~7k:181[?c34=14k5rs34f>5<5s4=1>4:4^3;7?xu5>o0;6?uQa09>3?g63twi?o?50;596?d|D:l96h3l<0:7):ka;;f?k2c>3;0(9ji:255?k2c?3;0q)=m0;3e3>\?m3;p>o4rZ24e>7}5l39:6pg6d;29?l?b2900e>99:188k7?f290/>4<528;8j7?62910c?77:18'6<4=:030b?7>:098k7?0290/>4<528;8j7?62;10c?7::18'6<4=:030b?7>:298f2<72k0?6ouC3g095~N6n91v@>h<:8y'0`5=<:;0(9jm:`38 1bc2;3?7):k9;;g?k2c=3;0(9jn:8g8j1b1281/8ih53648j1b0281v(>l?:32:?_>b2;q9n7<6:|X02c<5s;n1>;4ri8f94?=n1l0;66g<7783>>i51h0;6)<62;0:=>h5180;76a=9983>!4>:38256`=9082?>i51>0;6)<62;0:=>h5180976a=9483>!4>:38256`=9080?>of?3:1(?7=:`48j7?62910el;50;&1=72d95<4>;:kb0?6=,;396l84n3;2>7=h5180876l8:186>6<0sE9m>7?tH0d3?xJ4n:02w):j3;605>"3lk0j=6*;de81=1=#"3lh02i6`;d782?!2cn39<:6`;d682?x"4j909>o>m3:17do>:188k7?32900e>99:188f2<72<0968uC3g095~N6n91v(>l?:0d:?lg62900c?7;:188mh?;M1e6?7|,89?69k>;|k:1?6=3`3<6=44i9d94?=ni80;66a=9583>>{e;o;1<7?50;2x 6d728837E=i0:m262<722wx5i4?:3y]=a=:?32m7p}6e;296~X>m27<64;4}r05a?6=:r78j<4>269>3??03ty9:k4?:3y>3?4><2T9595rs353>5<5sWk:7095a09~yg26;3:1?7>50z&0f5<2>2B8j=5f13c94?=n9;h1<75`26794?=zj=836=4<:183!5e838<:6F02h6s|9d83>7}Y1l168?6513`8yvg62909wSo>;<627?75j2wx>4:50;0xZ7?334=1>4:4}r142?6=;rT8;;52401957g<5=836<;<627?40=2wx8?950;0x92<>m27?>54=749~ygg=83?1?79tL2d1>4}O9o:0qA=i3;;x 1c42=9:7):kb;c2?!2cl38286*;d88:`>h3l<0:7):ka;;f?k2c>3;0(9ji:255?k2c?3;0q)=m0;03f>o>l3:17d7j:188md7=831d>4:50;9j720=831i;7>55;091~J4n;0:wE?i0:'7g6=9o30el?50;9l6<2=831b5i4?::k:a?6=3`3<6=44b683>0<729q/?o>5e49K7c66=44i8594?=n0o0;66gn1;29?j4><3:17pl4<729q/?o>513:8L6`73f;9;7>5;|q:`?6=:rT2h638:9d8yv?b2909wS7j;<59=0=z{;{t:>:1<75<7s-9i<7;9;I1e4>o6:h0;66g>2c83>>i5?<0;66sm43:94?5=83:p(>l?:355?M5a82c:>l4?::k26g<722e9;84?::p=a<72;qU5i527;;g?xu>m3:1>vP6e:?76=<6:k1vl?50;0xZd7<5=;86<4:4=681=1=z{:==6=4<{_142>;39:0:>l5243:957g:>7>52z?4>d7<5=;86?9:;|q762<72;q6;77j;<61"3l002h6`;d482?!2ci33n7c:k6;38 1ba2:==7c:k7;38y!5e838;o6g6d;29?l?b2900el?50;9l6<2=831b?:850;9a3?6==3819vB51g;8md7=831d>4:50;9j=a<722c2i7>5;h;4>5<0;684?:1y'7g6=m<1C?k>4L2d1>4}#9:>18h?4}h;6>5<>of93:17b<64;29?xd4n80;6<4?:1y'7g6=9;20D>h?;n313?6=3ty2h7>52z\:`>;021l0q~7j:181[?b34=1585rs34f>5<5s49m=7?=7:?4><152z?4>7?33W8286s|26294?4|Vh;01:4n1:~f17429086=4?{%1a4?313A9m<6g>2`83>>o6:k0;66a=7483>>{e<;21<7=50;2x 6d72;==7E=i0:k26d<722c:>o4?::m130<722wx5i4?:3y]=a=:?33o7p}6e;296~X>m27?>54>2c9~wd7=838pRl?4=530>44e3ty9594?:3y]6<2<5>09595rs255>5<4sW9<:63;12826d=:<;21=?o4}r626?6=:r7<6l?4=530>7123ty?>:4?:3y>3??b34>947<85:~f72=83?1?79tL2d1>4}O9o:0qA=i3;;x 1c42=9:7):kb;c2?!2cl38286*;d88:`>h3l<0:7):ka;;f?k2c>3;0(9ji:255?k2c?3;0q)=m0;03`>o>l3:17d7j:188md7=831d>4:50;9j720=831i;7>55;091~J4n;0:wE?i0:'7g6=9o30el?50;9l6<2=831b5i4?::k:a?6=3`3<6=44b683>0<729q/?o>5e49K7c66=44i8594?=n0o0;66gn1;29?j4><3:17pl4<729q/?o>513:8L6`73f;9;7>5;|q:`?6=:rT2h638:9d8yv?b2909wS7j;<59=0=z{;{t:>:1<75<7s-9i<7;9;I1e4>o6:h0;66g>2c83>>i5?<0;66sm43:94?5=83:p(>l?:355?M5a82c:>l4?::k26g<722e9;84?::p=a<72;qU5i527;;g?xu>m3:1>vP6e:?76=<6:k1vl?50;0xZd7<5=;86<4:4=681=1=z{:==6=4<{_142>;39:0:>l5243:957g:>7>52z?4>d7<5=;86?9:;|q762<72;q6;77j;<6102h63n:8f8943=1m16>946d:p=`<7208;;52a;142>;6=39<:63=4;142>{t:0k1<7h4?90;7p}=9983>7}Y:0201<;52868 6162;327c=80;38yv4>?3:1>vP=969>e?4><2.8;<4=989m726=:2wx>4;50;0xZ7?234=1>4:4$252>7?>3g9<<7=4}rc4>5<5sWk<70<;:`38 6162h<0b>9?:19~wd3=838pRl;4=079e4=#;>;1m;5a36295>{ti=0;6?uQa59>e?g63-9<=7o9;o144?4:18;>37?tH0d3?xJ4n:0>w):j3;61f>"3lj0jh6*;e88b?!2cm39>i6*;e984?x"4j90:j95U8d817e=:h0vV>8i:3y1a?402te8::4?:%0:6?51>2d95<4?;:m020<72-82>7=96:l1=4<632e8:94?:%0:6?51>2d95<4=;:m01c<72-82>7=96:l1=4<432ci:7>5$3;1>g3oe;3:1(?7=:c78j7?62;10elk50;&1=7uC3g095~N6n91v(>l?:33g?lge2900elm50;9l70c=831i?km50;197?3|,:h;6>hl;hca>5<h?;h31e?6=3`;9n7>5;n041?6=3th8jl4?:283>5}#;k:19;5G3g28m44f2900e<5<6=44}rca>5<4sWki70=i9;31f>;4nh0:>l5rs`a94?5|Vhi01>h6:00b?85ai3;9n6s|34g94?4|V:?n70=ib;041>{t;o21<7712349mn7?=a:p7c?=838p1>hn:356?85aj3;9n6srs``94?4|Vhh01>hl:``8yvgd2909wSol;<1eg?gd3ty89h4?:3y]70c<5:lh6>;j;|a630=8391=7=tL2d1>4}O9o:0q)=m0;02`>ofj3:17dol:188k63b2900n>hl:180>6<2s-9i<7=ic:kbf?6=3`kh6=44o27f>5<o4?::m130<722wi?ko50;194?6|,:h;6884H2d3?l75i3:17d?=b;29?j40=3:17pl6<729q/?o>52648L6`73`;9m7>5;h31f?6=3f8<97>5;|qbf?6=;rTjn635<4sWkh70=i9;31e>;4nh0:>o5rs27f>5<5sW9>i632`9~w6`>2909w0=ia;041>;4nk0:>o5r}rca>5<5sWki70=ic;ca?xufk3:1>vPnc:?0bf5;n16a?6=3k9m57>53;294~"4j90>:6Fhn:180>5<7s-9i<7;9;I1e4>o6:h0;66g>2c83>>i5?<0;66sm3g`94?5=83:p(>l?:355?M5a82c:>l4?::k26g<722e9;84?::peg<72:qUmo523g;957d<5:lj6<52z?0b<<5?<16?kl513c8yv5a13:1>v3{tij0;6?uQab9>7ce=ij1v>;j:181[52m278jn4<5d9~yg26;3:1?7>50z&0f5<2=2B8j=5f13c94?=n9;h1<75`26794?=zj=;>6=4<:183!5e83?>7E=i0:k26d<722c:>o4?::m130<722wi?;k50;094?6|,:h;6<=:;I1e4>o6:00;66a=7483>>{t<881<7=t=345>dd<5=;86?9:;<621?75j2wx8<:50;0x97002hh019?::356?xu4>>0;6?uQ375897002:?n7)=81;152>h4?90;7p}<6483>7}Y;??01?89:27f?!50939=:6`<7182?xu4>=0;6?uQ376897022:?n7)=81;152>h4?9097p}<5g83>7}Y;8j:356?!50939=:6`<7180?xue>3:1>vPm6:?12253z\a0>;5>?0jo63;14826d=#;>;1n85a36295>{tj:0;6>uQb29>633=ij168<=513c8 6162k?0b>9?:39~wdc=83>pRlk4=346>dd<5=;86<m27<64k4}r142?6=:rT8;;527;142>{t:0k1<7=t^3;b?81=:0k01?8>:c48 6162;327c=80;28yv4>03:1?vP=999>3?4>0279:<4m4:&034<5101e?:>51:p6<1=839pR?78;<596<1<5;<:6o=4$252>7?>3g9<<7<4}r0:1?6=;rT958527;0:1>;5>80ji6*<7081=<=i;>:1?6s|41694?4|5>0j;63=608022=#;>;18==4n253>5=z{=:96=4={<59e0=::?;1?;;4$252>1643g9<<7?4}r635?6=:r7<6l:4=342>6033-9<=7:?3:l035<53ty8jk4?:3y>3?g5348==7=:f:&034<38:1e?:>53:~f630290>6?4:{M1e6?7|@8l;7pBof93:17b<64;29?l?c2900e4k50;9j=2<722h<6=4::183!5e83o>7E=i0:k:1?6=3`3<6=44i9d94?=ni80;66a=9583>>{e;o;1<7?50;2x 6d728837E=i0:m262<722wx5i4?:3y]=a=:?32m7p}6e;296~X>m27<64;4}r05a?6=:r78j<4>269>3??03ty9:k4?:3y>3?4><2T9595rs353>5<5sWk:7095a09~yg5283:197<55zN0b7<6sA;m<6sC3g195~"3m<0;7p*>o>l3:17d7j:188m<1=831i;7>55;294~"4j90n96F5;h:e>5<:183!5e83;946F03j6s|9d83>7}Y1l16;77:;|q12`<72;q6?k?5135892<>?2wx>;h50;0x92<51=1U>4:4}r044?6=:rTj=638:`38yxd4j>0;684=:4yO7c4=9rB:j=5rL2d0>4}#5}#;k:1i85G3g28m<3=831b5:4?::k;b?6=3`k:6=44o3;7>5<51;294~"4j90:>55G3g28k4402900q~7k:181[?c34=14k5rs8g94?4|V0o01:465:p63c=838p1>h>:004?81=1>1v?8i:18181=:0>0R?7;;|q135<72;qUm<527;c2?x{e::i1<7;53;5xH6`528qC=k>4}M1e7?g|,=o>6=5+4d19067<,=ni6l?4$5fg>7?33->o577k;o6g1?7<,=nj64k4n5f5>4=#4=z,:h;6?>j;h;g>5<>i51=0;66g<7783>>d0290>6?4:{M1e6?7|@8l;7p*>o>l3:17d7j:188m<1=831i;7>55;294~"4j90n96F5;h:e>5<:183!5e83;946F03j6s|9d83>7}Y1l16;77:;|q12`<72;q6?k?5135892<>?2wx>;h50;0x92<51=1U>4:4}r044?6=:rTj=638:`38yxd39:0;6>4?:1y'7g6==?1C?k>4i00b>5<5<947>53;294~"4j909;;5G3g28m44f2900e<vPn1:?756<6:k1v?7;:181[4><27<6?7;;|q033<72:qU?:84=530>44f34>947?=a:p044=838p1:4n1:?756<5?<1v9<8:18181=1l168?652678yxd5;k0;684<:6yO7c4=9rB:j=5rL2d0>d}#4=#"3lo08;;5a4e595>{#;k:1>=k4i8f94?=n1l0;66gn1;29?j4><3:17d=86;29?g1=83?1>7;tL2d1>4}O9o:0q)=m0;3e=>of93:17b<64;29?l?c2900e4k50;9j=2<722h<6=4::183!5e83o>7E=i0:k:1?6=3`3<6=44i9d94?=ni80;66a=9583>>{e;o;1<7?50;2x 6d728837E=i0:m262<722wx5i4?:3y]=a=:?32m7p}6e;296~X>m27<64;4}r05a?6=:r78j<4>269>3??03ty9:k4?:3y>3?4><2T9595rs353>5<5sWk:7095a09~yg26;3:1?7>50z&0f5<2>2B8j=5f13c94?=n9;h1<75`26794?=zj=836=4<:183!5e838<:6F02h6s|9d83>7}Y1l168?6513`8yvg62909wSo>;<627?75j2wx>4:50;0xZ7?334=1>4:4}r142?6=;rT8;;52401957g<5=836<;<627?40=2wx8?950;0x92<>m27?>54=749~yg42l3:197=57zN0b7<6sA;m<6sC3g19e~"3m<0;7):j3;605>"3lk0j=6*;de81=1=#"3lh02i6`;d782?!2cn39<:6`;d682?x"4j909>o>m3:17do>:188k7?32900e>99:188f2<72<0968uC3g095~N6n91v(>l?:0d:?lg62900c?7;:188mh?;h;6>5<>of93:17b<64;29?xd4n80;6<4?:1y'7g6=9;20D>h?;n313?6=3ty2h7>52z\:`>;021l0q~7j:181[?b34=1585rs34f>5<5s49m=7?=7:?4><152z?4>7?33W8286s|26294?4|Vh;01:4n1:~f17429086=4?{%1a4?313A9m<6g>2`83>>o6:k0;66a=7483>>{e<;21<7=50;2x 6d72;==7E=i0:k26d<722c:>o4?::m130<722wx5i4?:3y]=a=:?33o7p}6e;296~X>m27?>54>2c9~wd7=838pRl?4=530>44e3ty9594?:3y]6<2<5>09595rs255>5<4sW9<:63;12826d=:<;21=?o4}r626?6=:r7<6l?4=530>7123ty?>:4?:3y>3??b34>947<85:~f73d290>6>48{M1e6?7|@8l;7pB"3l002h6`;d482?!2ci33n7c:k6;38 1ba2:==7c:k7;38y!5e838;i6g6d;29?l?b2900el?50;9l6<2=831b?:850;9a3?6==3819vB51g;8md7=831d>4:50;9j=a<722c2i7>5;h;4>5<0;684?:1y'7g6=m<1C?k>4i8794?=n1>0;66g7f;29?lg62900c?7;:188yg5a93:1=7>50z&0f5<6:11C?k>4o004>5<0296s|27g94?4|5:l:6<<8;<59=2=z{;7}Yi816;7o>;|a045=8391<7>t$2`3>00<@:l;7d?=a;29?l75j3:17b<85;29?xd3:10;6>4?:1y'7g6=:><0D>h?;h31e?6=3`;9n7>5;n041?6=3ty2h7>52z\:`>;020n0q~7j:181[?b34>947?=b:pe4<72;qUm<52401957d52z\1=1=:?38286s|36494?5|V:==70:>3;31e>;3:10:>l5rs531>5<5s4=1m<5240196239;7>52z?4>4}O9o:0qA=i3;cx 1c2291/8h=54238 1be2h;0(9jk:3;7?!2c133o7c:k5;38 1bf20o0b9j9:09'0a`=;><0b9j8:09~ 6d72;:n7d7k:188m5<22;0>wA=i2;3xL4`73t.8n=4>f89je4<722e9594?::k:`?6=3`3n6=44i8594?=e?3:197>50z&0f5>o>?3:17d6i:188md7=831d>4:50;9~f6`6290:6=4?{%1a4?7502B8j=5`13594?=z{0n1<77p}=6d83>7}:;o;1=?94=68:3>{t:?l1<70q~<80;296~Xf927<6l?4}|`756<72:0;6=u+3c2913=O;o:0e<50z&0f5<5??1C?k>4i00b>5<5<;02;3?7p}<7783>6}Y;><019?<:00b?82503;9m6s|40094?4|5>0j=63;128130=z{=8<6=4={<59=`=:<;21>:;4}|`11d<72<086:uC3g095~N6n91v@>h<:`y'0`3=82.?i>4;309'0ad=i81/8ij52868 1b>20n0b9j::09'0ag=1l1e8i851:&7`c<4??1e8i951:'7g6=:9o0e4j50;9j=`<722cj=7>5;n0:0?6=3`9<:7>5;c594?3=:3?p@>h=:0yK5c65;h;g>5<>d0290>6=4?{%1a4?c23A9m<6g65;29?l?02900e5h50;9je4<722e9594?::a7c7=83;1<7>t$2`3>44?3A9m<6a>2683>>{t1m0;6?uQ9e9>3?>a3ty2i7>52z\:a>;020?0q~<9e;296~;4n80:>:527;;4?xu5>o0;6?u27;0:0>X51=1v?9?:181[g634=1m<5r}c627?6=;3:1N4n91b=?o50;9j57d=831d>:;50;9~f14?29086=4?{%1a4?40>2B8j=5f13c94?=n9;h1<75`26794?=z{0n1<7l2wx5h4?:3y]=`=:<;21=?l4}rc2>5<5sWk:70:>3;31f>{t:0>1<70q~=86;297~X4??168<=513c8914?288j7p};1383>7}:?3k:70:>3;041>{t<;=1<7;3:109;85r}c06=?6==391;vBn?7:<1:&7`gm2d?h;4>;%6gb?50>2d?h:4>;|&0f5<58l1b5i4?::k:a?6=3`k:6=44o3;7>5<0<525<t$2`3>`3<@:l;7d7::188m<1=831b4k4?::kb5?6=3f8287>5;|`0b4<7280;6=u+3c2957><@:l;7b?=7;29?xu>l3:1>vP6d:?4>=`v38:3;7?[4><2wx>:>50;0xZd7<5>0j=6srb530>5<4290;w)=m0;75?M5a82c:>l4?::k26g<722e9;84?::a07>=8391<7>t$2`3>7113A9m<6g>2`83>>o6:k0;66a=7483>>{t1m0;6?uQ9e9>3??c3ty2i7>52z\:a>;3:10:>o5rs`394?4|Vh;019?<:00a?xu51=0;6?uQ286892<51=1v>99:180[50>27?=>4>2`9>07>=9;k0q~:>2;296~;02h;019?<:356?xu3:>0;6?u27;;f?825038<96srb37;>5<22:04n{%6f1?6<,=o869=>;%6gf?g63->oh7<64:&7`<<>l2d?h84>;%6ge??b3g>o:7?4$5fe>6113g>o;7?4}%1a4?47m2c2h7>5;h;f>5<<1<75m7;291?4==rF8j?4>{I3e4>{#;k:1=k74i`394?=h:0>1<75f9e83>>o>m3:17d78:188f2<72<0;6=u+3c29a0=O;o:0e4;50;9j=2<722c3j7>5;hc2>5<=2wx>;k50;0x96`6288<7095969~w70a2909w0952868Z7?33ty9;=4?:3y]e4=:?3k:7psm40194?5=83:p(>l?:448L6`73`;9m7>5;h31f?6=3f8<97>5;|`76=<72:0;6=u+3c29620<@:l;7d?=a;29?l75j3:17b<85;29?xu>l3:1>vP6d:?4>7}Yi8168<=513`8yv4><3:1>vP=959>3?4><2wx?:850;1xZ61134>:?7?=a:?76=<6:h1v9?=:18181=i8168<=52678yv25?3:1>v38:8g8914?2;=>7psm24594?3=;3=p@>h=:0yK5c65=#?4$5fa>d7<,=no6?7;;%6g=??c3g>o97?4$5fb>76b3`3o6=44i8g94?=ni80;66a=9583>>o4??0;66l8:186>7<2sE9m>7?tH0d3?x"4j90:j45fa083>>i51=0;66g6d;29?l?b2900e4950;9a3?6==3:1N4n91b584?::k:3?6=3`2m6=44i`394?=h:0>1<75rb2d2>5<6290;w)=m0;31<>N4n91d=?950;9~w{t1l0;6?uQ9d9>3??23ty9:h4?:3y>7c7=9;=01:467:p63`=838p1:4=959]6<252z\b5>;02h;0qpl;1283>6<729q/?o>5579K7c65<6=44}c614>2c9~w7?32909wS<64:?4>7?33ty8;;4?:2y]720<5=;86<4=749~w1402909w0959d9>07>=:>?0qpl=5783>0<42>qG?k<51zJ2b5=zD:l86lu+4d794>"3m:0??<5+4e`9e4=#4:4$5f:>6<5+4ec9=`=i>of93:17b<64;29?l50>3:17o950;796?3|D:l96<3:17d7k:188m5<2290;w)=m0;g6?M5a82c297>5;h;4>5<>i51=0;66sm3g394?7=83:p(>l?:00;?M5a82e:>:4?::p=a<72;qU5i527;:e?xu>m3:1>vP6e:?4><352z?0b4<6:>16;778;|q12c<72;q6;7<64:\1=1=z{;=;6=4={_c2?81=i81vqo:>3;297?6=8r.8n=4:6:J0b5=n9;k1<75f13`94?=h:>?1<75rb50;>5<4290;w)=m0;042>N4n91b=?o50;9j57d=831d>:;50;9~w{t1l0;6?uQ9d9>07>=9;h0q~o>:181[g634>:?7?=b:p6<2=838pR?7;;<596<253z\033=:<891=?o4=50;>44f3ty?=?4?:3y>3?g634>:?7<85:p071=838p1:46e:?76=<5?<1vqo<{I3e4>{K;o91=v*;e483?x"4j90:jo5fa083>>i51=0;66g6d;29?l?b2900e4950;9a3?6==3:1N4n91b584?::k:3?6=3`2m6=44i`394?=h:0>1<75rb2d2>5<6290;w)=m0;31<>N4n91d=?950;9~w{t1l0;6?uQ9d9>3??23ty9:h4?:3y>7c7=9;=01:467:p63`=838p1:4=959]6<252z\b5>;02h;0qpl=3083>0<52{#;k:1=kl4i`394?=h:0>1<75f9e83>>o>m3:17d78:188f2<72<0;6=u+3c29a0=O;o:0e4;50;9j=2<722c3j7>5;hc2>5<=2wx>;k50;0x96`6288<7095969~w70a2909w0952868Z7?33ty9;=4?:3y]e4=:?3k:7psm23`94?5=939p@>h=:0yK5c65=z,:h;6?>:;hc2>5<50z&0f5>of93:17b<64;29?xu>l3:1>vP6d:?4>=`52z?4>7?33W8286s|27d94?4|Vh;01:4n1:~f6c?290=6?4n{M1e6?7|@8l;7pBn;6*;de81=1=#"3lo08;;5a4e594>{#;k:1=k64Z9g95~4e2tP8:k4={3f974=h:0=1<7*=9381=<=i:0;1>65`28794?"51;09545a28397>=e?3:1m7:5azN0b7<6sA;m<6sC3g193~"3m:0??<5+4e`9e4=#4:4$5f:>6<5+4ed972076a3S2n6?u=b;0:>x\4>o09w?j5278~m4650;&1=7<5101e>4?51:9l6<1=83.95?4=989m6<7=:21d>4;50;&1=7<5101e>4?53:9je2<72-82>7o9;o0:5?6<3`k>6=4+2809e3=i:0;1=65fa583>!4>:3k=7c<61;08?lg5290/>4<5a79m6<7=;21i;7>54;091~J4n;0:wE?i0:O7c5=?r.?i>4;309'0ad=i81/8ij52868 1b>20n0b9j::09'0a`=;><0b9j8:19~ 6d72;;:7d7k:188md7=831d>4:50;9j720=831i;7>53;397~J4n;0:wE?i0:'7g6=9o30el?50;9l6<2=831b5i4?::`4>5<4290;w)=m0;g0?M5a82F8j?4>{%300?2b92wb4k4?::kb5?6=3f8287>5;|q:`?6=:rT2h638:9d8yv41m3:1>v38:3;7?[4><2wx>;h50;0xZd7<5>0j=6srb530>5<4290;w)=m0;76?M5a82c:>l4?::k26g<722e9;84?::p=a<72;qU5i527;;g?xuf93:1>vPn1:?756<6:k1v?7;:181[4><27<6?7;;|q033<72;qU?:84=530>44f3ty?=?4?:3y>3?g634>:?7<85:~fd<72=0968uC3g095~N6n91v@>h<:6y'0`5=<:;0(9jm:`38 1bc2;3?7):k9;;g?k2c=3;0(9ji:255?k2c?3:0q)=m0;026>o>l3:17do>:188k7?32900e>99:188f2<72:0:6>uC3g095~N6n91v(>l?:0d:?lg62900c?7;:188m53;294~"4j90n?6F7}:?38286P=959~w70a2909wSo>;<59e4=zuk>:?7>53;294~"4j90>96F02h6s|a083>7}Yi8168<=513`8yv4><3:1>vP=959>3?4><2wx?:850;0xZ61134>:?7?=a:p044=838p1:4n1:?756<5?<1vqo?::187>7<2sE9m>7?tH0d3?xJ4n:0"3lk0j=6*;de81=1=#"3lo08;;5a4e594>{#;k:1><=4i8f94?=ni80;66a=9583>>o4??0;66l8:180>4<4sE9m>7?tH0d3?x"4j90:j45fa083>>i51=0;66g6d;29?g1=8391<7>t$2`3>`5<@:l;7A=i2;3x 4532=o:7pg7f;29?lg62900c?7;:188yv?c2909wS7k;<597}Yi816;7o>;|a045=8391<7>t$2`3>03<@:l;7d?=a;29?l75j3:17b<85;29?xu>l3:1>vP6d:?4>3:1>vP<779>045=9;k0q~:>2;296~;02h;019?<:356?x{e:=0;694=:4yO7c4=9rB:j=5rL2d0>2}#?4$5fa>d7<,=no6?7;;%6g=??c3g>o97?4$5fe>6113g>o;7>4}%1a4?46<2c2h7>5;hc2>5<5<0;6>4>:2yO7c4=9rB:j=5r$2`3>4`>3`k:6=44o3;7>5<3587a4=za1l1<75fa083>>i51=0;66s|9e83>7}Y1m16;76i;|q12`<72;q6;7<64:\1=1=z{;3;297?6=8r.8n=4:5:J0b5=n9;k1<75f13`94?=h:>?1<75rs8f94?4|V0n01:46d:pe4<72;qUm<52401957d52z\1=1=:?38286s|36494?4|V:==70:>3;31e>{t<881<7;39:09;85r}r;g>5<2sW3o70959e9>e??c34;>64j4=369=a=z{:==6=4:{_142>;02:==70o53648943=;><01?:53648yv4>i3:1>vP=9`9>61<51=1/?:?528;8j617291v?77:181[4>027:97<64:&034<5101e?:>51:p6<1=838pR?78;52z\1=0=:?38286*<7081=<=i;>:1?6s|a683>7}Yi>16>94n1:&0342d8;=4?;|qb1?6=:rTj963>5;c2?!5093k=7c=80;38yvg32909wSo;;;1m;5a36296>{ti;0;6?uQa39>3?g63-9<=7o9;o144?5d}K;o81=vF>f19~H6`425<#:081?;84n3;2>4=5<#:081?;84n3;2>6=h5180;76gm4;29 7?52k?0b?7>:098mg5=83.95?4m5:l1=4<532cji7>5$3;1>g354b346>5<42808wA=i2;3xL4`73t.8n=4=1e9jeg<722cjo7>5;n16a?6=3k9mo7>53;191~"4j908jn5fac83>>ofk3:17b=:e;29?g5a13:1?7>50z&0f5<2>2B8j=5f13c94?=n9;h1<75`26794?=zj:lj6=4<:183!5e83?=7E=i0:k26d<722c:>o4?::m130<722wi?kl50;194?6|,:h;6?99;I1e4>o6:h0;66g>2c83>>i5?<0;66s|ac83>6}Yik16?k7513`896`f288j7p}nc;297~Xfk278j44>2`9>7cg=9;h0q~=:e;296~X4=l16?kl52678yv5a03:1>v37cd=9;h0qp}nb;296~Xfj278jn4nb:pef<72;qUmn523ga9ef=z{:?n6=4={_16a>;4nj089h5r}c052?6=;3;1?vB520f8mdd=831bmn4?::m01`<722h8jn4?:280>0}#;k:1?km4i``94?=nij0;66a<5d83>>d4n00;6>4?:1y'7g6==?1C?k>4i00b>5<5<53;294~"4j90>:6Fhm:180>5<7s-9i<7<86:J0b5=n9;k1<75f13`94?=h:>?1<75rs``94?5|Vhh01>h6:00a?85ai3;9m6s|ab83>6}Yij16?k7513c896`f288i7p}<5d83>7}Y;hm:356?xu4n10;6?u23g;9623<5:li6<7}Yik16?km5ac9~wde=838pRlm4=2d`>dei7>52z\01`=:;oi1?8k4}|`122<72:0:6>uC3g095~N6n91v(>l?:33g?lge2900elm50;9l70c=831i?km50;197?3|,:h;6>hl;hca>5<h?;h31e?6=3`;9n7>5;n041?6=3th8jl4?:283>5}#;k:19;5G3g28m44f2900e<5<6=44}rca>5<4sWki70=i9;31f>;4nh0:>l5rs`a94?5|Vhi01>h6:00b?85ai3;9n6s|34g94?4|V:?n70=ib;041>{t;o21<7712349mn7?=a:p7c?=838p1>hn:356?85aj3;9n6srs``94?4|Vhh01>hl:``8yvgd2909wSol;<1eg?gd3ty89h4?:3y]70c<5:lh6>;j;|a045=8391<7>t$2`3>03<@:l;7d?=a;29?l75j3:17b<85;29?xd39<0;6>4?:1y'7g6==<1C?k>4i00b>5<5<52;294~"4j90:?85G3g28m44>2900c?9::188yv26:3:1?v3=678bf>;39:09;852407957d:87>52z?1228::181[51=279:;4<5d9'727=;?<0b>9?:09~w6032909wS=94:?120<4=l1/?:?53748j6172;1v>;i:181[52n278:h4=749'727=;?<0b>9?:29~wg0=838pRo84=344>de<,:=:6o;4n253>5=z{k>1<7=t^c6897012hi019?::00b?!5093h>7c=80;38yvd42908wSl<;<051?gd34>:?7?=a:&034;39:0:>o5237g957?<,:=:6o;4n253>6=zuz3o6=4={_;g?81=1m1v>99:181[50>27<6>99;|q1=d<72:qU>4o4=681=d=::?;1n;5+363965<4sW824638:3;;?84193h?7)=81;0:=>h4?90:7p}=9683>6}Y:0=01:4=969>637=j:1/?:?528;8j6172;1v?7::180[4>=27<6?7:;<055?gb3-9<=7<69:l035<43ty?<94?:3y>3?g0348==7=97:&034<38:1e?:>50:p054=838p1:4n5:?124<4><1/?:?54118j617281v9>>:18181=i=16>;?53768 6162=:87c=80;08yv5an3:1>v38:`0897062:?m7)=81;637>h4?9087psm28f94?5=939p@>h=:0yK5c65=z,:h;6?>:;hc2>5<50z&0f5>of93:17b<64;29?xu>l3:1>vP6d:?4>=`52z?4>7?33W8286s|27d94?4|Vh;01:4n1:~f=6=8391=7=tL2d1>4}O9o:0qA=i3;3x 1c2291v(>l?:326?lg62900c?7;:188m53;294~"4j90n?6F5;n0:0?6=3ty2h7>52z\:`>;021l0q~<9e;296~;02;3?7S<64:p63`=838pRl?4=68b5>{zj=kj6=4<:080I5a:3;pDn97>4}%1a4?47=2cj=7>5;n0:0?6=3`3o6=44b683>6<729q/?o>5e29K7c6>i51=0;66s|9e83>7}Y1m16;76i;|q12`<72;q6;7<64:\1=1=z{;{I3e4>{K;o91=v*;e483?x"4j909<85fa083>>i51=0;66g6d;29?g1=8391<7>t$2`3>`5<@:l;7d6i:188md7=831d>4:50;9~w{t:?o1<70q~<9f;296~Xf927<6l?4}|`127<72821=;4=2zN0b7<6sA;m<6sC3g193~h3m?0?86*;e2876g=#"3ll089h5+4d:93>"3m<0;7p*=h;?:1<7*=938024=i:0;1=65`37f94?"51;08:<5a28396>=h;?i1<7*=938024=i:0;1?65`37`94?"51;08:<5a28390>=h;?k1<7*=938024=i:0;1965`37;94?"51;08:<5a28392>=h;?21<7*=938024=i:0;1;65`37594?"51;08:<5a2839<>=h;??1<7*=938024=i:0;1565`37694?"51;08:<5a2839e>=h;!4>:3h;7c<61;28?lga290/>4<5b19m6<7=921bnn4?:%0:6?d73g82=7<4;h`a>5<#:081n=5a28397>=njh0;6)<62;`3?k4>93>07dl6:18'6<4=j91e>4?55:9jf=<72-82>7l?;o0:5?0<3`h<6=4+2809f5=i:0;1;65fb783>!4>:3h;7c<61;:8?ld3290/>4<5b19m6<7=121bn>4?:%0:6?d73g82=7o4;hcf>5<#:081n=5a2839f>=e:??1<7=51;1xH6`528qC=k>4}%1a4?46l2cjn7>5;hc`>5<5<42:0>w)=m0;1eg>ofj3:17dol:188k63b2900n>h6:180>5<7s-9i<7;9;I1e4>o6:h0;66g>2c83>>i5?<0;66sm3gc94?5=83:p(>l?:448L6`73`;9m7>5;h31f?6=3f8<97>5;|`0bg<72:0;6=u+3c29620<@:l;7d?=a;29?l75j3:17b<85;29?xufj3:1?vPnb:?0b<<6:k16?ko513c8yvgd2908wSol;<1e=?75i278jl4>2c9~w63b2909wS=:e:?0bg<5?<1v>h7:18185a138<9632c9~yvge2909wSom;<1eg?ge3tyjo7>52z\bg>;4nj0jo6s|34g94?4|V:?n70=ic;16a>{zj;<=6=4<:080I5a:3;pDi7>5;c1eg?6=;3919v*?1<75rb2db>5<4290;w)=m0;75?M5a82c:>l4?::k26g<722e9;84?::a7cd=8391<7>t$2`3>7113A9m<6g>2`83>>o6:k0;66a=7483>>{tik0;6>uQac9>7c?=9;h01>hn:00b?xufk3:1?vPnc:?0b<<6:h16?ko513`8yv52m3:1>vP<5d9>7cd=:>?0q~=i8;296~;4n009;8523g`957g52z?0bd<5?<16?kl513`8yxufj3:1>vPnb:?0bf5<5sW9>i6353;397~J4n;0:wE?i0:'7g6=:8n0ell50;9jef<722e89h4?::`0bf<72:0868u+3c297ce>i4=l0;66l6<729q/?o>5579K7c65<6=44}c1ee?6=;3:1N4n91b=?o50;9j57d=831d>:;50;9~f6`e29086=4?{%1a4?40>2B8j=5f13c94?=n9;h1<75`26794?=z{hh1<7=t^``896`>288i70=ia;31e>{tij0;6>uQab9>7c?=9;k01>hn:00a?xu4=l0;6?uQ34g896`e2;=>7p}7}:;o31>:;4=2da>44f3ty8j44?:3y>7cg=:>?01>hm:00a?x{tik0;6?uQac9>7ce=ik1vlm50;0xZde<5:lh6lm4}r16a?6=:rT89h523ga970c6}K;o81=vF>f19~ 6d72;;o7dom:188mde=831d?8k50;9a7ce=8391?7;t$2`3>6`d3`ki6=44i`a94?=h;l?:448L6`73`;9m7>5;h31f?6=3f8<97>5;|`0bd<72:0;6=u+3c2913=O;o:0e<50z&0f5<5??1C?k>4i00b>5<5<288j70=ia;31f>{t;70=ib;31f>{z{hh1<763b3twi>;750;195?5|D:l96;j:188f6`d29086>4:{%1a4?5ak2cjn7>5;hc`>5<5<4290;w)=m0;75?M5a82c:>l4?::k26g<722e9;84?::a7cg=8391<7>t$2`3>00<@:l;7d?=a;29?l75j3:17b<85;29?xd4nk0;6>4?:1y'7g6=:><0D>h?;h31e?6=3`;9n7>5;n041?6=3tyjn7>53z\bf>;4n00:>o523gc957g;4nk09;85rs2d;>5<5s49m57<85:?0bg<6:h1v>h6:18185ai38<963;j;<1eg?52m2wvn?8n:180>4<4sE9m>7?tH0d3?x"4j909=i5fac83>>ofk3:17b=:e;29?g5ak3:1?7=55z&0f5<4nj1bmo4?::kbg?6=3f9>i7>5;c1e=?6=;3:1N4n91b=?o50;9j57d=831d>:;50;9~f6`f29086=4?{%1a4?313A9m<6g>2`83>>o6:k0;66a=7483>>{e;oh1<7=50;2x 6d72;==7E=i0:k26d<722c:>o4?::m130<722wxmo4?:2y]eg=:;o31=?l4=2db>44f3tyjo7>53z\bg>;4n00:>l523gc957di7>52z\01`=:;oh1>:;4}r1e7cd=9;k0q~=i9;296~;4nh09;8523g`957d52z\bf>;4nj0jn6s|ab83>7}Yij16?km5ab9~w63b2909wS=:e:?0bf<4=l1vqo<9b;297?7=;rF8j?4>{I3e4>{#;k:1>>d4nj0;6>4<:4y'7g6=;oi0ell50;9jef<722e89h4?::`0b<<72:0;6=u+3c2913=O;o:0e<50z&0f5<2>2B8j=5f13c94?=n9;h1<75`26794?=zj:li6=4<:183!5e838<:6F44e3ty89h4?:3y]70c<5:li6?9:;|q0b=<72;q6?k75267896`e288j7p}7}:;ok1>:;4=2da>44e3twxmo4?:3y]eg=:;oi1mo5rs`a94?4|Vhi01>hl:`a8yv52m3:1>vP<5d9>7ce=;6<62:qG?k<51zJ2b5=z,:h;6??k;hca>5<t$2`3>00<@:l;7d?=a;29?l75j3:17b<85;29?xd4nh0;6>4?:1y'7g6==?1C?k>4i00b>5<5<53;294~"4j909;;5G3g28m44f2900e<=838p1>h6:356?85aj3;9m6s|3g;94?4|5:lj6?9:;<1ef?75j2wvll50;0xZdd<5:lh6ll4}rc`>5<5sWkh70=ic;c`?xu4=l0;6?uQ34g896`d2:?n7psm27f94?5=939p@>h=:0yK5c6d:kbf?6=3`kh6=44o27f>5<;j:188f6`>29086=4?{%1a4?313A9m<6g>2`83>>o6:k0;66a=7483>>{e;ok1<7=50;2x 6d72<<0D>h?;h31e?6=3`;9n7>5;n041?6=3th8jo4?:283>5}#;k:1>:84H2d3?l75i3:17d?=b;29?j40=3:17p}nb;297~Xfj278j44>2c9>7cg=9;k0q~ol:180[gd349m57?=a:?0bd<6:k1v>;j:181[52m278jo4=749~w6`?2909w0=i9;041>;4nk0:>l5rs2d:>5<5s49mm7<85:?0bg<6:k1vq~om:181[ge349mo7om;|qbg?6=:rTjo63{t;i6srb340>5<42808wA=i2;3xL4`73t.8n=4=1e9jeg<722cjo7>5;n16a?6=3k9mo7>53;191~"4j908jn5fac83>>ofk3:17b=:e;29?g5a13:1?7>50z&0f5<2>2B8j=5f13c94?=n9;h1<75`26794?=zj:lj6=4<:183!5e83?=7E=i0:k26d<722c:>o4?::m130<722wi?kl50;194?6|,:h;6?99;I1e4>o6:h0;66g>2c83>>i5?<0;66s|ac83>6}Yik16?k7513`896`f288j7p}nc;297~Xfk278j44>2`9>7cg=9;h0q~=:e;296~X4=l16?kl52678yv5a03:1>v37cd=9;h0qp}nb;296~Xfj278jn4nb:pef<72;qUmn523ga9ef=z{:?n6=4={_16a>;4nj089h5r}c050?6=;3;1?vB520f8mdd=831bmn4?::m01`<722h8jn4?:280>0}#;k:1?km4i``94?=nij0;66a<5d83>>d4n00;6>4?:1y'7g6==?1C?k>4i00b>5<5<53;294~"4j90>:6Fhm:180>5<7s-9i<7<86:J0b5=n9;k1<75f13`94?=h:>?1<75rs``94?5|Vhh01>h6:00a?85ai3;9m6s|ab83>6}Yij16?k7513c896`f288i7p}<5d83>7}Y;hm:356?xu4n10;6?u23g;9623<5:li6<7}Yik16?km5ac9~wde=838pRlm4=2d`>dei7>52z\01`=:;oi1?8k4}|`756<72:0;6=u+3c2910=O;o:0e<50z&0f5<2=2B8j=5f13c94?=n9;h1<75`26794?=zj=;<6=4<:183!5e83?>7E=i0:k26d<722c:>o4?::m130<722wi8<750;194?6|,:h;68;4H2d3?l75i3:17d?=b;29?j40=3:17pl;1c83>6<729q/?o>5549K7c65<6=44}c62`?6=;3:1N4n91b=?o50;9j57d=831d>:;50;9~f17a29086=4?{%1a4?323A9m<6g>2`83>>o6:k0;66a=7483>>{e<;;1<7=50;2x 6d72h?;h31e?6=3`;9n7>5;n041?6=3th?>>4?:283>5}#;k:1985G3g28m44f2900e<?1<75rb24f>5<5290;w)=m0;301>N4n91b=?750;9l623=831v9?=:180841>3ki70:>3;041>;39<0:>o5rs537>5<4s48=;7om;<621?40=27?=:4>2c9~w1712908w0<98;ca?826?38<963;18826g=z{=;36=4<{<05=?ge34>:57<85:?75g<6:k1v9?n:180841i3ki70:>b;041>;39m0:>o5rs53`>5<4s48=n7om;<62`?40=27?=k4>2c9~w17b2908w0<9c;ca?826n38<963;20826g=z{=8;6=4<{<05`?ge34>9=7<85:?766<6:k1v9<=:180841;3ki70:=3;041>;3:<0:>o5rs507>5<5s48=87om;<611?40=2wx?;<50;0xZ605348=87=:e:&034<4>81e?:>50:p736=838pR>8?;<057?52m2.8;<4<609m726=92wx?;j50;0xZ60c348=h7=:e:&034<4>81e?:>52:p73e=838pR>8l;<05g?52m2.8;<4<609m726=;2wx?;l50;0xZ60e348=n7=:e:&034<4>81e?:>54:p73g=838pR>8n;<05e?52m2.8;<4<609m726==2wx?;750;0xZ60>348=57=:e:&034<4>81e?:>56:p73>=838pR>87;<0581e?:>58:p733=838pR>8:;<052?52m2.8;<4<609m726=12wx?;:50;0xZ603348=97=:e:&034<4>81e?:>5a:p70`=838pR>;i;<15a?40=2.8;<4<609m726=j2wxn<4?:3y]f4=::?>1mn5+3639f5=i;>:1<6s|ag83>6}Yio16>;=5ab9>073=9;k0(>9>:c28j617281vom50;1xZge<5;44f3-9<=7l?;o144?4"4?80i<6`<7180?xuei3:1?vPma:?12g2`9'727=j91e?:>54:pf<<72:qUn45227c9ef=:<8n1=?o4$252>g653z\a2>;5>>0jo63;16826d=#;>;1n=5a3629<>{tj=0;6>uQb59>630=ij168<;513c8 6162k:0b>9?:89~wg5=839pRo=4=346>de<5=;86<5<3sWkn70<95;ca?826;3;9n63<6d826<=#;>;1n=5a3629f>{zj;<:6=4;:387I5a:3;pDn:7:<;%6f7?25j2.?hn4nd:&7a<oi7=:e:&7a=<03->n97>4}%1a4?7a;2P3i7v<=:0`9yj51<3:1(?7=:240?k4>93:07b=:f;29 7?52:<87c<61;38?ld4290/>4<5b39m6<7=821bmh4?:%0:6?d53g82=7?4;c051?6=;3;1?vB520f8mdd=831bmn4?::m01`<722h8jn4?:280>0}#;k:1?km4i``94?=nij0;66a<5d83>>d4n00;6>4?:1y'7g6==?1C?k>4i00b>5<5<53;294~"4j90>:6Fhm:180>5<7s-9i<7<86:J0b5=n9;k1<75f13`94?=h:>?1<75rs``94?5|Vhh01>h6:00a?85ai3;9m6s|ab83>6}Yij16?k7513c896`f288i7p}<5d83>7}Y;hm:356?xu4n10;6?u23g;9623<5:li6<7}Yik16?km5ac9~wde=838pRlm4=2d`>dei7>52z\01`=:;oi1?8k4}|`02`<72;0;6=u+3c29563<@:l;7d?=9;29?j40=3:17p}<6583>7}Y;?>01?8::27f?!50939=?6`<7183?xu4=o0;6?uQ34d8960b2;=>7)=81;157>h4?90:7p}m3;296~Xe;279:84nc:&034;4>l0:>45+3639f7=i;>:1=6srb36g>5<12:03w)=m0;07`>i5<10;66g>e483>>o3800;66g=4083>>o5<:0;66g;0083>>d54?:1y'7g6==?1C?k>4i00b>5<5<55;294~"4j90>h6F5<7s-9i<7<86:J0b5=n9;k1<75f13`94?=h:>?1<75rs36;>5<5sW8?463=4c8130=z{8o>6=4<{_3f1>;5l5225c957e;57>52z\74<=::=i1=?l4}r075?6=:rT98<5225c957g52z\106=::=k1=?l4}r635?6=:rT?<<5225c957b52z?10f<5?<16>9l513c8yv43i3:1>v3=4`8130=::=h1=?l4}|`17<<72?0>6lu+3c2966?5<6=44i362>5<86=44i2de>5<o4?::m130<722wi>>:50;694?6|,:h;68o4H2d3?l75i3:17d?=b;29?l75k3:17b<85;29?xd5;<0;6>4?:1y'7g6=:><0D>h?;h31e?6=3`;9n7>5;n041?6=3th9?;4?:283>5}#;k:1985G3g28m44f2900e<5<6=44}r006?6=:rT9??52225962353z\2a0=:::21=?o4=315>44f3ty?<84?:3y]053<5;936<9?4=317>44f348897?=a:p615=838pR?:<;<000?75j2wx?kh50;0xZ6`a348887?=c:p665=838p1?=7:356?844?3;9m6s|22694?4|5;9?6?9:;<001?75j2wx>;k50;0x97522;=>70<<6;31f>{t:?l1<77123488;7?=b:~f757290o6>4i{%1a4?4482e9>n4?::k15`<722c9>?4?::k166<722c9>94?::k160<722c9>;4?::k162<722c9>54?::k16<<722c9>l4?::k15c<722c9><4?::`16a<7200;6=u+3c2926=O;o:0e<50z&0f5<2k2B8j=5f13c94?=n9;h1<75f13a94?=n9;n1<75`26794?=zj;8m6=4<:183!5e83?>7E=i0:k26d<722c:>o4?::m130<722wx>?m50;0xZ74d3489j7<85:p64c=838pR??j;<01a?75i2wx>?<50;0xZ7453489h7?=a:p675=838pR?<<;<01`?75j2wx>?:50;0xZ7433489h7?=d:p673=838pR?<:;<01`?75k2wx>?850;0xZ7413489h7?=e:p671=838pR?<8;<01`?7482wx>?650;0xZ74?3489h7?=f:p67?=838pR?<6;<01`?7492wx>?o50;0xZ74f3489i7?=c:p64`=838pR??i;<01a?75j2wx>??50;0xZ7463489i7?=d:p67b=838p1?0;66g70;29?g>229086=4?{%1a4?313A9m<6g>2`83>>o6:k0;66a=7483>>{e0:0;6>4?:1y'7g6==?1C?k>4i00b>5<5<1>:;4}r3f;?=3;9m6s|8683>7}Y0>164>4>2`9~w=6=838pR5>4=91957d712342?6<6<0s-9i<7::8:m716<722c:i:4?::k714<722c?944?::k715<722h?9:4?:283>5}#;k:19;5G3g28m44f2900e<?1<75rb575>5<4290;w)=m0;042>N4n91b=?o50;9j57d=831d>:;50;9~w1342909wS::3:?713<5?<1v2c9~w1362909wS::1:?712<6:h1v9;6:181[22127?984>2`9~w1372909wS::0:?710<6:k1v9;;:181822?38<963;57826d=z{=?>6=4={<661?40=27?9;4>2c9~yg43?3:1h7=5fz&0f5<5<>1d>9=50;9j64c=831b>?<50;9j675=831b>?:50;9j673=831b>?850;9j671=831b>?650;9j67?=831b>?o50;9j64`=831b>??50;9a612=8331<7>t$2`3>7133A9m<6g>2`83>>o6:k0;66g>2b83>>o6:m0;66g>2d83>>o6:o0;66g>3183>>o6;80;66a=7483>>{e:=?1<7;50;2x 6d72h?;h31e?6=3`;9n7>5;h31g?6=3`;9h7>5;n041?6=3th98;4?:283>5}#;k:1985G3g28m44f2900e<9852678yv46m3:1>vP=1d9>612=9;h0q~<=2;296~X5:;16>9:513c8yv45;3:1>vP=229>612=9;i0q~<=4;296~X5:=16>9:513g8yv45=3:1>vP=249>612=9;n0q~<=6;296~X5:?16>9:513d8yv45?3:1>vP=269>612=9:;0q~<=8;296~X5:116>9:51228yv4513:1>vP=289>613=9;k0q~<=a;296~X5:h16>9;513a8yv46n3:1>vP=1g9>613=9;h0q~<=1;296~X5:816>9;513f8yv43<3:1>v3=458130=::=<1=?o4}r071?6=:r79884=749>610=9;h0qpl>e583>1<729q/?o>5599K7c65<5<o4?::m130<722wi?ol50;194?6|,:h;68;4H2d3?l75i3:17d?=b;29?j40=3:17pl<5e83>6<729q/?o>52648L6`73`;9m7>5;h31f?6=3f8<97>5;|`0f<<72<0;6=u+3c291a=O;o:0e<50z&0f5<5??1C?k>4i00b>5<5<m7>55;294~"4j90>i6F5<7s-9i<7;8;I1e4>o6:h0;66g>2c83>>i5?<0;66sm2`794?3=83:p(>l?:4`8L6`73`;9m7>5;h31f?6=3`;9o7>5;h31`?6=3f8<97>5;|`016<72<0;6=u+3c291a=O;o:0e<50z&0f5<5?11C?k>4i00b>5<5<?7>53;294~"4j909;55G3g28m44f2900e<?1<75rb363>5<4290;w)=m0;76?M5a82c:>l4?::k26g<722e9;84?::a603=8391<7>t$2`3>7113A9m<6g>2`83>>o6:k0;66a=7483>>{e:=81<7=50;2x 6d72h?;h31e?6=3`;9n7>5;n041?6=3th95k4?:283>5}#;k:19:5G3g28m44f2900e<5<5<6=44}c0b5?6=;3:1N4n91b=?o50;9j57d=831d>:;50;9~f=>=83>1<7>t$2`3>71>3A9m<6g>2`83>>o6:k0;66g>2b83>>i5?<0;66sm44094?5=83:p(>l?:448L6`73`;9m7>5;h31f?6=3f8<97>5;|`71d<72:0;6=u+3c29620<@:l;7d?=a;29?l75j3:17b<85;29?xd4=?0;6?4?:1y'7g6=9:?0D>h?;h31=?6=3f8<97>5;|`10c<72;0;6=u+3c29563<@:l;7d?=9;29?j40=3:17p}<5683>7}Y;<=01>;8:3;7?xu>>3:1=luQ979>5`4=1m16hh46d:?73a<>l278n<46d:?012<>l2789=46d:?0f2<>l279?n46d:?17g<>l2799i46d:?11f<>l2799o46d:?11d<>l2799446d:?11=<>l2799:46d:?113<>l279?l46d:?174<>l279>o46d:?0a=<>l2795i46d:?;4??c34>jm77k;<664??c3ty8;i4?:2y]72b<5;?86<50;0xZ637349><7<64:p2`9~w137290>wS::0:?715<51=164;4;519>00>=<<:019;=:00b?xud>3:1>vPl6:?ga?g53ty8584?:3y]7<3<5;3m6<d7jm7>52z\7ed=:4:4}r:3>5<4sW2;706?:3;7?8>121:0q~:k3;296~X3l:16>l7513c8yv4>l3:1?vP=9e9>601?o6:00a?xu5j10;6?uQ2c:897?a288j7p}=4983>7}:::k1m<5225f961>7>52z?1742909w0?j2;0;7>;5<90:>o5rs27:>5<5s49>h7?=a:?01d<5?<1v>;::18185283k:70=:6;041>{t9l?1<7ht=0g1>7>63488o7=86:?17g<4??16>8j53648973d2:==70<:b;142>;5=h08;;5224;9720<5;?36>99;<063?50>2799;4<779>0dg=i816>9j51d78975>28o>70<{t9l<1<7=t=0g1>7>234236<;?03;9n63;5`826g=z{8o36=4<{<3f6?4?1273:7?j8:?;{t:0o1<7712348j57?=d:p66b=838p1?=j:356?842=3;9m6s|2`294?4|5;k<6<6<9j52538975>2;>:70<;2;041>{t9l91<74cf34;n87<85:pg2<721q6?o?59d9>701=1l16?8>59d9>7g1=1l16=h:513c89f>=:>?01>lm:00a?84293;9m6s|3cc94?e|5:h:6>99;<00g??b3488n77j;<06`??b348>o77j;<06f??b348>m77j;<06=??b348>477j;<063??b348>:77j;<1af?40=2wx4<4?:3y><5;|q;3?6=:r73:768;<:;>7123ty9>n4?:3y>67d=i816>>>523a8yv5e?3:18v31=?l4=2`a>44f348>=7?=b:p02b=839p12c9>616=9;k0q~<:2;296~;5=:09;85222g957e87>52z?110<5?<16>9h513;8yv52:3:1>v3<528130=:;<<1=?74}r1av3;598714=:<<81>:;4}r077?6=66?=:=901?:8:360?844m3;9m6s|23`94?4|5;8i6?7;;<3f0?75k2wx>l850;0x97?c2h;01?o8:356?xu5i10;6?u22`;9623<5;k:6<>o59d9>667=1l16>9h52678yv4f:3:1>v3=a6826d=::h91>:;4}r16g?6=:r789:4n1:?01a<5?<1v?o;:18184f=38<963=a8826f=z{=:36=4={<00g?g6348=>7=92:&034<38>1e?:>50:p050=838p1?=m:`3897052:<;7)=81;633>h4?90:7p};1083>7}::8;o144?4:<7>52z?11f9?:29~w16a2909w0<:b;c2?841:39=n6*<708742=i;>:186s|41g94?4|5;?j6l?4=341>60f3-9<=7:?7:l035<23ty?60?=i816>;<537;8 6162=:<7c=80;48yv27k3:1>v3=598b5>;5>;08:55+36390515<5s48>;7o>;<056?51?2.8;<4;069m726=02wx8=o50;0x97312h;01?8=:246?!5093>;;6`<718:?xu3800;6?u22709732<5;>o69>6;%145?27?2d8;=4n;|q740<72;q6>;<534d8975>2=:>7)=81;633>h4?90i7p}=2083>1}:::i1>4:4=341>g7<5;9;6?<>;<073?4592.8;<4=219m726=82wx>;1>?>4n253>4=z{;8j6=4;{<06`?4><279:?4mc:?175<5:h16>99523c8 6162;8;7c=80;08yv4513:18v3=5b81=1=::?81no52222967?<5;><6?<6;%145?4582d8;=4<;|q16=<72=q6>8l5286897052kk01?=?:30;?843?38946*<708165=i;>:186s|23594?2|5;?j6?7;;<056?d>3488<7<=7:?102<5:>1/?:?52328j6172<1v?<9:1878421382863=638a<>;5;909>;522559670<,:=:6?54z?11=<51=16>;<5b69>666=:;?01?:8:306?!509389<6`<7184?xu5:=0;69u224596<2<5;<96o84=313>743348?;7<=4:&034<5:91e?:>58:p675=83>p1?;9:3;7?841:3h?70<<0;017>;5<>09>>5+36396765<1s488m7<64:?127995230897g4288i7)=81;014>h4?90j7p}=1d83>3}:::;1>4:4=341>dc<5;<:6lk4=313>77b348?;7<>e:?1e6<6:h1/?:?52328j6172k1vh?50;0x9ac=:0=01n6513`8 6162l:0b>9?:19~wa`=839p1ik5a59>``<51<16o54>2`9'727=m91e?:>51:p7g0=83>p1>l>:3;b?85e13;9h63<5`826a=:;<91=?j4$252>6d23g9<<7>4}r1a0?6=7g?=9;h01>;n:00`?852;3;9n6*<7080f0=i;>:1=6s|3c194?2|5:h:6?78;<1a=?75i2789l4>2c9>705=9;i0(>9>:2`6?k508380q~=m2;290~;4j80958523c;957e<5:?j6<"4?80?<=5a36294>{t;ol1<763a348857=if:&034<3891e?:>51:p7`b=838p1>k7:3;b?84f=3;9h6*<7080af=i;>:1<6s|3d`94?4|5:o36?77;<0b1?75k2.8;<4"4?808in5a36296>{t;l31<77?2348j97?=a:&034<4mj1e?:>53:~f154290o6i4>7zN0b7<6sA;m<6sC3g196~h3m?02=6*;e485?x"4j90:><5`4ba94?=n91h1<75`47494?=n91i1<75f42a94?=h0h0;66gj2;29?l4>l3:17dof93:17b<64;29?l?c2900n:4?:283>5}#;k:1i>5G3g28H6`528q/=>:54d38yl>a2900el?50;9l6<2=831vnl>50;094?6|,:h;6<=:;I1e4>o6:00;66a=7483>>{t1m0;6?uQ9e9>e5<6:01v?8j:18181=0o16m=4=749~w70a2909w0952868Z7?33ty9;=4?:3y]e4=:?3k:7psm47494?5=939p@>h=:0yK5c67=z,:h;6?>:;hc2>5<50z&0f5>of93:17b<64;29?xu>l3:1>vP6d:?4>=`52z?4>7?33W8286s|27d94?4|Vh;01:4n1:~f1ed290?6<4;{M1e6?7|@8l;7pBof93:17b<64;29?l?c2900e5750;9a3?6=<3:1N4n91b4k4?::kb5?6=3`82?7>5;n0:0?6=3ty2h7>52z\:`>;021l0q~66:181[>>34=1>4=4}r05a?6=:r7<6?7;;_0:0>{t:?l1<7o6:h0;66g>2c83>>i5?<0;66sm35:94?3=83:p(>l?:35a?M5a82c:>l4?::k26g<722c:>n4?::k26a<722e9;84?::a715=83?1<7>t$2`3>0e<@:l;7d?=a;29?l75j3:17d?=c;29?l75l3:17b<85;29?xd4<<0;6;4?:1y'7g6=>91C?k>4i00b>5<5<5<6=44}c173?6=;3:1N4n91b=?o50;9j57d=831d>:;50;9~f10f290?6=4?{%1a4?3>3A9m<6g>2`83>>o6:k0;66g>2b83>>i5?<0;66sm4e294?2=83:p(>l?:4:8L6`73`;9m7>5;h31f?6=3`;9o7>5;n041?6=3th=:7>52;294~"4j90:?85G3g28m44>2900c?9::188yg21k3:1>7>50z&0f5<6;<1C?k>4i00:>5<6=44}c6g6?6=:3:18c9>715=9;k01>:::00g?xu3>?0;6?uQ474891012;3?7p}>8b83>7}Y91i01>:::00f?xu3;j0;69uQ42a891ed213015l513c8930=9;30q~6n:187[>f342i6?9:;<65e?75j27?h=4>2c9~w`4=83>pRh<4=769=a=:;4<:0:>o52357957g<5:><6<o64=260>44d349?97?=b:p773=838pR><:;<173?75j2wx=><50;1xZ45534>=m7?=a:?7`5<6:j1v?ll:180[4ek2788>4>2e9>713=9;i0q~?78;296~X60116?96513f8yv2c93:1>v3;cb8b5>;3l;09;85rs7694?4|5?>1>4:4=9`957d=n7>52z?723;3l;0:>45rs262>5<4s49?47<85:?72d<6:j168i>513c8yv53:3:1>v3<49826d=:;=91>:;4}r170?6=:r78854>2c9>713=:>?0q~=;6;296~;4<10:>n5235596236=4={<47>d7<5?<1>:;4}r65=?6=:r7?:l4=749>03e=9;30qpl;a683>6<62:qG?k<51zJ2b5=zD:l86{#;k:1=k;4ief94?=n;ki1<75`34g94?=e?3:1?7>50z&0f5>{tlm0;6?uQde9>3?e13ty9:h4?:3y>3?40=2T89h5rs34e>5<5sW9io638:00:?x{e<:l1<7=51;1xH6`528qC=k>4}M1e7?7|,=o>6;5r$2`3>4`23`no6=44i2``>5<6<729q/?o>58e9K7c6?1<75rsef94?4|Vmn01:4l6:p63c=838p1:4=749]70c52z\0ff=:?3;956srb5:2>5<42808wA=i2;3xL4`73tF8j>4>{%6f1?05;n16a?6=3k=1<7=50;2x 6d721n0D>h?;h31=?6=3`i=6=44o356>5<?0R>;j;|q12c<72;qU?om4=6826<=zuk>i87>53;397~J4n;0:wE?i0:O7c5=9r.?i849;|&0f5<6n<1bhi4?::k0ff<722e89h4?::`4>5<4290;w)=m0;:g?M5a82c:>44?::k`2?6=3f8<97>5;|qg`?6=:rToh638:b48yv41m3:1>v38:356?[52m2wx>;h50;0xZ6dd34=1=?74}|`7g1<72:0:6>uC3g095~N6n91v@>h<:0y'0`3=>2w/?o>51g78mab=831b?om50;9l70c=831i;7>53;294~"4j903h6F7}:?38<96P<5d9~w70a2909wS=mc:?4>44>3twi8>950;094?6|,:h;6<=:;I1e4>o6:00;66a=7483>>{e<:h1<7<50;2x 6d7289>7E=i0:k26<<722e9;84?::a01?=8381<7>t$2`3>4523A9m<6g>2883>>i5?<0;66sm42;94?5=83:p(>l?:355?M5a82c:>l4?::k26g<722e9;84?::a0d?=8381<7>t$2`3>4523A9m<6g>2883>>i5?<0;66s|4`594?2|V=k<70:<0;6b3>;3;=0?m:524`5970c=;7>53z\722=:1013ty??i4?:5y]06b<5=o969=l;<601?24k27??>4;3b9~w1ec2909wS:ld:?776<3kj1v9l=:180[2e:27?i?4;b09>063=n>7:n1:p01c=838pR9:j;<600?23i2wx84h50;0xZ1?a34>n>7:7d:p00d=838pR9;m;<6f6?22j2wx88>50;0xZ13734>9i7::0:pg3<72:qUo;524269g3=:<;o1o;5rsd094?0|Vl8019=?:84891c520<019=::848914b20<019=<:d08yv2103:1>vP;699>062=h534g8yv2303:1>vP;499>01?=:>?0q~:8c;296~X3?j168?k546a8yv2f83:1>vP;a19>0`4=:54ba8yv2e;3:1>vP;b29>0g2=;52z\:4>;3;=02<6s|42494?4|V=9=70:<7;041>{t<:k1<7;3;<0?;h5rs5ca>5<5sW>jn63;e387eg=z{=;3;=0?:i5rs5:3>5<5sW>3<63;80801`=z{=i86=4={_6`7>;3k=089h5rs553>5<5sW><<63;348735=z{==?6=4={_640>;3;=0?;95rs5f7>5<2sW>o863;3187`6=:1b434>9i7:k3:p01d=838pR9:m;<601?23j2wx?8950;0x91c52:?370:=e;163>{t;;<1<764134>8?7==5:p510=838p19=::065?824<3;?:6s|19`94?5|5=9;6<6m;<601?7?j27??>4>8c9~w4512909w0:<5;302>;3;=0:?;5rs5c;>5<5s4>j;7jk;<6b=?40=2wx=5m50;0x9152282h70:<3;3;g>{t:k31<7:t=513>7d>34>n>7=52c:8yv50l3:1>v3;31803a=:<;o1?:j4}r6`>5<5s4>887:m;<60=?75j2wx8i4?:3y>063=7513c8yv2?:3:1>v3;35873`=:<1;1?om4}r164?6=:r7?i?4<509>07c=;<:0q~6n:186824832j70:j2;:b?824=32j70:=e;:b?824;32j7p}<9483>7}:<::1?4;4=50f>6?23ty::54?:3y>063=9?h019=;:04;?xu60l0;6?u242795=c<5=9?6<6j;|q7ed<72;q68?k54`c891g>28827p}70;293~;3;=03<63;2d8;4>;3;o0oh63;808g`>;3j=0oh63;c58g`>;3<00:>45rs06a>5<5s4>8<7?;b:?770<6i=63;b580ff=z{=936=4={<603?75127??44=749~w7?c2909w0:=e;0:`>;3;:095i5rs04g>5<5s4>897?9d:?771<60>1v?l7:181824838i463;e381f==z{8n?6=4={<601?7c<27??94>d59~w1272909w0:<4;675>;3;o08nn5rs206>5<4s4>8<7==5:?7a7<4:<168>;53378yv74:3:1>v3;318277=:<:91=><4}r6`1?6=:r7??94;c39>0f2=;ki0q~52z?770<601168>=519:8yv7ej3:1>v3;3482fg=:<:>1=i=4}r77>5<5s4>897;;;<60f?7512wx>;k50;0x96`6288<70:n7;1ag>{t:hn1<7:1<6s|2``94?4|V;ki70:j2;0bf>"4?809mn5a36295>{t:k<1<7:1>6s|2c794?4|V;h>70:j2;0a1>"4?809mn5a36297>{t:k>1<7:186s|2c194?4|V;h870:j2;0a7>"4?809mn5a36291>{t:k81<76*<7081ef=i;>:1:6s|2c394?4|V;h:70:j2;0a5>"4?809mn5a36293>{t:k:1<7:146s|2`d94?4|V;km70:j2;0bb>"4?809mn5a3629=>{t:ho1<7:1m6s|2`c94?4|V;kj70:j2;0be>"4?809mn5a3629f>{t??0;6?uQ779>066=<;1/?:?5749m726=82wx;94?:3y]31=:<::18=5+363930=i;>:1=6s|7283>6}Y?:168>>53g9>0`4=;o1/?:?5749m726=:2wx;?4?:2y]37=:<::1?i524d097a=#;>;1;85a36297>{t?90;6>uQ719>066=;k168h<53c9'727=?<1e?:>54:p2c<72:qU:k5242297d=::196s|6d83>6}Y>l168>>5389>0`4=;01/?:?5749m726=>2wx:i4?:2y]2a=:<::1?5524d097==#;>;1;85a36293>{t>j0;6>uQ6b9>066=;>168h<5369'727=?<1e?:>58:p2g<72:qU:o52422973=::156s|6`83>6}Y>h168>>5349>0`4=;<1/?:?5749m726=i2wx:44?:2y]2<=:<::1?9524d0971=#;>;1;85a3629f>{t>10;6>uQ699>066=;:168h<5329'727=?<1e?:>5c:p22<72:qU::52422977=::1h6s|7d83>6}Y?l168>>54`9>0`4=

;1;85a3629b>{t?j0;6?uQ7b9>0`4=<11/?:?5749m726=991v:l50;0xZ2d<5=o96994$252>235<5sW=j70:j2;65?!5093=>7c=80;31?xu013:1>vP89:?7a7<3=2.8;<485:l035<6;2wx;54?:3y]3==::1=95rs6594?4|V>=019k=:518 6162>?0b>9?:078yv162909wS9>;<6f6?5d3-9<=79:;o144?713twvqpNOCz3b25<28kk>849r@A@x4xFGXrwKL \ No newline at end of file +$410==)&&IEX#x8;/,,GV(q02$%#K]M.w;8*+)NGKG%z45!..KLFV(q02$%#_OB.w`8*+)Pi{zXI#x>;1;84Zhh|9:;<<640^llp5678Vcf|R>Pnnv3456692;97<>=;03b?44=L5;:6=0j;009@drfI5;:6=0>0:31>LHW]]0O07B[ilgq847=87;:7<<5OTVSQQ:1<22>752F__\XZ5Rdqvhq:693:5m6?=:P>25?69j2;96_O31083:c=6:3Xnxb{<0394;763881Sljk0123847=87k0=;4N<319<;7738<1EC^ZT;C?66:@>15?799919=7GAPTV9E946284n7?=5A^DPF944284:86<<:HLSQQ4=AGZ^X7J31;2=b>4=G\^[YY4^<083:==52X6:6=0k;38PPlkbz5;1<3?43b90>GGD\{686<07;28G87<76k186Io{a=094;753:0BB][[:CC@Pw:4284n7>4FNQWW>A:5294:=6=5IORVP?Bf|h696=0i;28JJUSS2Z]7?7?11290>JSSX\^1NLM[r=195;`<;3E^X][[:P>1>58?3:0Z0?4?>097<>2=L591<3m44;FbpdG;;3:556:5ER>0>58b3=0BB][[:E>0>586:2>1EC^ZT;FbpdG;;3:5j6:5OTVSQQ2=Y591<3j44;Pfwpjs4:0;2n5;:Uknaw:4294m794Paef3456;;3:5=6;>;7383`=02Jxnhdz|<783:==02M6=6=0l;68GeqgF4?0;2h58:HLSQQ1=G\^[YY4]erwop90=8720;7_36;2=`>1=Zly~`y29:1<26>1=Whnoxl?012?2?69922:74;4A=33:0=F48;596O313<6?D:6;7?0M1?;>49B8439=2K7=;0:;@>23;3G;97?0M169B877=97?0M1<>>49B8749?2K7>>47>49B8759<2K7>3:4A=1=0>G;<7>0M1;14:C?2;2Pmtz345669l1J@H>Pmtz345659m1J@H>Pmtz34564991J@H>Pmtz3456Xadz?7LBJ159BJA413HDO>O?9;@LG6G433HDO?;5NNE1A53=FFM9I>95NNE65?DHCGTzoUecy>?00]jiuYF[{lTbbz?0132=>GXNZH7==06;@]EWG:69730MRH\B=31:<=FWOYI0<=19:C\BVD;9=427LQISC>21;?89B[CUE48=556OPFR@?5=8>3HUM_O2>9?:8EZ@TJ5;556OPFR@?658>3HUM_O2=1?;8EZ@TJ5892o5N_GQA875=9730MRH\B=00:==FWOYI0?07;@]EWG:4611JSK]M<5<;?DYA[K6>255N_GQA838?3HUM_O28>99B[CUE41437LQISC>::1=Fkex=7LjkSt`5?Dir|FOn7LaztNG\ip~789:m7LaztNG\ip~789::==5NotvLAZkrp9:;<0:ClqqIBWds<=>?20d8EjssGLUfyu>?01126>Gh}}ENS`{w0123[lkwl2KdyyAJ_np3456582KdyyAJ_np3456XadzTMb{{OD]lv56788>0M~k{4:@BGQc`9AEFRu4:0:255MABVq86833K_MI55MUR]JJCI63J>0O<8B6:A14HCI>2I99@KA3:A;I2=D0DLj`a?;;B:NBdjkW`g{SN6BF`no51=D0Dx<7N6Br=2==>E?E{6:6=08;B:Nv979:2IN?6MCK29@HW5?1g9@v`bn|zUjbi>?0007?Ftbl`~xSl`k0122[lkwWJxnhdz|_`lg45669o1H~hjftr]bja678;8?7N|jdhvp[dhc89:9Sdc_Bpf`lrtWhdo<=>=1g9@v`bn|zUecy>?0307?Ftbl`~xSca{0121[lkwWJxnhdz|_omw45659o1H~hjftr]mkq678:8?7N|jdhvp[kis89:8Sdc_Bpf`lrtWge<=><1d9@v`bn|zUd~=>?0308Gwcca}yTc>?01]jiuYDzlnbx~Q`r12344c6M}eekwwZiu89:9Sdc_Bpf`lrtWfx;<=<>1:F7?A:76<1O0<>17:F?54<76<1O0B;;7>0H1:14:F?1;0B;?7>0H1614:F?=;0?0037?Agsi>1Omyo30?58@drf48427Io{a=094;119:FbpdG;994i7Io{a@>25?6912NjxlO310<;?AgsiH6:255KaucB878f3MkmL2<:1<;?AgsiH68255KaucB818?3MkmL2:>`9GeqgF4?0;255KaucB838?3MkmL28>99GeqgF41437Io{a@>::3=Cg|~DIh5KotvLAZgil9:;?00g8@jssGLUjbi>?0001?Air|FOTmcj?013\mhvXLfCHQnne23447c3Me~xBKPos2345473Me~xBKPos2345YneyUOcxz@E^mq45679m1Ocxz@E^mq4566:91Ocxz@E^mq4566W`g{SIaztNG\kw6788;:7H;4EGcoh4669FW95=87?0I^2<>`9FWZgil9:;<?003g?@UXkdzTi|>?0103?@UXkdzTi|>?01]jiuYB[Vif|Rk~01235a=B[Vif|Rk~012265=B[Vif|Rk~0122[lkwWLYTo`~Pep23447>3LYTc>?013;?@UXg{:;<=Qfmq]FWZiu89:;=85JT@AH55=B\HI@Snc_ds34565>2O_MNEPclr\at6789Uba}QJT@AH[fkwWl{;<=>>119FPDELW`g{SHZNCJ3;?@hfjeo_~?5Jr49Fv969?2Oy0<4?>49Fv979<2LH@F<4FE18BAE33ONHI>5IDQ08BC2-Hl1f>@Al8'BbRgbp59EBa403OLo> Ga8:DE`7+Nf830JKj=-Hl25==ANm8&Ec<6;GDg6(Oi:820JKj=-Hl0=>@Al;'Bb>?6;GDg6(Oi<820JKj=-Hl6f>@Al;'BbRgbp59EBa503OLo? Ga8:DE`6+Nf830JKj<-Hl25<=ANm9&Ec<>8:DE`6+Nf:h0JKj<-Hl\mhv33OLo8:5IFe6.Mk733OLo8 Ga_hos[C@c<$Ce=95IFe74?C@c=$Ce46HId4/Jj4?!D`>199EBa3*Ag8i7KHk5,Km[lkw<2LMh;94FGf5)Lh6<2LMh;#Fn^kntZ@Al?'Bb<:4FGf43>@Al>'Bb55IFe5.Mk7>3OLo; Ga10:8BCb0%@d956HId6/Jj77?3OLo; Ga389EBa1*Ag9:46HId6/Jj1d1MJi6"Io:8BCb?%@d:56HId9/Jj47?3OLo4 Ga289EBa>*Ag8:46HId9/Jj6?*Ag>:46HId9/Jj0d#asgmp4=@;2MEH<5F2:K36>O6:2C9>6G<2:K76>O2:2C=>6G84:KBGVc;HMBGQYj}q:;<=Qfmqa8MJGD\Vey<=>?1d9JKDESWfx;<=>Pilr\MJGD\Vey<=>?179JKDESz?1BCOK]Rd9JKGCUZVddx=>?1308MJDBZ[Uecy>?00]jiuYNGKOY^R``t123542Pilr\MJETWjg{Sh?0122b>OHKZUha}Qjq123572?:4INAP[fkwWl{;<=OHKZUha}Qjq123772OHKZUha}Qjq123172OHKZUha}Qjq123372OHKZUha}Qjq123=72Pilr\MJETWjg{Sh?0022<>OHKZUba}84INFLJ@bk;HMO4Zkrp9:;<f:KLH5Yj}q:;<=?=1d9JKI6Xe|r;<=>>3d9JKI6Xe|r;<=>>4e9JKI6Xe|r;<=>=e:KLH5Yj}q:;<=<>e:KLH5Yj}q:;<==>e:KLH5Yj}q:;<=:>e:KLH5Yj}q:;<=;>e:KLH5Yj}q:;<=8>d:KLH5Yj}q:;<=9j;HMO4Zkrp9:;<:?k;HMO4Zkrp9:;<5k4INN3[hs89:;4?10g8MJJ6Wge<=>>_hos[LIK9Vddx=>?1078MJJC[?1BC]YLS89JKUQUmhnr=55FOQUQadb~W`g{SDA_WSgb`|703@EYH_K^f:KLVATBYVkeh=>?0368MJTCZL[Tmcj?012\mhvXAFXO^H_Paof34566n2CD^I\JQ^ov|56788:0EB\KRDS\ip~789::=<5FOSFQATYj}q:;<=?>109JKWBUMXUfyu>?010254=NG[NYI\Qbuy234556991BC_J]EP]nq}6789>:=6G@REPFUZkrp9:;<9?>0:KLVATBYVg~t=>?0432?LIUL[OZS`{w012314763@EYH_K^_lw{4567>8;;7DA]DSGR[hs89:;;<=4INPGV@WXe|r;<=>Pilr;?LIUL[OZ~;5FOSGRv44?219JKWQ6Whdo<=>?_hos[LIU_8Ujbi>?013`?LIU_8Ud~=>?00g8MJTP9Vey<=>?_hos[LIU_8Ud~=>?0058MJTbimsm7DA]e`fz[dhc89:;>95FOSgb`|Yffm:;<=Qfmq]JKWcflpUjbi>?013e?LIUmhnrSl`k012261=NG[ojhtQnne2344YneyUBC_kndx]bja6788;87DA\4:KLW5e?00g8MJU7Wds<=>?10g8MJU7Wds<=>?20f8MJU7Wds<=>?3028MJU7Wds<=>?_hosf>OH[9Ud~=>?00a8MJU7Wfx;<=>Pilr\MJU7Wfx;<=>>e:KLWZejxVoz<=>?e:KLWZejxVoz<=>>e:KLWZejxVoz<=>=e:KLWZejxVoz<=>;e:KLWZejxVoz<=>:e:KLWZejxVoz<=>94:KLQ5eOH]9Ufyu>?013e?LIR8Vg~t=>?0022a>OH]9Ufyu>?0132b>OH]9Ufyu>?01325c=NG\:Taxv?012264cOH]9Ufyu>?0152`>OH]9Ufyu>?01:f?LIR8Vg~t=>?093f?LIR8Vg~t=>?08324>OH]9Ufyu>?01]jiu27DAZDR58MJQUZL[m7DAXRSGR[hs89:;==5FOVPQATYj}q:;<=?>1:KLSWTBYVg~t=>?00325>OH_[XN]Rczx123477692CD[_\JQ^ov|5678:;:<6G@WSPFUZkrp9:;<9?>;HMTVWCVWds<=>?4033?LIPZ[OZS`{w01231474INUQV@WXe|r;<=>8129JKRTUMXUfyu>?01]jiu>OT\Jo0E^ZL_hos[LUSK8n0E^Qlmq]fu5678m1B_Rmbp^gr4566l2CXSnc_ds3454c3@YTo`~Pep234653:Km66=Nf:90Ec:<;Hl6a>MBMYUCEH\NRBJZ3>JHO@IJ@i5CPL]B@AYT]KU:h6B_M^CG@ZURJV8o7A^B_@FG[VSEW:h0@]CPBTQWVL7c3EZFSNAZNUGQ[4bJWEVIDYCZJR^6;?IVJWMLMS45CPL]GBCY6i2F[ARJIF^33e>JWEVNMJR?>a:NSIZBANV;9m6B_M^FEBZ74i2F[ARJIF^37e>JWEVNMJR?:a:NSIZBANV;=m6B_M^FEBZ70i2F[ARJIF^3;e>JWEVNMJR?69:NSIZBANV8j7A^B_EDE[76f3EZFSIHI_33b?IVJWMLMS?f3EZFSIHI_3;:?IVJWMLMS>o4LQO\@C@X;9k0@]CPDGD\74go4LQO\@C@X;=k0@]CPDGD\70gJWEVRD^?Q>a:NSIZ^HZ:U::6B[ilgq=>JSadoy0=0n;MVji`t;994h7AZfmdp?54<76h1GXdcjr=32:<=K\`gn~1?19:NWmhcu4;427AZfmdp?7;?89OPlkbz5?556B[ilgq838>3E^bah|37?;8HQojm{63245CThofv9?902F_e`k}TB30?IRnelx_ORmbp^gr45679=1GXdcjrUA\ghvXmx:;<=?>4:NWmhcu\JUha}Qjq12347733E^bah|[C^antZcv89:;?<94LUknawRDWjg{Sh?012\mhvb3E^bah|Pnnv34575:2F_e`k}_omw4566W`g{SAZfmdp\jjr789;:h6B[ilgq[jt789:9<6B[ilgq[jt789:Te`~PLUknawYhz9:;<<;4Lncg5a=Kghn:Sca{012265=Kghn:Sca{0122[lkwWEejh4n7@v`r^Pfwpjs414n7@v`r^Pfwpjs404o7@v`r^]{kw678980B=<4N008J74IU;K887B\;5:MQ0G543FX>?6A]629LV25=?<1130[I2:1<6?T:697<0]1?50?68U979>2[7>7>14:S?6;0W;<7>0]1;16:S?2?69<2[7:3:4Q=5=0>W;07>0]171a:S\5Ziu89:;=l5^_0]lv5678Vcf|R_P1^mq4567911Zc^\jae{27>Wh[[ojhtQlmq]fu5678;i0]b]]e`fz[fkwWl{;<=>Pilr\UjUUmhnrSnc_ds34566991Zc^\jae{\jjr789;9:6_`SSgb`|Yig}:;<Wh[[ojhtQ`r123472TF4;4>7_O33?78VD:36<1YM1;15:PB83823[K7;3;4R@>;:0=UI535?6\NM49QEHET?2XJAN]?X068VDKD[9RTe`~PR@O@W5^6991YM@M\_hos[WGJKZ;37_OBRdcg}46>1008VDKUmhnrS`{w012364763[KF^hoky^ov|5678:;?7_OBRdcg}Zkrp9:;TF[LDTaxv?0122b>TF[LDTaxv?01225c=UIZOES`{w012364c1:PB[46Xe|r;<=>Pilrg?WGX98Ufyu>?01g8VDY69Vg~t=>?00d8VDY69Vg~t=>?003e?WGX98Ufyu>?0102a>TFW8;Taxv?012054=UIV;:S`{w0123[lkwk2XJSRczx1234a=UIV8Taxv?0122a>TFW;Ufyu>?0132a>TFW;Ufyu>?0102`>TFW;Ufyu>?01124>TFW;Ufyu>?01]jiueTFW:Ufyu>?013f?WGX;Vg~t=>?003f?WGX;Vg~t=>?033g?WGX;Vg~t=>?0233?WGX;Vg~t=>?0^kntf=UIV>Taxv?012g?WGX?00g8VDY3Wds<=>?10g8VDY3Wds<=>?20f8VDY3Wds<=>?3028VDY3Wds<=>?_hosg>TFW?01f8VDY2Wds<=>?1d9QEZ3Xe|r;<=>>1d9QEZ3Xe|r;<=>=1e9QEZ3Xe|r;<=><119QEZ3Xe|r;<=>Pilr`?WGX>Vg~t=>?0e9QEZ0Xe|r;<=>>e:PB[3Yj}q:;<=?>e:PB[3Yj}q:;<=<>d:PB[3Yj}q:;<==>0:PB[3Yj}q:;<=Qfmqa8VDY0Wds<=>?d:PB[2Yj}q:;<=?j;SC\3Zkrp9:;<??;SC\3Zkrp9:;Xe|r;<=>k;SC\4R@];[hs89:;Sdcc:PB[4:PLIFU3PVcf|R\@MBQ7\4773[EFO^Qfmq]QKHET9;1Y_55]S1vlV``682XX?01324>TT8}eYikQnne2344413[Y;xb\jf^cm`5679Vcf|R\\0umQacYffm:;<0:PP4qiUmoUjbi>?0305?WU7|fXnjRoad1236ZojxVXX<9;SQ3pjTbnVkeh=>?3^kntZTT8}eYikQnne234676>2XXd:PP[fkwWl{;<=>k;SQ\ghvXmx:;<i5]S^antZcv89:8h6\\_bos[`w789>o7_]Pclr\at678m1Y_Rmbp^gr4560l2XXSnc_og3456c3[YTo`~Pnd2344bTSD<1Yiljvd:PfeaXign;<=>=0:PfeaXign;<=>Pilr\V`gcqVkeh=>?00f8V`gcqVkeh=>?1328V`gcqVkeh=>?1^kntZTbimsTmcj?0132`>TbimsTmcj?01014>TbimsTmcj?010\mhvXZlkouRoad12364773[ojhtQfmq]Qadb~9?1YikMck79QacTbyl1Yik\jq^cm`5678;80^hh]ep]bja6789Uba}Q]egPfuZgil9:;<?003f?WcaZl{Tmcj?01016>Tbn[ozSl`k0121[lkwW[om^hPaof34546m2Xnj_k~_omw4565:;1Yik\jq^llp567:Vcf|R\jfSgr[kis89:9=h5]egPfuZhh|9:;??<4RddQatYig}:;<>Qfmq]QacTbyVddx=>?30f8V``UmxUd~=>?0328V``UmxUd~=>?0^kntZTbn[ozSb|?01222>Tbn\xli6\jfTpd[dhc89:;>?5]egWqcZgil9:;?1d9QacSuoVg~t=>?0308V``RznUfyu>?01]jiuYUmo_ykRczx12344c=5]egWqcZiu89::Sdc_SgeQwaXg{:;<3[oxyaz30?c8V`urd}6:<3m4Rdqvhq:693:5m6\jstnw847912Xnxb{<0<:?Wct}e~7>3l4Rdqvhq:429427_k|umv?7;?89Qavsk|5?5n6\jstnw83<7601Yi~{ct=4==>Tb{|f0:06;Sgpqir;0730^h}zlu>::70USadoy56][ilgq858e3Z^bah|31;2=a>USadoySl`k012367=T\`gn~Road1234ZojxVY_e`k}_`lg45679<1X[1?15:QT87803Z]7?7?15:QT86833Zig~95\crv7?Vci|j1XiczPaof34566m2YnbyQnne2345YneyUXiczPaof34566j2YnbyQ`r12344e<[ldSb|?012\mhvX[ldSb|?0122e>Uil[KFO^Y]139PjaTFEJY\^Road12347g<[gnYM@M\WS]bja6789Uba}Q\nePBIFUPZVkeh=>?0031?VhcZHGH_Z\Paof34575i2Yeh_OBCRUQ[dhc89::Sdc_RlgVDKD[^XTmcj?013250=TfmXJAN]XR^antZcv89:;?=5\nePBIFUPZVif|Rk~0123[lkwWZdo^LCLSVP\ghvXmx:;<=?>5:Qm`WGJKZ]YSnc_ds3457482Yeh_OBCRUQ[fkwWl{;<=?Pilr\WkbUIDIX[_Qlmq]fu56798;>7^`kR@O@WRTXkdzTi|>?0313?VhcZHGH_Z\Pclr\at678;Uba}Q\nePBIFUPZVif|Rk~0121543<[gnYM@M\WS]`iuYby9:;?>>4SofQEHET_[Uha}Qjq1237ZojxVYeh_OBCRUQ[fkwWl{;<==>149PjaTFEJY\^Rmbp^gr4563;91Xbi\NMBQTVZejxVoz<=>;_hos[VhcZHGH_Z\Pclr\at678=;:96]adSCNGVQUWjg{Sh?01704>Uil[KFO^Y]_bos[`w789?Te`~PSofQEHET_[Uha}Qjq12314723Zdo^LCLSVP\ghvXmx:;<;=?;RlgVDKD[^XTo`~Pep2343YneyUXbi\NMBQTVZejxVoz<=>91078WkbUIDIX[_Qlmq]fu567?::0_cj]ALAPSWYdeyUn}=>?7^kntZUil[KFO^Y]_bos[`w789=:=l5\nePBIFUPZVcf|R]adSCNGVQU98;0_cj]ALAPSWYhz9:;?0^kntZUil[KFO^Y]_np34566981Xbi\NMBQTVZiu89::>55\nePBIFUPZVey<=>>_hos[VhcZHGH_Z\Pos23447692Yeh_OBCRUQ[jt7898946]adSCNGVQUWfx;<=<[gnX`byJN^cm`5678Vcf|R]adRnls@HXign;<=>>109PjaUkg~OESca{01226==TfmYgczKA_omw4566W`g{S^`kSmmtAKYig}:;<7Ygbes:8Plkbz5:546Zfmdp?5;><\`gn~1<1a:Vji`t;;3:546Zfmdp?7;1<\`gn~YM>2:Vji`tSKVif|Rk~01236d=SadoyXNQlmq]fu5678Vcf|RZfmdpWGZejxVoz<=>?1e9WmhcuWhdo<=>?219WmhcuWhdo<=>?_hos[Qojm{Ujbi>?0134?QtnLh~j:6[Dnum7>PDK01]EHYPTXRF0>PWI{i0Z]O}_omw45669l1]\L|Pnnv3457XadzTZ]O}_omw45669m1]ei\NMBQTV32l911]ei\NMBQTV32lWjg{Sh?0122=>Pnl[KFO^Y]65i\ghvXmx:;<=?>9:Tj`WGJKZ]Y:9ePclr\at67898:56XfdSCNGVQU>=aTo`~Pep234556k2\bh_OBCRUQ21mXkdzTi|>?01]jiu473_co^LCLSVP50nYneyU]ei\NMBQTV32l9;1\_l5XS^cm`56788k0[^Qnne2345YneyU\_Road12344b<_ZUha}Qjq123476<_ZUha}Qjq1234ZojxV]XSnc_ds34566>2]j~}]J1e9[MIOIP$RON->!1!QWQG&7&8*J_NGF6:ZPPIOE?2RXXRIAD0g8\VRXADZGI@KAT@VJKKYDGGY_^LGATR33?]USW[^GS]\@PDPW]2=_[]U]ON84Xe`\Ma773QnfS@oeosTfvvohf8:0TicPMhllvScu{`ee96V`<1<5?]i;87;=7Ua30?05?]i;87927Ua30?]jiu5?<1<`?Zgcl9:;<1??>d9\eab789:7=<4?>b9\eab789:7=<0m;^cg`56785;5n6Qnde2345:56m1Tmij?012?7?69j2Ujhi>?01>0:g=Ximn;<=>34?`8[dbc89:;080m;^cg`56785<5n6Qnde2345:06k1Tmij?012?<;d?<8?<1?<0?<3?<2?<5?<4<24>Yflmy~n=>?0=494;c?179\ekb789:Te`~P_`lg4567911Tmcj?01322>Yffm:;<?2^kntZYffm:;?30:8[dhc89:?=;5Paof3452XadzTSl`k01275==Xign;<=;>6:]bja678?0735?Zgil9:;:Rgbp^]bja678?;37Road1233408_hos[Zgil9:;;<64_`lg456?9?1Tmcj?01:\mhvXWhdo<=>7199\ekb7893::6Qnne234Yj}q:;<=94_np34566<2Ud~=>?0^kntZYhz9:;<<94_np34576<2Ud~=>?1^kntZYhz9:;=<94_np34546<2Ud~=>?2^kntZYhz9:;><94_np34556<2Ud~=>?3^kntZYhz9:;?<94_np34526<2Ud~=>?4^kntZYhz9:;8<94_np34536<2Ud~=>?5^kntZYhz9:;9<64_ymq4567=2kohh|9;`fgwpdb3hdo<=>?_np34565:2keh=>?0^mq4567W`g{Sl`k0123[jt789::?6mat69`kphsm{80o~=4dgd:?aoumj~bcc=4fr`7?liee=1bco}6;oCGkprKM9;37cOKotvOA5YneyUeMIaztMG3546?189mEAir|EO:=55aAEmvpIC6W`g{ScOKotvOA4743gKX;6`NS^DPF2=iIZUBCO?=;oCP[LIEWgKXSDAMotvb?kGTW@EIcxz9;oCPkprb3gKXcxzPaof34565:2dJ_b{{_`lg4567W`g{ScO\otv\ekb789::i6`NSnww[kis89::>?5aARmvpZhh|9:;=Rgbp^lBWjssWge<=>>1e9mEVir|Vey<=>?219mEVir|Vey<=>?_hos[kGTg|~Tc>?0134?kGh}}EN=95aAnwwK@YneyUeMb{{OD36?kDBZ[30bOK]R^DPF47hEM[XTEBL8;o@D[LIE?2dI^RG@Bg9mFWYNGKUjbi>?0107?kDUW@EISl`k0123[lkwWgHYSDAM_`lg45679o1eN_QFOC]nq}6789;;7cL]_HMA[hs89:;=>1038jGTXAFHTaxv?0121546Pilr\jGTXAFHTc>?0137?kEF[j1eOL]Pmtz3456c3gIJ_Rczx12344chDIZUfyu>?0112a>hDIZUfyu>?0162`>hDIZUfyu>?01724>hDIZUfyu>?01]jiu06`KT@AH[kis89::Sdc_oFWEFMXff~;<=?>6:lGmkJBl2dOecBJ_np3456582dOecBJ_np3456XadzTbIgaLD]lv56788<0bIgaODg8jAoiGLUjbi>?0101?kBnfFOTmcj?012\mhvXfMceCHQnne234576:2dOecAJ_hos[kBnfFO:;6`KotvLAc=iLfCHQnne2345433gNdyyAJ_`lg4567W`g{ScJ`uuMF[dhc89:;=k5aDnwwK@Yffm:;<<<;;oFlqqIBWhdo<=>>_hos[kBh}}ENSl`k0122542?5aDnwwK@Yhz9:;?149mMUJ5>2dB\A<}4:lO@V>hH~lxgmt??;oMuawjfqVkeh=>?0348jJpbzekrSl`k0123[lkwWgE}ibny^cm`56788;;7cAyesnb}Zgil9:;=?84nNtfvig~Whdo<=>>_hos[kIqm{fjuRoad12354773gE}ibny^llp5679;<0bBxjrmcz[kis89::Sdc_oMuawjfqVddx=>?1058jWGJD^O<7c\NMTUF0>hUIZi0b_O\_`lg45679l1e^L]Paof3456XadzTb_O\_`lg45679l1e^L]Pilr\jWGT9k1e^L]Pos23457d3gXJ_Ra}0123[lkwWgXJ_Ra}012351=iZLYh7c\JS^llp56798o0b_K\_omw4566W`g{Sc\JS^llp56798=0b_K\otv5?kTHEJY:>6`]OLAP[lkwWgXDAN]>6:lQKHQBm2dYC@YJ_`lg4567:;1e^BCXE^cm`5678Vcf|R`]OLUF[dhc89:;=<<4nSMNS@YneyUe^BCXE0:8jWcaKeaY==5aRdd@hnTXff~;<=?=6:lQacEkc[Uecy>?00]jiuYiZllH`f\Pnnv34576<2d^H^64nTFP[CUE02d^H^QFOC37?kSC[VCDNR`ZDR]JKGir|k1eYI]PIN@lqqehRLZUjbi>?00]jiuYi]MYTmcj?0132g>hRLZUecy>?003f?kSC[Vddx=>?1^kntZhRLZUecy>?003a?kSC[Vey<=>?1b9mQAUXg{:;<=Qfmq]mQAUXg{:;<=?;;oWTAf=i]^OTmcj?0122a>hR_LUjbi>?01]jiuYi]^OTmcj?0122a>hR_LUba}QaUVG20>hQEH20b[CN_HMA51=i^DKTEBLPnWOB[LIEg|~i7cXBA^KLFjssk2d]ALQnne23457b3g\FMRoad1234ZojxVd]ALQnne23457a3g\FMRmbp^gr4567:=1eZ@OPclr\at6789Uba}QaVLC\ghvXmx:;<=?l;oTNEZhh|9:;=hQXHUM_O64nWRB[LIEk2d]\LQnne23457b3g\[MRoad1234ZojxVd]\LQnne23457d3g\[MR``t12354ciu89:;Sl`k012367=hz9:;?_`lg45679l1d~=>?0^cm`5679;80c>?01]bja6788Uba}Q`r1234Zgil9:;=Pilr\kw6788Ujbi>?013f?jt789;Tmcj?01316>iu89::Sl`k0122[lkwWfx;<=?Paof34576m2ey<=>>_`lg4565:;1d~=>?1^cm`567:Vcf|Ra}0122[dhc89:9=h5`r1236Zgil9:;?00g8kw678;Ujbi>?0001?jt7898Tmcj?013\mhvXg{:;=_`lg4565W`g{Sb|?010\ekb7898:i6a}0120[dhc89:;>?5`r1237Zgil9:;?1d9lv567;Vkeh=>?1308kw678:Ujbi>?00]jiuYhz9:;?Road12354cQnne2347453fx;<==Paof3454XadzTc>?02]bja678;;n7b|?016\ekb789:9>6a}0127[dhc89:;Sdc_np3452Xign;<=>>e:mq4563Whdo<=>>239lv567?1^kntZiu89:?Sl`k01225`=hz9:;8Road123674?4^cm`567:8o0c>?04]bja6789897b|?017\ekb789:Te`~Pos2340Yffm:;<=?j;np3453Xign;<=?=2:mq4562Whdo<=>>_hos[jt789?Tmcj?0132a>iu89:>Sl`k012167=hz9:;9Road1236ZojxVey<=>:_`lg45659h1{caQndeqvf2=wgeUh`f74pnn\gimbf11{caQ|cmp;?uikWzynxl5om]vtaipfk1{czPaefpqg>uh}{inSkgceocnaa=tg|xhiRbfndla6>sw>2{hbya9:tbhpcXk`d27{ocud]ltg3<`nd55wc8734}jb3qi29>8wlqa70(343qeyqMN1c:4?EF<9h1J7<51zQab?27i38i57?<4c00=?57m:kpb9><:09m052=>2.?o75126a66?=;9o8h6j4<6sZhm69>n:3`:>453j;926>>j3`9uB60a290:6<4?{R`e>16f2;h26<=;b31:>66b;h1/?hl522;8 7df2<9:7o=ib;290g<6:3>449;|&0`f<4nk1Qmh4<{0195c<5m3wQ?l<53z35>4c=:10v'9m>:188mg7=831d85?50;9j001=831d8ho50;9j0f`=831d8n950;9lf7<722e?no4?::k72=<722e?n44?::m730<722e?:l4?::k255<722c:>n4?::k7<7<722e?954?::m727<722c?5;4?::m7fd<722c?io4?::m7`5<722e?mo4?::ka7?6=3`h;6=44o573>5<5<5<5<5<5<6=44o3f`>5<#:ki1>il4n3`a>5=5<#:ki1>il4n3`a>7=54o3g0>5<#:ki1>il4n3`a>1=5<#:ki1>il4n3`a>3=5<#:ki1>il4n3`a>==5<#:ki1>il4n3`a>d=o?n3:1(?ll:828j7de2810e5k50;&1ff<>82d9no4=;:k;`?6=,;hh64>4n3`a>6=h5jk0?76g7a;29 7dd20:0b?lm:498m=?=83.9nn460:l1fg<132c347>5$3``><6o?>3:1(?ll:828j7de2010e5;50;&1ff<>82d9no4n;:k;0?6=,;hh64>4n3`a>g=h5jk0h76g72;29 7dd20:0b?lm:e98m5$3``><6=n1?0;6)om5919m6gd=9:10e4=50;&1ff<>82d9no4>4:9j=7<72-8io77?;o0af?7232c3o7>5$3``><6ol;o0af?6<3`9jn7>5$3``>6gd3g8in7?4;h1be?6=,;hh6>ol;o0af?4<3k9mi7>51;294~"4lj0:;?5G3gf8k4162900qo:?1;295?6=8r.8hn4;7);=9;48y!5ck3i;7Woj:0y2e?{];h81=v?6:|kaf?6=3f9>97>5;n3g6?6=3f8n47>5;n1a1?6=3`k=6=44i5a2>5<6=44i5d3>5<5<5<h5jk0;76g:b;29 7dd247>5$3``>0e54i4494?"5jj0>o6`=bc87?>o2=3:1(?ll:4a8j7de2<10e8:50;&1ff<2k2d9no49;:k67?6=,;hh68m4n3`a>2=h5jk0376g:1;29 7dd25$3``>0eo6`=bc8`?>o3l3:1(?ll:4a8j7de2m10e;;50;&1ff<2k2d9no4j;:k50?6=,;hh68m4n3`a>c=12e3-?:h7jk;%72b?4em2.>=o4l2:l650<63-?:o7m<;o722?7<,<8:6>oj;o723?65}#;mi1=?h4H2dg?I5an3;p(<9j:407?xoei3:17dll:188md`=831bhi4?::m1f`<722wi?kk50;394?6|,:nh6<9=;I1e`>i6?80;66s|c383>7}Yk;16;7oi;|q`7?6=:rTh?638:cc8yv4>;3:1>v37}:?38ii6P=bd9~w7?22909wSjk;<59`a=zuk>847>53;294~"4lj095o5G3gf8m4132900e<9::188k7?>2900q~m=:181[e534=1o?5rsb194?4|Vj9019=7:056?xu5jl0;6?uQ2cg892<5jl1v>oj:180[5fm27<6ij4=51;>4133ty??:4?:3y>3?e434>847<69:~f4d6290n6>4>0z&0`f<6j81d=4;50;9j5o6?=0;66g>7483>>o6??0;66g>7683>>o6?10;66g>7883>>o6?h0;66g>7c83>>i5100;66sm18594?0=83:p(>jl:3c1?M5al2c:;94?::k230<722c:;;4?::k232<722c:;54?::m1=<<722wi=4650;194?6|,:nh6?7n;I1e`>o6?=0;66g>7483>>i5100;66s|18794?4|V83>70?68;0:=>{t90h1<7>3;<86s|18f94?4|V83o70?66;341>{t90l1<7>3;<:6s|1`394?4|V8k:70?66;343>{t9h91<7>3;<56s|1`794?4|V8k>70?66;34<>{t9h=1<7>3;{t9hh1<7>3;{t9hl1<7?3;<;6s|18;94?4|V83270?67;342>{t;ll1<7?3;<46s|18494?4|583=6?76;<3:{zj:l?6=46:28a!5ck39m86a>o2;3:17d;;:188m03=831b9;4?::k60<729q/?im5779K7cb5<5<N4nm1b=::50;9j523=831b=:850;9j521=831d>4750;9~f6`429086=4?{%1gg?173A9mh6g>7583>>o6?<0;66a=9883>>{t;o:1<77}Y=:16?k?51668yv332909wS;;;<1e5?70=2wx984?:3y]10=:;o;1=:84}r75>5<5sW?=70=i1;343>{t=10;6?uQ599>7c4=9><0q~;n:181[3f349m>7?87:p1g<72;qU9o523g09522{zj;h<6=4=:183!5ck3;4750;9~f631290>6=4?{%1gg?1>3A9mh6g>7583>>o6?<0;66g>7783>>o6?>0;66a=9883>>{e;<=1<7=50;2x 6bd2;3i7E=id:k231<722c:;84?::m1=<<722wi>h750;194?6|,:nh6:<4H2dg?l70<3:17d?85;29?j4>13:17pl=e`83>6<729q/?im5719K7cb5<N4nm1b=::50;9j523=831d>4750;9~f6ef29086=4?{%1gg?173A9mh6g>7583>>o6?<0;66a=9883>>{e9>n1<7750;2x 6bd2>o0D>hk;h340?6=3`;<97>5;h342?6=3`;<;7>5;h345;h34e?6=3`;5;n0:=?6=3th?n94?:283>5}#;mi1;=5G3gf8m4132900e<9::188k7?>2900qo=mb;291?6=8r.8hn486:J0ba=n9>>1<75f16794?=n9><1<75f16594?=h:031<75rb2`g>5<2290;w)=kc;54?M5al2c:;94?::k230<722c:;;4?::k232<722e9544?::a7g`=83?1<7>t$2f`>21<@:lo7d?84;29?l70=3:17d?86;29?l70?3:17b<69;29?xd4k80;684?:1y'7ae=??1C?kj4i057>5<6=44i055>5<5<55;294~"4lj0<46Fm::186>5<7s-9oo796;I1e`>o6?=0;66g>7483>>o6??0;66g>7683>>i5100;66sm3b594?3=83:p(>jl:6;8L6`c3`;<87>5;h341?6=3`;<:7>5;h343?6=3f8257>5;|`0g<<72<0;6=u+3ea93==O;on0e<9;:188m4122900e<99:188m4102900c?76:188yg7>k3:197>50z&0`f<0>2B8ji5f16694?=n9>?1<75f16494?=n9>=1<75`28;94?=zj83n6=4::183!5ck3=<7E=id:k231<722c:;84?::k233<722c:;:4?::m1=<<722wi=l>50;794?6|,:nh6:94H2dg?l70<3:17d?85;29?l70>3:17d?87;29?j4>13:17pl>a383>0<729q/?im5799K7cb5<5<N4nm1b=::50;9j523=831b=:850;9j521=831d>4750;9~f4g1290>6=4?{%1gg?1?3A9mh6g>7583>>o6?<0;66g>7783>>o6?>0;66a=9883>>{e9h21<7;50;2x 6bd2>20D>hk;h340?6=3`;<97>5;h342?6=3`;<;7>5;n0:=?6=3th:ml4?:483>5}#;mi1;45G3gf8m4132900e<9::188m4112900e<98:188k7?>2900qo?nc;291?6=8r.8hn487:J0ba=n9>>1<75f16794?=n9><1<75f16594?=h:031<75rb0cf>5<2290;w)=kc;5;?M5al2c:;94?::k230<722c:;;4?::k232<722e9544?::a5g6=83?1<7>t$2f`>2><@:lo7d?84;29?l70=3:17d?86;29?l70?3:17b<69;29?xd4kj0;694?:1y'7ae=:0n0D>hk;h340?6=3`;<97>5;h342?6=3f8257>5;|`0f2<72:0;6=u+3ea935=O;on0e<9;:188m4122900c?76:188yg5dm3:187>50z&0`f<51m1C?kj4i057>5<6=44i055>5<N4nm1b=::50;9j523=831d>4750;9~f6b729086=4?{%1gg?4>i2B8ji5f16694?=n9>?1<75`28;94?=zj83j6=4<:183!5ck3=:7E=id:k231<722c:;84?::m1=<<722wi8o850;194?6|,:nh6:<4H2dg?l70<3:17d?85;29?j4>13:17pl;b983>7<729q/?im516d8L6`c3`;5;n0:=?6=3tyin7>52z\af>;3j;0h>6s|34794?3|V:?>70=:6;0:=>;4=>0:;8524c69523<583j6<9:;|q1a=<72:qU>h64=3g:>7?>348nm7?84:p7g3=838pR>l:;<1`e?4>12wxm;4?:2y]e3=:1=::4=5`5>4133ty?o<4?:3y]0f7<5=h=6<9:;|q2=0<72;qU=4;4=0`2>4?23ty?j=4?:3y]0c6<5:l>6<9;;|q1f3<72;qU>o84=3`4>7?>3ty9i:4?:3y]6`1<5;oj6?76;|q011<72;qU?8:4=274>7?>3ty:;n4?:3y]52e<58=o6?76;|q2=g<72;q6=o?518`894?d2;327p}>9e83>7}:9k;1=4j4=0;f>7?>3ty:5k4?:3y>5g7=90l01a483>7}:9k;1=l;4=0c5>7?>3ty:mk4?:3y>5g7=9hl010;6?u21c395d1<58k36?76;|q2e<<72;q6=o?51`;894gf2;327p}>ac83>7}:9k;1=ll4=0c`>7?>3ty:mi4?:3y>5g7=9hn017}:;kl1>474=2a`>4123ty8o=4?:3y>7f7=:0301>ml:055?xu4k;0;6?u23b1967}:;j=1>474=2af>4123ty8o54?:3y>7f?=:0301>mj:055?xu4j?0;6?u23c5967p};b683>7}:7?>3ty8j=4?:3y>7c2=;o:01>h::056?xu6100;6?u21c395;5mh0:;85218c952254z\2`7=:9k;1?hh4=2d6>7?>349hm7?84:p0g5=838p19l=:b1891d32;327p};b483>7}:474=5`;>4143ty8oo4?:3y>7fe=:0301>l8:056?xu4km0;6?u23bg96700=9><01?k6:056?870l3;9b8232=:90o1=:94=0c3>41034;j>7?87:?2e1<6?>16=l85165894g?28=<70?na;343>;6ij0:;9521`g9523<58h;6<9:;%1b0?3d3g9j?7>4}r7a>5<68rT>n63;4=?0:;:522d;9522<58=o6<9n;<3:g?70>27:5h4>779>5d6=9><01a78230=:9h21=:;4=0cb>41134;jo7?87:?2e`<6?>16=o>51658 6g32o<:09~w0g=83lpR8o4=2d7>0g<5:?=6<9:;<34`?70127:5n4>749>5?01a58233=:9h<1=:84=0c;>41334;jm7?85:?2ef<6??16=lk5164894d728=?7)=n4;7`?k5f;380q~;7:18e[3?349m87;7;<162?70<27:;i4>799>5>01<7j:057?87f83;<963>a38231=:9h>1=:;4=0c5>41334;j47?86:?2ed<6?=16=lm5167894gb28=?70?m0;342>"4i=0>o6`3:1?vP:6:?0b1<2>27:;i4>769'7d2==j1e?l=54:p10<72:qU98523g6910=:9>n1=:84$2c7>0e01>h;:468941c28=>7)=n4;7`?k5f;3<0q~;<:180[34349m87;<;<34`?70<2.8m94:c:l0e6<03ty>>7>53z\66>;4jk0:;:523b19521<,:k?68m4n2c0>==z{<;1<7=t^43896de28==70=l3;341>"4i=0>o6`o<:`9~w1`=839pR9h4=2`a>413349h?7?84:&0e1<2k2d8m>4m;|q7a?6=?rT?i63410349h97?86:?0g2<6??16?n751678 6g32o<:b9~w1b=83=pR9j4=2`g>411349ij7?86:?0g4<6??16?n;5167896e028=>70=l9;340>"4i=0>o6`70=l5;340>;4k>0:;:523b;9521<,:k?68m4n2c0>`=z{?>1<79t^76896dc28=>70=mf;340>;4k80:;9523b79521<5:i<6<9;;<1`=?70>2.8m94:c:l0e66b=>0qG?kh51zJ17==zD=:;6?ua53c90a=#=;31:6s+3ea96`0u>6;0;>4e=u`hi6=44i5:3>5<5<5<5<21<75f48:94?=n1<75`2d`94?=h=h:mk1<7*=bb81`g=i:kh1=65`2d794?"5jj09ho5a2c`96>=h:l>1<7*=bb81`g=i:kh1?65`2d194?"5jj09ho5a2c`90>=h:l81<7*=bb81`g=i:kh1965`2d394?"5jj09ho5a2c`92>=h:l:1<7*=bb81`g=i:kh1;65`2ed94?"5jj09ho5a2c`9<>=h:mo1<7*=bb81`g=i:kh1565`2ef94?"5jj09ho5a2c`9e>=h:m31<7*=bb81`g=i:kh1n65fab83>!4ek3ki7com5ac9m6gd=921bm44?:%0ag?ge3g8in7<4;h7b>5<#:ki1945a2c`94>=n=10;6)ol52:9j10<72-8io7;6;o0af?5<3`??6=4+2ca91<=i:kh1865f5283>!4ek3?27com5589m6gd=>21b9<4?:%0ag?3>3g8in794;h73>5<#:ki1945a2c`9<>=nol5a:9j0a<72-8io7;6;o0af?d<3`<>6=4+2ca91<=i:kh1o65f6583>!4ek3?27com5589m6gd=m21b:?4?:%0ag?3>3g8in7h4;h42>5<#:ki1945a2c`955=h5jk0:=65f5g83>!4ek3?27co2m3:1(?ll:4;8j7de28907d;8:18'6ge==01e>ol51598f63?290:?7?512yO7c`=9rB9?55rL523>4}#=;3196s+3ea953bjl:27;?l44:3:17d<<3;29?l44<3:17d<<5;29?l44>3:17d<<7;29?le22900c?o9:188k7g?2900c?ol:188k7gb2900c?l?:188k7d62900c?l=:188k7d42900c?l;:188k7d22900c?o6:188k7gf2900n<==:180>4<4sE9mj7?tH31;?x"4lj0:?<5fde83>>i5jl0;66gl2;29?g1=8391<7>t$2f`>44c3A9mh6B>ocl3:17bvPl2:?4>d`52z?4>7db3W8ii6s|28694?4|Vmn01:4kd:~f45?29086<4<{M1eb?7|@;937p*>od:3:17o950;194?6|,:nh6<J4no0:w)?8e;710>{nio0;66gkd;29?j4em3:17p}l2;296~Xd:27<6lh4}r0:7?6=:r7<6?lj;_0aa>{t:0>1<74<4sE9mj7?tH31;?x"4lj0:?<5fde83>>i5jl0;66gl2;29?g1=8391<7>t$2f`>44c3A9mh6B>ocl3:17bvPl2:?4>d`52z?4>7db3W8ii6s|28694?4|Vmn01:4kd:~f42f29086<4<{M1eb?7|@;937p*>od:3:17o950;194?6|,:nh6<J4no0:w)?8e;710>{nio0;66gkd;29?j4em3:17p}l2;296~Xd:27<6lh4}r0:7?6=:r7<6?lj;_0aa>{t:0>1<74<4sE9mj7?tH31;?x"4lj0:?<5fde83>>i5jl0;66gl2;29?g1=8391<7>t$2f`>44c3A9mh6B>ocl3:17bvPl2:?4>d`52z?4>7db3W8ii6s|28694?4|Vmn01:4kd:~f43429086<4<{M1eb?7|@;937p*>od:3:17o950;194?6|,:nh6<J4no0:w)?8e;710>{nio0;66gkd;29?j4em3:17p}l2;296~Xd:27<6lh4}r0:7?6=:r7<6?lj;_0aa>{t:0>1<74<4sE9mj7?tH31;?x"4lj0:?<5fde83>>i5jl0;66gl2;29?g1=8391<7>t$2f`>44c3A9mh6B>ocl3:17bvPl2:?4>d`52z?4>7db3W8ii6s|28694?4|Vmn01:4kd:~f40729086<4<{M1eb?7|@;937p*>od:3:17o950;194?6|,:nh6<J4no0:w)?8e;713>{nio0;66gkd;29?j4em3:17p}l2;296~Xd:27<6lh4}r0:7?6=:r7<6?lj;_0aa>{t:0>1<77<2s-9oo7?:2:k173<722c9n?4?::k1f4<722e:8h4?::`214<72:0;6=u+3ea934=O;on0e<9;:188m4122900c?76:188yg7283:1?7>50z&0`f<51h1C?kj4i057>5<6=44o3;:>5<52z\173=:9<;1=::4}r0a6?6=:rT9n?52143952352z\1f4=:9<:1=:;4}r37a?6=:rT:8h521429652z?214<51016=8>51668yxd6=o0;684<:6y'7ae=97583>>o6?<0;66a=9883>>{e9<31<7=50;2x 6bd2>:0D>hk;h340?6=3`;<97>5;n0:=?6=3th:9o4?:283>5}#;mi1>4o4H2dg?l70<3:17d?85;29?j4>13:17p}=3683>7}Y::=01<;l:057?xu5j:0;6?uQ2c18943d28=>7p}=3383>7}Y::801<;6:057?xu5j90;6?uQ2c28943>28=>7p}>5683>7}Y9<=01<;m:3;:?xu6=10;6?u214a967psm17c94??==3np(>jl:04b?l4e83:17d<<2;29?l44=3:17d<<3;29?l44?3:17d>1<75f16794?=n9><1<75`28;94?=zj8<86=4;:183!5ck3=>7E=id:k231<722c:;84?::k233<722e9544?::a533=8391<7>t$2f`>27<@:lo7d?84;29?l70=3:17b<69;29?xd6>>0;694?:1y'7ae=?<1C?kj4i057>5<6=44i055>5<3:12900q~{t::81<7:t^311?87113;<963>628230=:9?=1=:;4}r001?6=:rT9?85217;952252z\176=:9?91=::4}r003?6=:rT9?:52177952252z\1f6=:9??1=:;4}r0be?6=:rT9ml5217:952152z\171=:9?=1=::4}r355?6=:rT::<5217:967>52z?22<<51016=;651668yv71;3:1>v3>6281=<=:9?21=:;4}r350?6=:r7::84=989>53>=9><0q~?96;296~;6>>09545217:952>5}#;mi1;=5G3gf8m4132900e<9::188k7?>2900qo?:5;292?6=8r.8hn48c:J0ba=n9>>1<75f16794?=n9><1<75f16594?=n9>21<75`28;94?=zj;k<6=4=:183!5ck3;4750;9~f7ge29096=4?{%1gg?70n2B8ji5f16194?=h:031<75rb3cg>5<5290;w)=kc;34b>N4nm1b=:=50;9l65<7s-9oo7?8f:J0ba=n9>91<75`28;94?=z{;996=4;{_006>;6=o09??5217c9664<58?>6<9;;|q176<72:qU>>=4=04b>75434;>97?85:p662=839pR?=;;<35e?44<27:984>769~w7522908wS<<5:?22d<5;<16=8;516:8yv44>3:1?vP=379>504=::<01<:l:057?xu5;>0;6>uQ2258943a2;9<70?9a;003>{tk<0;64uQc49>564=k;16=>65c39>515=k;16=9o5c39>51b=k;16=8=5c39>500=k;16=;>5c39~w7g12909wSwS{t:k;1<7=t^3`2?872;38ii63>5381f4=z{;h96=4;{_0a6>;6h6<9:;|q1f6<72=qU>o=4=06b>7db34;>j74kd:?213<5jl16>l951618yv4e=3:18vP=b49>56>=lm16=9=52cg897ge28=87p}=a883>1}Y:h301<==:ef8945?2;hn70{t:hk1<7:t^3cb?874:38ii63>6`81ed=::hl1=:=4}r37f?6=:r7:8l4kd:?20f<5101v<:j:181873l3no70?:2;37a>{t9<>1<7ab<58?>6?76;|q212<72;q6=885de9>50`=9<=0q~?91;296~;6>90oh63>6`8224=zuzi96=4={_a1?871k3i>7p}=a883>7}Y:h301<8l:3c:?xu5ih0;6?uQ2`c8940d2;kj7p}=a783>7}Y:h<01<8l:3c5?xu5i10;6?uQ2`:8940d2;k37p}=ab83>7}Y:hi01<8l:3c`?xu5il0;6?uQ2`g8940d2;kn7p}=b183>7}Y:k:01<8l:3`3?xu5j80;6?uQ2c38940d2;h:7p}=b383>7}Y:k801<8l:3`1?xu5j:0;6?uQ2c18940d2;h87p}=b583>7}Y:k>01<8l:3`7?xu5j<0;6?uQ2c78940d2;h>7p}=3383>7}Y::801<8l:311?xu5;:0;6?uQ2218940d2;987p}=3583>7}Y::>01<8l:317?xu5;<0;6?uQ2278940d2;9>7p}=3783>7}Y::<01<8l:315?xu5;>0;6?uQ2258940d2;9<7psmeg83>6<62:qG?kh51zJ17==zD=:;6{#;mi1>9k4ief94?=h:ko1<75fc383>>d029086=4?{%1gg?75l2B8ji5fag83>>ocl3:17bvPl2:?4>d`52z?4>7db3W8ii6s|28694?4|Vmn01:4kd:~f1ee29086<4<{M1eb?7|@;937pB;0182!3513?0q)=kc;07a>ocl3:17b5}#;mi1=?j4H2dg?lga2900eij50;9l6gc=831vn<50;0xZf4<5>0jj6s|28194?4|5>09nh5Q2cg8yv4><3:1>vPkd:?4>ab3}K;ol1=vF=399~H1672hq/9?755:&663<3>?4=82w/?im524;8mf4=831bo>4?::kg`?6=3f8ii7>5;h1g2?6=3k=1<7;52;7xH6`a28qC>>64}%1gg?43:2coh7>5;n0aa?6=3`i96=44ib194?=njj0;66l8:186>5<7s-9oo7?=f:J0ba=njh0;66gmc;29?lga2900eij50;9l6gc=831vn>hj:182>5<7s-9oo7?82:J0ba=h9>;1<75rsb094?4|Vj801:4nf:pg6<72;qUo>527;`b?xu51:0;6?u23gg9527<5>0io6s|28694?4|5>09nh5Q2cg8yv4>=3:1>vPkd:?4>ab5}#;mi1=:h4H2dg?l70;3:17b<69;29?xd3;h0;6>4?:1y'7ae=:0h0D>hk;h340?6=3`;<97>5;n0:=?6=3tyh>7>52z\`6>;02j80q~m<:181[e434>8m7?85:p6gc=838pR?lj;<596gc53z\0`3=:<:21=:=4=51b>4133ty??44?:3y>3?e434>8m7<69:p061=838p1:4kd:?77=<5101vqo=76;291?4==rF8jk4>{I00<>{K<9:1=v*:2886?x"4lj09:<5fde83>>i5jl0;66gl2;29?le42900eom50;9a3?6==3:15;hfg>5<:188yve52909wSm=;<59ec=z{j91<74=50;0x96`b28=:7095bb9~w7?32909w0952cg8Z7db3ty9584?:3y]`a=:?3no7psm4``94?5=939p@>hi:0yK66>;<7?t$40:>0=z,:nh6?:j;hfg>5<50z&0`f<6:m1C?kj4i`d94?=nlm0;66a=bd83>>{tk;0;6?uQc39>3?ga3ty95>4?:3y>3?4em2T9nh5rs3;7>5<5sWno7095de9~yg4a:3:1?7?53zN0bc<6sA8846sC41295~"2:00>7p*>od:3:17o950;194?6|,:nh6<J4no0:w)?8e;710>{nio0;66gkd;29?j4em3:17p}l2;296~Xd:27<6lh4}r0:7?6=:r7<6?lj;_0aa>{t:0>1<7>=:180>4<4sE9mj7?tH31;?xJ3890:w);=9;78y!5ck38=?6gkd;29?j4em3:17dm=:188f2<72:0;6=u+3ea957b<@:lo7A=if;3x 41b2<8?7pgnf;29?lbc2900c?lj:188yve52909wSm=;<59ec=z{;386=4={<596gc7}Ylm16;7jk;|a6cg=8391=7=tL2de>4}O::20qA:?0;3x 04>2<1v(>jl:347?lbc2900c?lj:188mf4=831i;7>53;294~"4lj0:>i5G3gf8H6`a28q/=:k55368ylga2900eij50;9l6gc=831vn<50;0xZf4<5>0jj6s|28194?4|5>09nh5Q2cg8yv4><3:1>vPkd:?4>ab6}K;ol1=vF=399~H16728q/9?755:'7ae=:=o0eij50;9l6gc=831bo?4?::`4>5<4290;w)=kc;31`>N4nm1bmk4?::kg`?6=3f8ii7>5;|q`6?6=:rTh>638:`d8yv4>;3:1>v38:3`f?[4em2wx>4:50;0xZab<5>0oh6srb222>5<42;0?wA=if;3xL75?3tF?<=4>{%71=?35;ha1>5<0;6>4?:1y'7ae=9;n0D>hk;M1eb?7|,8=n68<;;|kbb?6=3`no6=44o3`f>5<4750;9~wf4=838pRn<4=b4952552z?4>d`<5j<1>474}r0:0?6=:r7<6?lj;_0aa>{t:0?1<77<3sE9mj7?tH31;?xJ3890:w);=9;78y!5ck38=:6gkd;29?j4em3:17dm=:188f2<72:0;6=u+3ea957b<@:lo7doi:188mab=831d>ok50;9~ff0=8381<7>t$2f`>41a3A9mh6g>7283>>i5100;66s|c383>7}Yk;16o;4>729~w7?42909w095ag9>g3<5101v?7;:18181=:ko0R?lj;|q1=0<72;qUhi527;fg?x{e:o31<7;52;4x 6bd2;l27b50z&0`f<0<2B8ji5f16694?=n9>?1<75f16494?=h:031<75rb3d4>5<4290;w)=kc;0:e>N4nm1b=::50;9j523=831d>4750;9~w7`22909wS8<:181[51;279j54>779~w63>2909wS=:9:?1b=<6?=1v?h<:181[4a;279j54>749~w6032909wS=94:?1b2<6?<1v?h9:18184a0382563=f68231=zuk9;n7>57;79f~"4lj08;0D>hk;h340?6=3`;<97>5;n0:=?6=3th8<84?:283>5}#;mi1>4o4H2dg?l70<3:17d?85;29?j4>13:17pl<0783>6<729q/?im5719K7cb5<N4nm1b=::50;9j523=831d>4750;9~f66>290?6=4?{%1gg?4>l2B8ji5f16694?=n9>?1<75f16494?=h:031<75rs220>5<5sW9;?63<0881=<=z{:<86=4={_157>;48?0:;95rs27:>5<4sW9>563<0`8231=:;9?1=::4}r0e7?6=:rT9j>5231c952352z\023=:;921=::4}r1;2?6=:rT84;5231:952352z\022=:;931=:84}r130?6=:r78753=9>?0q~<63;296~;48<095452314952352z?043<51016?=751668yv57?3:1>v3<0981=<=:;931=:;4}|`045<72?0865u+3ea97565<5<5<kj50;194?6|,:nh6:?4H2dg?l70<3:17d?85;29?j4>13:17pl=fd83>1<729q/?im528f8L6`c3`;<87>5;h341?6=3`;<:7>5;n0:=?6=3ty9jo4?:3y]6cd<5;ln6?76;|q026<72;qU?;=4=3de>4123ty8944?:3y]70?<5;lm6<9;;|q023<72;qU?;84=3dg>4123ty84;4?:3y]7=0<5;lo6<9;;|q02d<72;qU?;o4=3df>4113ty9jn4?:3y>6c`=:0301?hj:057?xu5nm0;6?u22gf967`63f8no7>5;h157?6=3`9>57>5;h150?6=3`9=97>5;h152?6=3`93:7>5;h15e?6=3k8m<7>53;294~"4lj0<=6F5<7s-9oo79>;I1e`>o6?=0;66g>7483>>i5100;66sm2dd94?0=83:p(>jl:3c1?M5al2c:;94?::k230<722c:;;4?::k232<722c:;54?::m1=<<722wx>hm50;0xZ7cd348nj7<69:p735=838pR>8<;<0e4?70=2wx?8750;0xZ63>348m<7?84:p732=838pR>8;;<0fb?70=2wx?;;50;0xZ602348nj7?86:p730=838pR>89;<0fa?70=2wx?5850;0xZ6>1348ni7?84:p73g=838pR>8n;<0fb?7002wx>hj50;0x97`72;3270{t:lo1<77?>348nj7?87:~f11a290i684i{%1gg?20n2e?;;4?::k026<722c8944?::k020<722cnj7>5;h152?6=3`93:7>5;h153?6=3`9=47>5;h15=?6=3`9=m7>5;c64a?6=;3:1N4nm1b=::50;9j523=831d>4750;9~f11?29086=4?{%1gg?173A9mh6g>7583>>o6?<0;66a=9883>>{e<>k1<7=50;2x 6bd2>;0D>hk;h340?6=3`;<97>5;n0:=?6=3th?;n4?:283>5}#;mi1;<5G3gf8m4132900e<9::188k7?>2900qo:8d;295<5<5<5<<:7>52z\733=:<>n1>474}r157?6=:rT8:>5246g952357>52z\01<=:<>o1=::4}r151?6=:rT8:85246:9522{t;1<1<7=t^2:5?820i3;<863;7b8231=z{:<<6=4={_153>;3?j0:;85rs24;>5<5sW9=463;7e823==z{:<26=4={_15=>;3?m0:;45rs24b>5<5sW9=m63;7e823d=z{==<6=4={<64a?4>127?;i4>759~w11?2909w0:88;0:=>;3?m0:;85rs55:>5<5s4>54;192~"4lj08=<5`2e;94?=n:o81<75f6483>>o2?3:17o=>0;297?6=8r.8hn481:J0ba=n9>>1<75f16794?=h:031<75rb22f>5<4290;w)=kc;53?M5al2c:;94?::k230<722e9544?::a75`=8391<7>t$2f`>7?f3A9mh6g>7583>>o6?<0;66a=9883>>{t:m31<70;340>;48l0:;95rs7794?4|V??01>??:056?xu2?3:1>vP:7:?04`<6?<1v>>l:1818568382563<0g8231=z{::o6=4={<13a?4>1278749~yg55;3:187=56z&0`f<4::1d>ij50;9j6c4=831b8i4?::k6a?6=3k99>7>53;294~"4lj0<=6F5<7s-9oo79?;I1e`>o6?=0;66g>7483>>i5100;66sm33394?5=83:p(>jl:3;b?M5al2c:;94?::k230<722e9544?::p6ab=838pR?jk;<115?4>12wx>k<50;1xZ7`53499>7?84:?065<6?=1v9j50;0xZ1b<5:896<9:;|q6a?6=:rT>i63<218230=z{:;n6=4={<116?4>1278><4>759~w67a2909w0==0;0:=>;4:80:;85r}c101?6=<391:v*50z&0`f<092B8ji5f16694?=n9>?1<75`28;94?=zj:996=4<:183!5ck3=;7E=id:k231<722c:;84?::m1=<<722wi?>=50;194?6|,:nh6?7n;I1e`>o6?=0;66g>7483>>i5100;66s|2d294?4|V;o;70=<3;0:=>{t:o81<7=t^3d1?854<3;<863<338231=z{=o1<77p}:f;296~X2n278??4>749~w6572909w0=<4;0:=>;4;:0:;95rs212>5<5s498>7<69:?076<6?<1vqo=r.8hn4<3c9l6`7=831b>k<50;9j0c<722c=<7>5;c10e?6=;3:1N4nm1b=::50;9j523=831d>4750;9~f65?29086=4?{%1gg?173A9mh6g>7583>>o6?<0;66a=9883>>{e;:31<7=50;2x 6bd2;3j7E=id:k231<722c:;84?::m1=<<722wx>h?50;0xZ7c6349857<69:p6c4=839pR?h=;<10e?70<278?54>759~w1`=838pR9h4=21b>4123ty=<7>52z\54>;4;10:;85rs215>5<5s498m7<69:?07<<6?=1v>=8:1818540382563<388230=zuk9?=7>54;192~"4lj088<5`2d094?=n:o81<75f5183>>o193:17o=;0;297?6=8r.8hn481:J0ba=n9>>1<75f16794?=h:031<75rb21f>5<4290;w)=kc;53?M5al2c:;94?::k230<722e9544?::a76`=8391<7>t$2f`>7?f3A9mh6g>7583>>o6?<0;66a=9883>>{t:l81<7;4;l0:;95rs4294?4|V<:01>:?:056?xu193:1>vP91:?07`<6?<1v>=l:1818538382563<3g8231=z{:9o6=4={<10a?4>1278?k4>749~yg53?3:187=56z&0`f<4<>1d>h=50;9j6c4=831b9<4?::k56?6=3k9?:7>53;294~"4lj0<=6F:;:180>5<7s-9oo79?;I1e`>o6?=0;66g>7483>>i5100;66sm35794?5=83:p(>jl:3;b?M5al2c:;94?::k230<722e9544?::p6`5=838pR?k<;<171?4>12wx>k<50;1xZ7`5349?:7?84:?001<6?=1v8?50;0xZ07<5:>=6<9:;|q56?6=:rT=>63<458230=z{:>96=4={<172?4>1278884>759~w6242909w0=;4;0:=>;4<<0:;85r}c17`?6=<391:v*1<75f2g094?=n=<0;66g:a;29?g53k3:1?7>50z&0`f<092B8ji5f16694?=n9>?1<75`28;94?=zj:>j6=4<:183!5ck3=;7E=id:k231<722c:;84?::m1=<<722wi?9l50;194?6|,:nh6?7n;I1e`>o6?=0;66g>7483>>i5100;66s|2d694?4|V;o?70=;b;0:=>{t:o81<7=t^3d1?853k3;<863<4`8231=z{7p}:a;296~X2i2788l4>749~w62?2909w0=;c;0:=>;45<5s49?m7<69:?00g<6?<1vqo=:3;290?5=>r.8hn4<529l6`3=831b>k<50;9j17<722c=87>5;c166?6=;3:1N4nm1b=::50;9j523=831d>4750;9~f63729086=4?{%1gg?173A9mh6g>7583>>o6?<0;66a=9883>>{e;<;1<7=50;2x 6bd2;3j7E=id:k231<722c:;84?::m1=<<722wx>h;50;0xZ7c2349>=7<69:p6c4=839pR?h=;<166?70<2789=4>759~w04=838pR8<4=271>4123ty=87>52z\50>;4=90:;85rs26f>5<5s49>>7<69:?014<6?=1v>:i:1818528382563<508230=zuk9957>54;192~"4lj08>45`2eg94?=n:o81<75f5283>>o1;3:17o==8;297?6=8r.8hn481:J0ba=n9>>1<75f16794?=h:031<75rb205>5<4290;w)=kc;53?M5al2c:;94?::k230<722e9544?::a771=8391<7>t$2f`>7?f3A9mh6g>7583>>o6?<0;66a=9883>>{t:mo1<7;4:?0:;95rs4194?4|V<901><7:056?xu1;3:1>vP93:?063<6?<1v><;:1818550382563<268231=z{:8>6=4={<112?4>1278>:4>749~yg56?3:187=56z&0`f<49>1d>io50;9j6c4=831b994?::k57?6=3k9::7>53;294~"4lj0<=6F?;:180>5<7s-9oo79?;I1e`>o6?=0;66g>7483>>i5100;66sm30794?5=83:p(>jl:3;b?M5al2c:;94?::k230<722e9544?::p6ag=838pR?jn;<121?4>12wx>k<50;1xZ7`5349::7?84:?051<6?=1v8:50;0xZ02<5:;=6<9:;|q57?6=:rT=?63<158230=z{:;96=4={<122?4>1278=84>759~w6742909w0=>4;0:=>;49<0:;85r}c11b?6=<391:v*50z&0`f<092B8ji5f16694?=n9>?1<75`28;94?=zj:8h6=4<:183!5ck3=;7E=id:k231<722c:;84?::m1=<<722wi??j50;194?6|,:nh6?7n;I1e`>o6?=0;66g>7483>>i5100;66s|2ed94?4|V;nm70==d;0:=>{t:o81<7=t^3d1?855m3;<863<2b8231=z{<<1<77p}:8;296~X20278>n4>749~w64f2909w0==e;0:=>;4:m0:;95rs20a>5<5s499o7<69:?06a<6?<1vqo=>d;290?5=>r.8hn4<1e9l6ae=831b>k<50;9j13<722c>47>5;c12g?6=;3:1N4nm1b=::50;9j523=831d>4750;9~f67f29086=4?{%1gg?173A9mh6g>7583>>o6?<0;66a=9883>>{e;8h1<7=50;2x 6bd2;3j7E=id:k231<722c:;84?::m1=<<722wx>im50;0xZ7bd349:n7<69:p6c4=839pR?h=;<12g?70<278=l4>759~w00=838pR884=23`>4123ty>47>52z\6<>;49h0:;85rs23;>5<5s49:o7<69:?05g<6?=1v>?6:181856i382563<1c8230=zuk9=>7>55;294~"4lj09m=5G3gf8m4132900e<9::188m4112900e<98:188k7?>2900qo:la;296?6=8r.8hn4>7g9K7cb5<h:7>52;294~"4lj0:;k5G3gf8m4142900c?76:188yg2cm3:1>7>50z&0`f<6?o1C?kj4i050>5<2900qo:me;296?6=8r.8hn4>7g9K7cb5<53;294~"4lj0<<6F;m:186>5<7s-9oo797;I1e`>o6?=0;66g>7483>>o6??0;66g>7683>>i5100;66sm34f94?5=83:p(>jl:638L6`c3`;<87>5;h341?6=3f8257>5;|`01c<72=0;6=u+3ea931=O;on0e<9;:188m4122900e<99:188k7?>2900qo=91;297?6=8r.8hn481:J0ba=n9>>1<75f16794?=h:031<75rb5a:>5<4290;w)=kc;51?M5al2c:;94?::k230<722e9544?::a0ab=83>1<7>t$2f`>23<@:lo7d?84;29?l70=3:17d?86;29?j4>13:17pl;a883>1<729q/?im5749K7cb5<5<ih7>54;294~"4lj0<86F4750;9~f1e7290?6=4?{%1gg?4>l2B8ji5f16694?=n9>?1<75f16494?=h:031<75rb25a>5<4290;w)=kc;51?M5al2c:;94?::k230<722e9544?::a72b=8391<7>t$2f`>26<@:lo7d?84;29?l70=3:17b<69;29?xd4?o0;684?:1y'7ae=??1C?kj4i057>5<6=44i055>5<5<53;294~"4lj095l5G3gf8m4132900e<9::188k7?>2900qo=7e;297?6=8r.8hn4=9b9K7cb5<3:1N4nm1b=::50;9j523=831b=:850;9j521=831b=:650;9l67?:180>5<7s-9oo7<6a:J0ba=n9>>1<75f16794?=h:031<75rb5cg>5<4290;w)=kc;53?M5al2c:;94?::k230<722e9544?::a0d`=83>1<7>t$2f`>22<@:lo7d?84;29?l70=3:17d?86;29?j4>13:17pl=f583>1<729q/?im5729K7cb5<5<4750;9~f1ec29096=4?{%1gg?70n2B8ji5f16194?=h:031<75rb2:2>5<5290;w)=kc;34b>N4nm1b=:=50;9l66<:181>5<7s-9oo7?8f:J0ba=n9>91<75`28;94?=zj:2h6=4=:183!5ck3;4750;9~f1d629096=4?{%1gg?70n2B8ji5f16194?=h:031<75rsc`94?b|Vkh01>;7:b089``=k;168nl5c39>72?=k;16?585c39>0dd=k;16>k<5c39>754=k;16>ko5c39>6`d=k;16?=?5c39>023=k;1v96?:181[2?827m=7?83:p0ad=838pR9jm;<6ga?4>12wx88850;1xZ13134>h57?84:?7fa<6?=1v9m8:181[2d?27?ol4=989~w1de2909wS:mb:?7f`<5101v?k7:180[4b02789o4>749>70`=9>>0q~:85;296~X3?<168:;52cg8yvg1290769>70b=9>>01>;i:056?82fn3;<:63=f58231=z{=ki6=4={_6bf>;3ik09nh5rs25;>5<2sW9<463<7c8230=:;>n1=:;4=2:f>41234>hh7?83:p0<>=838pR977;<6b=?70<2wx8k>50;7xZ1`734>h57?85:?7`a<6?<168l75167891ga28=>7p};cd83>7}Y0;69uQ2d58963?2;9?70:md;341>;5n=0:;85rs5a6>5<5sW>h963;c781=<=z{:??6=4={_160>;3k?0:;>5rs3ga>5<5sW8nn63=ec81f`=z{=k<6=4={_6b3>;3ih09545rs2:5>5<>s49>47<<7:?0<3<5jl16?=l5394896672:2=70;3?o084;5234f9523<5:?m6<99;<155?70=2wx?==50;0x96652mn01>>m:220?xu40>0;6?u239:96{t;?i1<7=t=27;>7g?349{t;?n1<7=t=27;>7gd3498j:180852038ji63<7g8232=:;121=:94}r6bg?6=:r7?mi4=989>0d`=9>>0q~:ne;296~;3io0954524c39525oo7>52z?7``<6?:168ij528;8yv5?83:1>v3<7880`3=:;1;1>474}r1;6?6=:r78;44l3:?0<6<5101v>8<:185852038i<63=f88026=:;9h1?;=4=223>604348m=7=93:?73c<4>:1v>96:180850138ii63<8`8230=:;757=lm16>k75376897`62:6}:;<21>o<4=3d2>60234>;7:3`0?857j39=:63<018023=::o;1?;84=55e>6013ty8::4?:2y>70>=:k>01>>m:244?820n39=;6s|37:94?4|5:?36?l:;<64b?5102wx?;750;0x963?2;k270:8f;15=>{t;?k1<7:t=27;>7gf349;<7=9a:?1b4<4>h168:h537c8yv2f03:1>v3;a`8236=:474}r6ag?6=:r7?ni4=989>0f6=9>>0q~63<2281b7=:;:?1>k<4=21a>7`5349?=7;49>09j?5233d96c4<5:;o6?h=;<6g`?70>27?m44>779~w7`f290>w0=:8;001>;5nh09nh523739522<5=ho6<99;<0e0?70>2wx>k;50;0x97`52mn01?h6:3d6?xu3??0;6?u24679`a=:<>l18:84}r6`g?6=:r7?oo4kd:?7ga<5101v>66:18185?>3no70=7a;0:=>{tab<5=h:6?76;|q01<<72>q6?865220897`>2:?270=?b;16=>;4890894522g3970?<5==m6>;6;<156?4>12wx?:o50;1x961e2;3270=60;340>;4080:;>5rs25`>5<4s493m7?84:?03a<51016?5;51668yv52i3:1>v3<638231=:;

474}r14a?6=:r78;k4=989>7=3=9>?0q~=:c;296~;4>;0:;85234f96i7>52z?027<6??16?8h528;8yv5183:1>v3<638232=:;?;1>474}r6ab?6=:r7?nh4>729>0f6=:030q~h?:1818ca2mn01k?528;8yv5793:1>v3<0081f`=:7=5=9>90q~=?2;296~;48;09nh524b2952352z?1ag;4?k0:;95236f9522<5:2n6<9;;|q7g=<72;q68no5161891e>2;327p}=f283>6}::o31>k=4=22a>7`4348m87<69:p7=b=838p1>6j:3;:?85?03;<46s|39d94?4|5:3;6?76;<1;g?70;2wx>im50;0xZ7bd349:h7h;50;0xZ7c2349>?7h=50;0xZ7c4349?;7h?50;0xZ7c63498n7ih50;0xZ7ba3499j7ij50;0xZ7bc3499?74133-9j87om;o1b7?4d;75?!5f<3?27c=n3;08yv322909wS;:;<17`?323-9j87;6;o1b7?50q~;<:181[34349957;<;%1b0?3>3g9j?7;4}r71>5<5sW?970=:3;71?!5f<3?27c=n3;48yv362909wS;>;<173?363-9j87;6;o1b7?13g9j?774}r6f>5<5sW>n70=<5;6f?!5f<3?27c=n3;c8yv2c2909wS:k;<117?2c3-9j87;6;o1b7?d6=4={_46?85693<>7)=n4;7:?k5f;3i0q~8;:181[03349>?78;;%1b0?3>3g9j?7j4}r40>5<4sW<870==9;40?856?3<87)=n4;7:?k5f;3o0q~8=:181[05349?;78=;%1b0?3>3g9j?7h4}r42>5<5sW<:70=;1;42?!5f<3?27c=n3;33?xu183:1>vP90:?07g<182.8m94:9:l0e6<692wx9k4?:3y]1c=:;:?19k5+3`691<=i;h91=?5rs4g94?4|V<<:4g8 6g32<30b>o<:018yv302909wS;8;<125?303-9j87;6;o1b7?733twi89h50;35>72=;=qG?kh51zJ17==zD=:;6?ua53c927=#=;31:6s+3ea95<2>i6l<0;66g;9983>>o61<0;66g;f183>>o3kl0;66a>b683>>o5j?0;66a=1e83>>i30h0;66a=0583>>i1>3:17b8i:188k1022900c0q)=kc;35a>od:3:17b3:17o?9c;29f?>=mrF8jk4>{I00<>{#;mi1?i94i311>5<5<6=44i315>5<>64}%1gg?7492coh7>5;n0aa?6=3`i96=44b683>6<729q/?im513f8L6`c3E9mj7?t$05f>0433tcjj7>5;hfg>5<5<5sWi97095ag9~w7?42909w0952cg8Z7db3ty9594?:3y]`a=:?3no7psm12:94?5=939p@>hi:0yK66>5;ha1>5<0;6>4?:1y'7ae=9;n0D>hk;M1eb?7|,8=n68<;;|kbb?6=3`no6=44o3`f>5<3:17d>1<75f16794?=h:031<75rb016>5<4290;w)=kc;0:e>N4nm1b=::50;9j523=831d>4750;9~w4552909wS?<2:?273<6?=1v?=9:181[44>27:?;4>749~w7d72909wS;6;<0:;95r}c376?6=03?1ov*;0D>hk;h340?6=3`;<97>5;n0:=?6=3th:?o4?:283>5}#;mi1;<5G3gf8m4132900e<9::188k7?>2900qo?>1<75f16794?=h:031<75rb01e>5<2290;w)=kc;5;?M5al2c:;94?::k230<722c:;;4?::k232<722e9544?::a516=83?1<7>t$2f`>7g73A9mh6g>7583>>o6?<0;66g>7783>>o6?>0;66a=9883>>{t::81<7;6;k0:;95212d952353z\1f5=:9:h1=:;4=01g>4123ty9?;4?:3y]660<589o6<9;;|q176<72;qU>>=4=01e>4113ty9?94?:3y]662<589m6<98;|q170<72;qU>>;4=01e>4133ty:?44?:3y]56?<58>;6?76;|q27d<72;q6=9?528;8942728=?7p}>3c83>7}:9:h1>474=063>4123ty:?n4?:3y>56b=:0301<:?:055?xu6;l0;6?u212d96;6<98;|a6d1=8391<7>t$2f`>27<@:lo7d?84;29?l70=3:17b<69;29?xd5ik0;6>4?:1y'7ae=?91C?kj4i057>5<6=44o3;:>5<53;294~"4lj0<=6F5<7s-9oo79=;I1e`>o6?=0;66g>7483>>i5100;66s|12094?1|58996?lj;<303?74:27:8?4>339>6d1=9>>01?om:056?84fl3;<963=ag8230=z{;996=4={_006>;6<;09??5rs310>5<5sW88?63>438176=z{;9?6=4={_000>;6<;09?95rs316>5<5sW88963>438170=z{;9=6=4<{_002>;6;>09?;5215096606=4<{_a6?874:3i970?<8;a1?xu5i?0;6?uQ2`4897g02;327p}=a983>7}Y:h201?om:3;:?xu5ij0;6?uQ2`a897gc2;327p}=ad83>7}Y:ho01?oi:3;:?xu5j90;65uQ2c28945?2;hn70?<7;0a4>;6<;09n=522`59523<5;ki6<9;;<0b`?70<279mk4>759~w4542909w0?<2;fg?874?3;8?6s|12;94?4|58936ij4=061>45>3twxo?4?:3y]g7=:9?i1o85rs3c5>5<5sW8j:63>6b81e3=z{;k36=4={_0b<>;6>j09m55rs3c`>5<5sW8jo63>6b81ef=z{;kn6=4={_0ba>;6>j09mh5rs3`3>5<5sW8i<63>6b81f5=z{;996=4={_006>;6>j09??5rs310>5<5sW88?63>6b8176=z{;9?6=4={_000>;6>j09?95rs316>5<5sW88963>6b8170=z{;9=6=4={_002>;6>j09?;5r}c3;b?6=;3;1?vB51z&66<<33t.8hn4=459j`a<722e9nh4?::k`6?6=3k=1<7=50;2x 6bd288o7E=id:N0bc<6s-;5;n0aa?6=3tyh>7>52z\`6>;02hl0q~<63;296~;02;hn7S{zj8h=6=4<:080I5an3;pD?=7;|N745<6s-?957:4}%1gg?43m2coh7>5;n0aa?6=3`i96=44b683>6<729q/?im513f8L6`c3`km6=44ief94?=h:ko1<75rsb094?4|Vj801:4nf:p6<5=838p1:4=bd9]6gc52z\g`>;02mn0qpl>e683>0<52{#;mi1>;?4ief94?=h:ko1<75fc383>>od;3:17dll:188f2<72<0;6=u+3ea957`<@:lo7dln:188mge=831bmk4?::kg`?6=3f8ii7>5;|`0b`<7280;6=u+3ea9524<@:lo7b?81;29?xud:3:1>vPl2:?4>d`<3:1>v38:3`f?[4em2wx>4;50;0xZab<5>0oh6srb3:2>5<32;0?wA=if;3xL75?3tF?<=47{%71=?2<,<8=69:m;%72`?bc3-?:j7=84>;%715?5fm2d>=:4>;|&0`f<5<<1bo?4?::kg`?6=3f8ii7>5;h1ba?6=3k=1<7=51;1xH6`a28qC>>64}%1gg?43:2coh7>5;n0aa?6=3`i96=44b683>6<729q/?im513f8L6`c3`km6=44ief94?=h:ko1<75rsb094?4|Vj801:4nf:p6<5=838p1:4=bd9]6gc52z\g`>;02mn0qpl;2283>7<729q/?im516d8L6`c3`;5;n0:=?6=3tyh>7>52z\`6>;02j80q~oj;<617?70;2wx8?<50;0x92>4=989~yge6290?6<4<{M1eb?7|@;937pB;018;!3513>0(8<9:56a?!36l3no7);>f;0aa>"29k0h>6`:1482?!35939ji6`:1683?x"4lj098;5fc383>>ocl3:17b6gkd;29?j4em3:17dm=:188f2<72:0;6=u+3ea957b<@:lo7doi:188mab=831d>ok50;9~wf4=838pRn<4=68bb>{t:091<7638:b08yv4em3:1>vP=bd9>3?4em2wx?lk50;0xZ6gb34=1hi5r}c4e>5<22;0>wA=if;3xL75?3tF?<=4n{%71=?2<,<8=69:m;%72`?bc3-?:j7=84>;%72g?e43g?::7?4$401>6b13g?:47?4}%1gg?43?2ch>7>5;ha0>5<{I00<>{#;mi1>9<4ief94?=h:ko1<75fc383>>od;3:17dll:188f2<72<0;6=u+3ea957`<@:lo7dln:188mge=831bmk4?::kg`?6=3f8ii7>5;|`0b`<7280;6=u+3ea9524<@:lo7b?81;29?xud:3:1>vPl2:?4>d`<3:1>v38:3`f?[4em2wx>4;50;0xZab<5>0oh6srb51b>5<4290;w)=kc;0:e>N4nm1b=::50;9j523=831d>4750;9~wf4=838pRn<4=68`6>{tk:0;6?uQc29>06g=9>?0q~j9;<59`a=:<:k1=::4}r60=?6=:r7<6n=4=51b>7?>3twi=nk50;796?3|D:lm65;c594?3=83:p(>jl:00e?M5al2cim7>5;h``>5<>i5jl0;66sm3gg94?7=83:p(>jl:051?M5al2e:;<4?::pg7<72;qUo?527;ce?xud;3:1>vPl3:?4>gg52z?0b`<6?816;7ll;|q1=1<72;q6;76=4={_fg?81=lm1vqo<>9;291?4==rF8jk4>{I00<>{K<9:1=v*:2887?x"4lj09:<5fde83>>i5jl0;66gl2;29?le42900eom50;9a3?6==3:15;hfg>5<:188yve52909wSm=;<59ec=z{j91<74=50;0x96`b28=:7095bb9~w7?32909w0952cg8Z7db3ty9584?:3y]`a=:?3no7psm1e794?5=939p@>hi:0yK66>;<7?t$40:>1=z,:nh6?:j;hfg>5<50z&0`f<6:m1C?kj4i`d94?=nlm0;66a=bd83>>{tk;0;6?uQc39>3?ga3ty95>4?:3y>3?4em2T9nh5rs3;7>5<5sWno7095de9~yg46l3:1?7?53zN0bc<6sA8846sC41295~"2:00?7p*>od:3:17o950;194?6|,:nh6<ofn3:17djk:188k7db2900q~m=:181[e534=1mk5rs3;0>5<5s4=1>ok4^3`f?xu51=0;6?uQde9>3?bc3twi=o950;195?5|D:lm6n7djk:188k7db2900en<50;9a3?6=;3:1X5jl1v?7;:181[bc34=1hi5r}c030?6=;3;1?vB51z&66<<33t.8hn4=4d9j`a<722e9nh4?::k`6?6=3k=1<7=50;2x 6bd288o7E=id:kbb?6=3`no6=44o3`f>5<?0;6>4>:2yO7c`=9rB9?55rL523>4}#=;3186s+3ea961c5<7s-9oo7?=d:J0ba=nio0;66gkd;29?j4em3:17p}l2;296~Xd:27<6lh4}r0:7?6=:r7<6?lj;_0aa>{t:0>1<7l?:186>7<2sE9mj7?tH31;?xJ3890jw);=9;68 0412=>i7);>d;fg?!36n38ii6*:1c8`6>h29<0:7);>c;a0?k36>3;0(8<>:2cf?k36?3:0q)=kc;07<>od:3:17dm<:188mab=831d>ok50;9j7dc=831i;7>55;091~J4no0:wE<<8:'7ae=:=80eij50;9l6gc=831bo?4?::k`7?6=3`hh6=44b683>0<729q/?im513d8L6`c3E9mj7?t$05f>0433tcim7>5;h``>5<>i5jl0;66sm3gg94?7=83:p(>jl:051?M5al2e:;<4?::pg7<72;qUo?527;ce?xud;3:1>vPl3:?4>gg52z?0b`<6?816;7ll;|q1=1<72;q6;76=4={_fg?81=lm1vqo:<8;297?6=8r.8hn4=9c9K7cb5<5<5sWi97095c39~wf5=838pRn=4=51;>4123ty9nh4?:3y]6gc<5>09nh5rs2cf>5<4sW9ji638:ef8915?28=?7p};3683>7}:?3i870:<8;0:=>{zj8oh6=49:385I5an3;pD?=7;|N74512e3-?:h7jk;%72b?4em2.>=o4l2:l650<63-?:o7m<;o722?7<,<8:6>oj;o723?6<,<896>j9;o72{I00<>{#;mi1>9<4ief94?=h:ko1<75fc383>>od;3:17dll:188f2<72<0;6=u+3ea957`<@:lo7dln:188mge=831bmk4?::kg`?6=3f8ii7>5;|`0b`<7280;6=u+3ea9524<@:lo7b?81;29?xud:3:1>vPl2:?4>d`<3:1>v38:3`f?[4em2wx>4;50;0xZab<5>0oh6srb51;>5<3290;w)=kc;0:a>N4nm1b=::50;9j523=831b=:850;9l60h>6s|c283>7}Yk:168>651648yv4em3:1>vP=bd9>3?4em2wx?i850;0xZ6b134>847?85:p7dc=839pR>oj;<59`a=:<:21=::4}r603?6=:r7<6n=4=51;>7?>3twi8;;50;797?0|D:lm6b;a1?k36=3;0(8?l:b18j071281/9?<53e48j07?291v(>jl:37:?le52900en=50;9j`a<722e9nh4?::k0`3<722h<6=4::386I5an3;pD?=7;|&0`f<5<;1bhi4?::m1f`<722ch>7>5;ha0>5<2g9K7cb>ofn3:17djk:188k7db2900qo=ie;295?6=8r.8hn4>739K7cb5<5sWi97095ag9~wf5=838pRn=4=68ae>{t:091<741634=1nn5rs3;7>5<5s4=1>ok4^3`f?xu51<0;6?uQde9>3?bc3twi8>650;094?6|,:nh6<9i;I1e`>o6?:0;66a=9883>>{e<:k1<7=50;2x 6bd2;3i7E=id:k231<722c:;84?::m1=<<722wxo?4?:3y]g7=:?3i97p}l3;296~Xd;27??l4>749~w7db2909wS7db3ty8h;4?:2y]7a0<5=936<9<;<60e?70<2wx8>750;0x9206>=:030qpl>e283>d<028:p(>jl:0g0?j7c03:17d=kb;29?l0a2900e2900e<6i:188f4c529086=4?{%1gg?173A9mh6g>7583>>o6?<0;66a=9883>>{e9mk1<7:50;2x 6bd2>>0D>hk;h340?6=3`;<97>5;h342?6=3f8257>5;|`2`g<72:0;6=u+3ea964?:1y'7ae=?91C?kj4i057>5<6=44o3;:>5<53;294~"4lj0<<6F5<7s-9oo79;;I1e`>o6?=0;66g>7483>>o6??0;66a=9883>>{e9l;1<7:50;2x 6bd2;3o7E=id:k231<722c:;84?::k233<722e9544?::p5a>=838pR12wx?il50;0xZ6be34;oo7?84:p2c<72:qU:k521d09522<58nj6<9;;|q2a2<72;qU=h94=0g1>4123ty:h;4?:3y]5a0<58nj6<9:;|q7b5<72;qU8k>4=0fb>4113ty8h54?:3y]7a><58nn6<9;;|q2`0<72:qU=i;4=0ff>41234;n<7?85:p7a?=838pR>j6;<3f4?70>2wx=5h50;0xZ4>a34;n<7?84:p5a?=838p14=50;0x94be2;3270?kc;341>{t:0>1<77?>34;n=7?84:p5ab=838p12wvn?<6:18b>2<68r.8hn4=289l64c=831b?il50;9j2c<722c:i:4?::k2`3<722c?j=4?::k0`=<722c9=i4?::k0`<<722c:4k4?::`16=<72:0;6=u+3ea934=O;on0e<9;:188m4122900c?76:188yg4583:187>50z&0`f<0=2B8ji5f16694?=n9>?1<75f16494?=h:031<75rb302>5<4290;w)=kc;0:e>N4nm1b=::50;9j523=831d>4750;9~f74529086=4?{%1gg?173A9mh6g>7583>>o6?<0;66a=9883>>{e:;>1<7=50;2x 6bd2>:0D>hk;h340?6=3`;<97>5;n0:=?6=3th9>;4?:583>5}#;mi1;95G3gf8m4132900e<9::188m4112900c?76:188yg45?3:187>50z&0`f<51m1C?kj4i057>5<6=44i055>5<52z\0`g=::;81=::4}r4e>5<4sW;5:90:;85rs0g4>5<5sW;n;63=298231=z{8n=6=4={_3g2>;5:90:;;5rs5d3>5<5sW>m<63=218231=z{:n36=4={_1g<>;5:=0:;95rs33g>5<4sW8:h63=258230=::;<1=:;4}r1g=?6=:rT8h452234952052z\254=989>677=9>>0q~<=0;296~;5:9095452233952352z?164<51016>?<51678yv4><3:1>v3=2381=<=::;=1=::4}r017?6=:r79>94=989>671=9>?0q~<=5;296~;5:?095452235952043|,:nh65;h4e>5<5<5<5<13:17pl>c083>6<729q/?im5709K7cb5<50z&0`f<092B8ji5f16694?=n9>?1<75`28;94?=zj8i?6=4<:183!5ck382m6F5<7s-9oo79?;I1e`>o6?=0;66g>7483>>i5100;66sm1b594?5=83:p(>jl:628L6`c3`;<87>5;h341?6=3f8257>5;|`2g<<72:0;6=u+3ea937=O;on0e<9;:188m4122900c?76:188yg7di3:1?7>50z&0`f<51h1C?kj4i057>5<6=44o3;:>5<53;294~"4lj0<<6F5<7s-9oo79>;I1e`>o6?=0;66g>7483>>i5100;66sm1cf94?2=83:p(>jl:3;g?M5al2c:;94?::k230<722c:;;4?::m1=<<722wx=o650;0xZ4d?34;ih7<69:p7ad=838pR>jm;<3`1?70<2wx:k4?:4y]2c=:9ko1=::4=0a0>41334;h;7?84:?2g<<6?=1v749>5f1=9>?0q~?k6;297~X6l?16=oh5167894e628=?7p};9983>6}Y<0201;6jj0:;85rs2f;>5<5sW9o463>b`8231=z{8ih6=4={_3`g>;6jj0:;95rs0`:>5<5s4;ii7<69:?2g1<6?=1vc38231=z{8i;6=4={<3`5?4>127:o?4>749~w7?42909w0?l2;0:=>;6k:0:;85rs3;7>5<5s4;h?7<69:?2g1<6?<1v?7::18187d<382563>c48230=z{;3=6=4={<3`1?4>127:ni4>759~w4e12909w0?l7;0:=>;6kh0:;95rs0a;>5<5s4;h57<69:?2gd<6?<1v?78:18187di382563>b`8230=z{;336=4={<3ae?4>127:ni4>749~w4dd2909w0?mc;0:=>;6jm0:;;5r}c026=44i2fa>5<1<75f3e:94?=n9ji1<75m21`94?5=83:p(>jl:628L6`c3`;<87>5;h341?6=3f8257>5;|`14f<72:0;6=u+3ea934=O;on0e<9;:188m4122900c?76:188yg47m3:1?7>50z&0`f<092B8ji5f16694?=n9>?1<75`28;94?=zj;:m6=4<:183!5ck382m6F5<7s-9oo79>;I1e`>o6?=0;66g>7483>>i5100;66sm20394?5=83:p(>jl:3;b?M5al2c:;94?::k230<722e9544?::a644=8391<7>t$2f`>26<@:lo7d?84;29?l70=3:17b<69;29?xd59=0;6>4?:1y'7ae=?91C?kj4i057>5<6=44o3;:>5<53;294~"4lj0<>6F5<7s-9oo7<6a:J0ba=n9>>1<75f16794?=h:031<75rb324>5<4290;w)=kc;53?M5al2c:;94?::k230<722e9544?::a65?=8391<7>t$2f`>27<@:lo7d?84;29?l70=3:17b<69;29?xd58h0;694?:1y'7ae=:0n0D>hk;h340?6=3`;<97>5;h342?6=3f8257>5;|q140<72;qU>=;4=32b>7?>3ty8ho4?:3y]7ad<5;;96<9;;|q5b?6==rT=j63=0c8231=::8:1=::4=337>413348::7?84:p64?=839pR??6;<03f?70=279=94>749~w4b12908wS?k6:?14f<6?<16>=k51668yv2dm3:1?vP;cd9>65e=9>>01??9:056?xu58=0;6>uQ2168976b28=>70{t;m21<7{t:9<1<77?>348:=7?84:p65>=838p1?>l:3;:?847n3;<86s|21f94?4|5;:n6?76;<03b?70=2wx>4=50;0x976a2;3270<>0;341>{t:0>1<77?>348:=7?85:p6<3=838p1??>:3;:?846:3;<96s|28494?4|5;;96?76;<03e?70<2wx><=50;0x97732;3270<>7;340>{t:8?1<77?>348:;7?85:p6<1=838p1??8:3;:?847?3;<96s|28:94?4|5;:<6?76;<03e?70=2wx>=750;0x976>2;3270{zj8n?6=4=:183!5ck3;4750;9~f1>c29096=4?{%1gg?70n2B8ji5f16194?=h:031<75rb0g:>5<1290;w)=kc;5g?M5al2c:;94?::k230<722c:;;4?::k232<722c:;54?::m1=<<722wi?o<50;694?6|,:nh6::4H2dg?l70<3:17d?85;29?l70>3:17b<69;29?xd6ml0;6>4?:1y'7ae=?91C?kj4i057>5<6=44o3;:>5<53;294~"4lj0<=6F5<7s-9oo79?;I1e`>o6?=0;66g>7483>>i5100;66sm49a94?3=83:p(>jl:6:8L6`c3`;<87>5;h341?6=3`;<:7>5;h343?6=3f8257>5;|`2ag<72=0;6=u+3ea9613:17pl>d683>1<729q/?im5759K7cb5<5<53;294~"4lj095l5G3gf8m4132900e<9::188k7?>2900qo=m4;297?6=8r.8hn4=9`9K7cb5<2900qo?k0;296?6=8r.8hn4>7g9K7cb5<52;294~"4lj0:;k5G3gf8m4142900c?76:188yvde290:>vPmb:?0`2f4<58in6n<4=33:>f4<58n>6n<4=33g>f4<58h<6n<4=327>f4<5?<1o?523c29g7=:9li1o?524779g7=z{83;6=4={_3:4>;3>>0:;85rs0f1>5<3sW;o>63>e88232=:9o:1=:;4=2`7>4133ty:4=4?:3y]5=6<582m6ij4}r3a1?6=:rT:n8521c49`a=z{8n86=4={_3g7>;6l=09545rs575>5<5sW>>:63;8b8231=z{h<1<79t^`4896b02;9870=m0;1ba>;6mj08mh5247797a0<58o26<99;<3g3?70>2wx=i;50;1xZ4b234;o97027:oo4;999>5a6=9>90q~?65;291~X61<16?i95226896d528==70:7c;342>;6l>0:;85rs5d3>5<2sW>m<63>e68g`>;6m:0?j=5223;90c6<5=2h6<98;|q7g`<72:qU8nk4=33;>1eb348:n7?83:p5g1=839pRb69~w7d12909wS67?=:8n0q~:7a;296~X30h1685j528;8yv47<3:1?vP=059>652=:ko01??7:327?xu1>3:1>vP96:?52?4em2wx:k4?:cy]2c=:;m=1>><4=7d96gc<58o86;h4=30:>3`<58ii6;h4=33;>3`<58n?6<9<;<3f=?70=278n?4>759>5c6=9>>0q~:95;296~X3><168;;52cg8yv7bk3:1>vP>eb9>5`e=:ko0q~=ka;296~;4l>09mn521d`952052z?15a44=1d9~w6be290;6m:08ho5223;97ad<58ii6>jm;<02759>7g2=9>?0q~:7b;296~;30m0:;>5249a9652z?1415`?=9>>01d68231=z{:h:6=4={<1a4?e4349i>7<69:p5=`=83>p1>j8:315?87?n38ii63>e282v3l1;0aa>;1n3i87p}=8383>7}::1;1?lk4=3:0>7?>3ty:ii4?:3y>5`e=;m<01474}r3`b?6=:r7:oh4kd:?2`5<5101vec81=<=z{8o<6=4<{<3f3?4em27:i>4>e69>67?=9l=0q~<71;290~;50809nh526g80`3=:9jo1o>5220;9g6=z{8in6=4={<3`a?4em27:oo4>cd9~w4d?2909w0?m7;fg?87dj3;i46s|1e494?3|58o8627:oo4>d79>64>=9m<01u21b`95fe<5;;3612wx8;850;0x91022j901988:3;:?xu6j?0;6?u21c496gc<58on6<9:;|q15d<72;q6><75de9>64d=:030q~<>9;296~;59009nh5220:964?52z?0f7<6?<16?o:528;8yv5c03:14v3<5;826>j7;<3`f?5c0279=545`d=9>>01<58o86>j6;<01=?5c127:io4>749~yg23m3:1=;450;9l0<>=831b=i?50;9j=f<722e?oh4?::k15f<722c9=i4?::k721<722e?4:4?::m7<`<722e=:7>5;n6gb?6=3k;m=7>5d;39`~J4no0:wE<<8:O056=9r.>>44<;|&0`f<6>o1bo?4?::m1e3<722e9m54?::m1ef<722e9mh4?::m1f5<722e9n<4?::m1f7<722c9??4?::k176<722c9?94?::k170<722c9?;4?::`22f<72m0i64}O::20q)=kc;3e5>o5;;0;66g=3283>>o5;=0;66g=3483>>o5;?0;66gl5;29?j4f>3:17b{I00<>{#;mi1=>?4ief94?=h:ko1<75fc383>>d029086=4?{%1gg?75l2B8ji5C3gd95~"6?l0>>95ri`d94?=nlm0;66a=bd83>>{tk;0;6?uQc39>3?ga3ty95>4?:3y>3?4em2T9nh5rs3;7>5<5sWno7095de9~yg7403:1?7?53zN0bc<6sA8846s+3ea95675<7s-9oo7?=d:J0ba=K;ol1=v*>7d8661=zahl1<75fde83>>i5jl0;66s|c383>7}Yk;16;7oi;|q1=6<72;q6;7{I00<>{#;mi1=>?4ief94?=h:ko1<75fc383>>d029086=4?{%1gg?75l2B8ji5C3gd95~"6?l0>>95ri`d94?=nlm0;66a=bd83>>{tk;0;6?uQc39>3?ga3ty95>4?:3y>3?4em2T9nh5rs3;7>5<5sWno7095de9~yg73i3:1?7?53zN0bc<6sA8846s+3ea95675<7s-9oo7?=d:J0ba=K;ol1=v*>7d8661=zahl1<75fde83>>i5jl0;66s|c383>7}Yk;16;7oi;|q1=6<72;q6;7{I00<>{#;mi1=>?4ief94?=h:ko1<75fc383>>d029086=4?{%1gg?75l2B8ji5C3gd95~"6?l0>>95ri`d94?=nlm0;66a=bd83>>{tk;0;6?uQc39>3?ga3ty95>4?:3y>3?4em2T9nh5rs3;7>5<5sWno7095de9~yg72;3:1?7?53zN0bc<6sA8846s+3ea95675<7s-9oo7?=d:J0ba=K;ol1=v*>7d8661=zahl1<75fde83>>i5jl0;66s|c383>7}Yk;16;7oi;|q1=6<72;q6;7{I00<>{#;mi1=>?4ief94?=h:ko1<75fc383>>d029086=4?{%1gg?75l2B8ji5C3gd95~"6?l0>>:5ri`d94?=nlm0;66a=bd83>>{tk;0;6?uQc39>3?ga3ty95>4?:3y>3?4em2T9nh5rs3;7>5<5sWno7095de9~yg73:3:197=57z&0`f<6<;1b>o>50;9j665=831b>>;50;9j660=831d=>750;9a56c=83>1<7>t$2f`>22<@:lo7d?84;29?l70=3:17d?86;29?j4>13:17pl>3c83>1<729q/?im5759K7cb5<5<53;294~"4lj095l5G3gf8m4132900e<9::188k7?>2900q~k51648945e28==7p}=3283>6}Y::901<=j:057?874j3;<86s|22794?4|V;9>70?{t::<1<7{t9:k1<77?>34;8h7?84:p56d=838p1<=m:3;:?874l3;<96srb06:>5<12:03w)=kc;37=>o5j90;66g=3483>>o5;?0;66g=3283>>o5il0;66a>4583>>d6<10;694?:1y'7ae=?<1C?kj4i057>5<6=44i055>5<N4nm1b=::50;9j523=831d>4750;9~f420290?6=4?{%1gg?4>l2B8ji5f16694?=n9>?1<75f16494?=h:031<75rs3`3>5<4sW8i<63>498233=:9=<1=::4}r001?6=:rT9?85215:952352z\173=:9=21=::4}r007?6=:rT9?>52154952352z\1e`=:9==1=:84}r370?6=:rT:89521559652z?20=<51016=9951668yv73>3:1>v3>4781=<=:9==1=:;4}|`21c<72?0?64u+3ea950`5<5<5<13:17pl>5c83>6<729q/?im5719K7cb5<3:18vP=a79>50c=9>?01<;6:056?872j3;<96s|22694?4|V;9?70?:e;340>{t::81<7{t::91<7{t9<21<77?>34;>h7?84:p50?=838p1<;6:3;:?872l3;<96s|14c94?4|58?i6?76;<36`?70?2wvn<;::186>5<7s-9oo798;I1e`>o6?=0;66g>7483>>o6??0;66g>7683>>i5100;66s|22094?5|V;9970?:f;006>;6=<0:;;5rs310>5<2sW88?63>438176=:9=31>>=4=07e>75434;>97?84:p662=839pR?=;;<36b?44<27:984>769~w7522908wS<<5:?207<5;<16=9752278yv44>3:1?vP=379>514=::<01<:6:315?xud=3:14vPl5:?2774l2:?213l850;6xZ7g134;>:751b=lm16=8=52cg8yv4fk3:1?vP=ab9>51g=lm16=9j52cg8yv4fm3:1?vP=ad9>51g=:ko01<:6:3cf?xu5j90;69uQ2c2894242;hn70?;2;0a4>;6<009n=5rs3`2>5<4sW8i=63>338g`>;6;109nh5rs3`1>5<4sW8i>63>3381f`=:9o<4}r30=?6=:r7:?54kd:?207<6;01v<:;:181873;3no70?;9;370>{t9<>1<7ab<58?>6?76;|q212<72;q6=885de9>50`=9<=0qp}l2;296~Xd:27::n4l5:p6d0=838pR?o9;<35g?4f>2wx>l650;0xZ7g?34;=o7lk50;0xZ7gb34;=o7o?50;0xZ7d634;=o7><50;0xZ75534;=o7<<2:p665=838pR?=<;<35g?44;2wx>>:50;0xZ75334;=o7<<4:p663=838pR?=:;<35g?44=2wx>>850;0xZ75134;=o7<<6:~fg0=8391=7=tL2de>4}O::20qA:?0;3x 04>2:1v(>jl:36f?lbc2900c?lj:188mf4=831i;7>53;294~"4lj0:>i5G3gf8md`=831bhi4?::m1f`<722wxo?4?:3y]g7=:?3km7p}=9283>7}:?38ii6P=bd9~w7?32909wSjk;<59`a=zuk;n87>53;397~J4no0:wE<<8:O056=9r.>>44<;|&0`f<57>5;c594?5=83:p(>jl:00g?M5al2cjj7>5;hfg>5<5<5sWi97095ag9~w7?42909w0952cg8Z7db3ty9594?:3y]`a=:?3no7psm23c94?5=939p@>hi:0yK66>;<7?t$40:>6=z,:nh6?:j;hfg>5<50z&0`f<6:m1C?kj4i`d94?=nlm0;66a=bd83>>{tk;0;6?uQc39>3?ga3ty95>4?:3y>3?4em2T9nh5rs3;7>5<5sWno7095de9~yg5bk3:1?7<54zN0bc<6sA8846sC41295~"2:0087p*>od:3:17o950;194?6|,:nh6<ofn3:17djk:188k7db2900qom9:181>5<7s-9oo7?8f:J0ba=n9>91<75`28;94?=z{j81<790q~<63;296~;02hl01n8528;8yv4><3:1>v38:3`f?[4em2wx>4;50;0xZab<5>0oh6srb00;>5<42;0?wA=if;3xL75?3tF?<=4>{%71=?55;ha1>5<0;6>4?:1y'7ae=9;n0D>hk;hce>5<5<5s4=1>ok4^3`f?xu51<0;6?uQde9>3?bc3twi=<=50;596?d|D:lm6h29<0;7);=1;1ba>h29>0:7p*65}[1b6?4|;h08h7sf3`d94?=nk;0;66g>i5k10;6)h5jk0;76a=c783>!4ek38h;6`=bc82?>i5k=0;6)h5jk0976a=bg83>!4ek38h;6`=bc80?>d0290i684l{M1eb?7|@;937pB;0184!35>3>?n6*:1e8g`>"29o09nh5+50`9g7=i=8?1<6*:2080e`=i=8=1=6s+3ea961gv=7:249y_5f:38p?l4<3;j7d`=831bo?4?::k0e`<722e9o54?:%0ag?4d?2d9no4?;:m1g3<72-8io75$3``>`0ob;3:1(?ll:d48j7de2;10eik50;&1ff2d9no4<;:`4>5<22=03wA=if;3xL75?3tF?<=46{%712?23j2.>=i4kd:&65c<5jl1/9><4>?4=92w/?im525`8mf4=831bhi4?::m1f`<722c8h;4?::k0e`<722h<6=4<:080I5an3;pD?=7;|&0`f<5<;1bhi4?::m1f`<722ch>7>5;c594?5=83:p(>jl:00g?M5al2cjj7>5;hfg>5<5<5sWi97095ag9~w7?42909w0952cg8Z7db3ty9594?:3y]`a=:?3no7psm43194?5=83:p(>jl:638L6`c3`;<87>5;h341?6=3f8257>5;|`702<72:0;6=u+3ea9629096=4?{%1gg?70n2B8ji5f16194?=h:031<75rsb094?4|Vj80144>729~wab=838pRij4=564>4123ty9nh4?:3y]6gc<5>09nh5rs2f5>5<5sW9o:63;468231=z{:kn6=4={_1ba>;3::0:;95rs983>7}:?3i9707528;8yv23>3:1>v3;228230=:<==1>474}r616?6=:r7<6ij4=500>7?>3twim7>54;192~J4no0:wE<<8:O056=?r.>>;4;4c9'14b=lm1/9h7dm=:188mab=831d>ok50;9j7dc=831i;7>53;397~J4no0:wE<<8:'7ae=:=80eij50;9l6gc=831bo?4?::`4>5<4290;w)=kc;31`>N4nm1bmk4?::kg`?6=3f8ii7>5;|q`6?6=:rTh>638:`d8yv4>;3:1>v38:3`f?[4em2wx>4:50;0xZab<5>0oh6srb500>5<4290;w)=kc;52?M5al2c:;94?::k230<722e9544?::a=?6=:3:12900q~m=:181[e53431=:=4}rfg>5<5sWno70:=3;341>{t:ko1<7=838p1:4l2:?:>7?>3ty?>?4?:3y>3?bc34>9?7<69:~f4d=83>1?78tL2de>4}O::20qA:?0;5x 0412=>i7);>d;fg?!36n38ii6*:1c8`6>h29<0;7);=1;1ba>h29>0:7p*4}O::20q)=kc;076>ocl3:17b5}#;mi1=?j4H2dg?lga2900eij50;9l6gc=831vn<50;0xZf4<5>0jj6s|28194?4|5>09nh5Q2cg8yv4><3:1>vPkd:?4>ab>4?:283>5}#;mi1;<5G3gf8m4132900e<9::188k7?>2900qo750;094?6|,:nh6<9i;I1e`>o6?:0;66a=9883>>{tk;0;6?uQc39>=?70;2wxhi4?:3y]`a=:<;91=:;4}r0aa?6=:rT9nh527;0aa>{t;ho1<7075=:030qpl=7;290?5=>rF8jk4>{I00<>{K<9:1;v*:27870g=#=8n1hi5+50d96gc<,<;i6n<4n436>5=#=;;1?lk4n434>4=z,:nh6?:l;ha1>5<{I00<>{#;mi1>9<4ief94?=h:ko1<75fc383>>d029086=4?{%1gg?75l2B8ji5fag83>>ocl3:17bvPl2:?4>d`52z?4>7db3W8ii6s|28694?4|Vmn01:4kd:~f14429086=4?{%1gg?163A9mh6g>7583>>o6?<0;66a=9883>>{e13:1>7>50z&0`f<6?o1C?kj4i050>5<5<5sWi970751618yvbc2909wSjk;<617?70=2wx>ok50;0xZ7db34=1>ok4}r1ba?6=:rT8mh524319522;>2;327p};2383>7}:?3no70:=3;0:=>{zj;n:6=4<:183!5ck382m6Foi:180[5fn27<6>j9;<0g5?70=2wxo?4?:4y]g7=:?3i970o5c39>5goj;<3a>6gb348<6>oj;<0g5?4>12wx>n650;0xZ7e?348<6?lj;%1b0?4d?2d8m>4?;|q1g3<72;qU>n84=0`96gc<,:k?6?m8;o1b7?752z\1g1=:i38ii6*6s|2cd94?4|V;hm70952cg8 6g32;i<7c=n3;18yvc02909wSk8;<04>ab<,:k?6h84n2c0>5=z{l?1<74?:3y]a6=:i3no7)=n4;g5?k5f;380q~jj:181[bb34=1hi5+3`69a3=i;h91?6srb3:7>5=h4i7:&66`7}4j39?6pa<9883>!4ek39246`=bc83?>i41>0;6)h5jk0:76a<9783>!4ek39246`=bc81?>i41;0;6)h5jk0876gif;29 7dd2oo0b?lm:198mcb=83.9nn4ie:l1fg<632cmo7>5$3``>ccd5000;6>4>:2yO7c`=9rB9?55r$2f`>7003`l86=44ig694?=h;0;1<75m41;94?5=;3?p(>jl:52:?l`42900ek:50;9l7<7=831i8=850;194?6|,:nh6:?4H2dg?l70<3:17d?85;29?j4>13:17pl;0683>6<729q/?im5709K7cb5<759~wc2=839pRk:4=525>41334>;;7?85:p7<7=838pR>7>;<6312wx8=;50;0x91612;3270:?8;340>{t<9<1<77?>34>;47?85:~wc5=838pRk=4=52:>c57}Y;0;019>6:2;2?x{e:1k1<7=51;1xH6`a28qC>>64}%1gg?41?2cm?7>5;hd7>5<5<42:0>w)=kc;63=>oa;3:17dh;:188k6?62900n9>9:180>5<7s-9oo79>;I1e`>o6?=0;66g>7483>>i5100;66sm41594?5=83:p(>jl:638L6`c3`;<87>5;h341?6=3f8257>5;|`74=<72:0;6=u+3ea96749~w6?62909wS=61:?74=<5101v9>::181827>382563;098231=z{=:=6=4={<633?4>127?<54>749~yv`42909wSh<;<63=?`43tym87>52z\e0>;3800m86s|38394?4|V:3:70:?9;1:5>{zj;2i6=4<:080I5an3;pD?=7;|&0`f<5>>1bj>4?::ke0?6=3f92=7>5;c63=?6=;3919v*93:17o:?6;297?6=8r.8hn481:J0ba=n9>>1<75f16794?=h:031<75rb524>5<4290;w)=kc;52?M5al2c:;94?::k230<722e9544?::a05>=8391<7>t$2f`>7?f3A9mh6g>7583>>o6?<0;66a=9883>>{tn:0;6>uQf29>050=9>?019>8:057?xua<3:1?vPi4:?743<6?=168=951678yv5>93:1>vP<909>05>=:030q~:?5;296~;38?09545241:9522;:7>52z?742<510168=651678yxua;3:1>vPi3:?74<5<5sW92=63;0880=4=zuk>9?7>53;294~"4lj0<<6F5<7s-9oo79?;I1e`>o6?=0;66g>7483>>i5100;66sm3`394?4=83:p(>jl:05e?M5al2c:;>4?::m1=<<722wx8?<50;1x97>f2o9019<<:3;:?825=3;<96s|43694?4|5;2i6k=4=506>7?>3ty8544?:3y]77>;%1b0?5>02d8m>4?;|q0=2<72;qU?494=3:b>6?63-9j87=68:l0e6<63ty85;4?:3y]7<0<5;226>7>;%1b0?5>02d8m>4=;|q0=7<72;qU?4<4=2c2>7?>3-9j87=68:l0e6<43tymj7>52z\eb>;50k0m86*h4i:0;7p}id;297~Xal2794l4i4:?760<6?=1/?l:5fd9m7d5=92wxjn4?:2y]bf=::131j9524319522<,:k?6kk4n2c0>7=z{o21<7:t^g:897>>2o9019<<:056?85f93;h4i:087ps|3`d94?4|V:km70953`d8yve52909wSm=;<59g7=z{:kn6=4={_1ba>;02:kn7p}=c983>6}Y:j201:4=c99>6=2=no1/?l:52b58j6g4291v?m9:180[4d>27<6?m9;<0;0?`c3-9j8709o9522969bf=#;h>1>n94n2c0>7=z{;hm6=4<{_0ab>;02;hm70<74;d;?!5f<38h;6`0n963=8580=2=#;h>18<<4n2c0>4=z{=;;6=4={<59a6=::1>1?484$2c7>1753g9j?7<4}r63g?6=:r7<6ik4=3:7>6?53-9j87:>2:l0e6<43twi8ih50;797?0|D:lm6b;a1?k36=3;0(8?l:b18j071291/9??53`g8j070281v(>jl:36g?le52900en=50;9j`a<722e9nh4?::k0e`<722h<6=4::386I5an3;pD?=7;|&0`f<5<;1bhi4?::m1f`<722ch>7>5;ha0>5<2g9K7cb>ofn3:17djk:188k7db2900qo=ie;295?6=8r.8hn4>739K7cb5<5sWi97095ag9~wf5=838pRn=4=68ae>{t:091<741634=1nn5rs3;7>5<5s4=1>ok4^3`f?xu51<0;6?uQde9>3?bc3twi8?=50;094?6|,:nh6<9i;I1e`>o6?:0;66a=9883>>{e<:21<7=50;2x 6bd2;3i7E=id:k231<722c:;84?::m1=<<722wxo?4?:3y]g7=:?3i97p}l3;296~Xd;27??54>759~w7db2909wS7db3ty8mh4?:2y]7dc<5=886<9<;<60>4=989~w1502909w095c29>06>=:030qpl>b383>1<52{#;mi1>9h4ief94?=h:ko1<75fc383>>o>i3:17o950;694?6|,:nh6<oek3:17doi:188mab=831d>ok50;9~ff0=8381<7>t$2f`>41a3A9mh6g>7283>>i5100;66s|c383>7}Yk;16o;4>729~w7?42909w095ag9>g3<5101v4o50;0xZ0io6s|28694?4|5>09nh5Q2cg8yv4>=3:1>vPkd:?4>ab0}K;ol1=vF=399~H16728q/9?753:'7ae=:=l0eij50;9l6gc=831bo?4?::k:e?6=3k=1<7:50;2x 6bd288n7E=id:kag?6=3`km6=44ief94?=h:ko1<75rbb494?4=83:p(>jl:05e?M5al2c:;>4?::m1=<<722wxo?4?:3y]g7=:k?0:;>5rs3;0>5<5s4=1mk52c781=<=z{0k1<74:50;0x92<5jl1U>ok4}r0:1?6=:rToh638:ef8yxd6n;0;6>4>:2yO7c`=9rB9?55rL523>4}#=;31?6s+3ea961c5<7s-9oo7?=d:J0ba=nio0;66gkd;29?j4em3:17p}l2;296~Xd:27<6lh4}r0:7?6=:r7<6?lj;_0aa>{t:0>1<74<4sE9mj7?tH31;?xJ3890:w);=9;18y!5ck38?i6gkd;29?j4em3:17dm=:188f2<72:0;6=u+3ea957b<@:lo7doi:188mab=831d>ok50;9~wf4=838pRn<4=68bb>{t:091<751z&66<<43t.8hn4=4d9j`a<722e9nh4?::k`6?6=3k=1<7=50;2x 6bd288o7E=id:kbb?6=3`no6=44o3`f>5<>64}M634?7|,<826>5r$2f`>7013`no6=44o3`f>5<2e9K7cb>i5jl0;66smc783>7<729q/?im516d8L6`c3`;5;n0:=?6=3tyh>7>52z\`6>;d>3;0jj63l6;0:=>{t:0>1<7uC3gd95~N5;11v@9>?:0y'17?=;2w/?im52428mab=831d>ok50;9jg7<722h<6=4<:183!5ck3;9h6F7}:?38ii6P=bd9~w7?32909wSjk;<59`a=zuk>>n7>53;090~J4no0:wE<<8:O056=9r.>>44<;|&0`f<5>?1bhi4?::m1f`<722ch>7>5;c594?5=83:p(>jl:00g?M5al2cjj7>5;hfg>5<5<5290;w)=kc;34b>N4nm1b=:=50;9l652z?4>7db3W8ii6s|28794?4|Vmn01:4kd:~f1??29086?4;{M1eb?7|@;937pB;0182!351390q)=kc;052>ocl3:17b5}#;mi1=?j4H2dg?lga2900eij50;9l6gc=831vnn850;094?6|,:nh6<9i;I1e`>o6?:0;66a=9883>>{tk;0;6?uQc39>g3<6?:1v?7<:18181=io16o;4=989~w7?32909w0952cg8Z7db3ty9584?:3y]`a=:?3no7psm4bg94?5=:3>p@>hi:0yK66>;<7?t$40:>6=z,:nh6?89;hfg>5<50z&0`f<6:m1C?kj4i`d94?=nlm0;66a=bd83>>{ek?0;6?4?:1y'7ae=9>l0D>hk;h347?6=3f8257>5;|q`6?6=:rTh>63l6;347>{t:091<7;d>38256s|28694?4|5>09nh5Q2cg8yv4>=3:1>vPkd:?4>ab=}#;mi1=ko4o0d6>5<5<5<5<4290;w)=kc;52?M5al2c:;94?::k230<722e9544?::a5c1=8391<7>t$2f`>27<@:lo7d?84;29?l70=3:17b<69;29?xd6n10;694?:1y'7ae=:0n0D>hk;h340?6=3`;<97>5;h342?6=3f8257>5;|q2b0<72;qU=k;4=0d;>7?>3ty:ji4?:3y]5cb<58l26<9:;|q2b6<72;qU=k=4=0d:>4133ty9<>4?:3y]655<58l36<9:;|q2bg<72;qU=kl4=0d4>4133ty:j?4?:3y]5c4<58l<6<9:;|q2b3<72;q6=k7528;894`?28=?7p}>f683>7}:9o=1>474=0d;>4113twi=5m50;c90?b|,:nh6<6l;n3;1?6=3`;mh7>5;h3;5?6=3`;mi7>5;h3eb?6=3`8;<7>5;h035?6=3`;3?7>5;h3ef?6=3`;3<7>5;c3;f?6=;3:1N4nm1b=::50;9j523=831d>4750;9~f4>029086=4?{%1gg?163A9mh6g>7583>>o6?<0;66a=9883>>{e9131<7=50;2x 6bd2>;0D>hk;h340?6=3`;<97>5;n0:=?6=3th:4l4?:683>5}#;mi1>l=4H2dg?l70<3:17d?85;29?l70>3:17d?87;29?l7003:17d?89;29?j4>13:17p}>8483>7}Y91?01<6n:3;:?xu6nm0;6?uQ1gf894>e28=?7p}>8083>7}Y91;01<6m:056?xu6nl0;6?uQ1gg894>f28=>7p}>fg83>7}Y9ol01<6n:055?xu5890;6?uQ212894>f28=<7p}=0083>7}Y:9;01<68:056?xu60:0;6?uQ191894>028=?7p}>fc83>7}Y9oh01<66:057?xu6090;6?uQ192894>>28=>7p}>8783>7}:91h1>474=0:b>4133ty:4:4?:3y>5=1=:0301<6n:05;?xu6010;6?u219;9600;66g>fe83>>o6080;66g>fd83>>o6no0;66g=0183>>o5880;66g>fc83>>o1>3:17o8k:180>5<7s-9oo79?;I1e`>o6?=0;66g>7483>>i5100;66sm6c83>6<729q/?im5709K7cb5<5<0290;w)=kc;0b7>N4nm1b=::50;9j523=831b=:850;9j521=831b=:650;9j52?=831d>4750;9~w3?=838pR;74=7a9652z\2ba=:>m0:;95rs0:2>5<5sW;3=639d;341>{t9oo1<77p}>fg83>7}Y9ol01;m51648yv4783:1>vP=019>2f<6?>1v?>>:181[47927=o7?88:p5cd=838pR4133ty=:7>52z\52>;1j3;<96s|6`83>7}:>m0954526b8231=z{?h1<713:17pl>2783>6<729q/?im5709K7cb5<50z&0`f<092B8ji5f16694?=n9>?1<75`28;94?=zj8;h6=4<:183!5ck3=:7E=id:k231<722c:;84?::m1=<<722wi8h:50;694?6|,:nh6:=4H2dg?l70<3:17d?85;29?l70>3:17b<69;29?xd6j=0;694?:1y'7ae=:0l0D>hk;h340?6=3`;<97>5;h342?6=3f8257>5;|`7<<<72:0;6=u+3ea964?:1y'7ae=:0k0D>hk;h340?6=3`;<97>5;n0:=?6=3th:>84?:483>5}#;mi1;45G3gf8m4132900e<9::188m4112900e<98:188k7?>2900qo?>e;291?6=8r.8hn48a:J0ba=n9>>1<75f16794?=n9><1<75f16594?=h:031<75rb003>5<2290;w)=kc;5;?M5al2c:;94?::k230<722c:;;4?::k232<722e9544?::a0`0=83?1<7>t$2f`>2><@:lo7d?84;29?l70=3:17d?86;29?l70?3:17b<69;29?xd3190;6>4?:1y'7ae=?81C?kj4i057>5<6=44o3;:>5<297>53;294~"4lj095l5G3gf8m4132900e<9::188k7?>2900qo:62;297?6=8r.8hn480:J0ba=n9>>1<75f16794?=h:031<75rb001>5<4290;w)=kc;0:e>N4nm1b=::50;9j523=831d>4750;9~f4`3290?6=4?{%1gg?133A9mh6g>7583>>o6?<0;66g>7783>>i5100;66sm1ga94?>=83:p(>jl:3c7?M5al2c:;94?::k230<722c:;;4?::k232<722c:;54?::k23<<722c:;l4?::m1=<<722wi=5<50;194?6|,:nh6:>4H2dg?l70<3:17d?85;29?j4>13:17pl>8d83>6<729q/?im528c8L6`c3`;<87>5;h341?6=3f8257>5;|`2<1<72:0;6=u+3ea934=O;on0e<9;:188m4122900c?76:188yg7713:187>50z&0`f<0<2B8ji5f16694?=n9>?1<75f16494?=h:031<75rb02e>5<2290;w)=kc;0b4>N4nm1b=::50;9j523=831b=:850;9j521=831d>4750;9~f46e29086=4?{%1gg?173A9mh6g>7583>>o6?<0;66a=9883>>{e<>0D>hk;h340?6=3`;<97>5;h342?6=3f8257>5;|`724<72?0;6=u+3ea96d4<@:lo7d?84;29?l70=3:17d?86;29?l70?3:17d?88;29?j4>13:17pl;9d83>1<729q/?im5759K7cb5<5<j<7>53;294~"4lj0<=6F5<7s-9oo7>1<75f16794?=n9><1<75f16594?=n9>21<75`28;94?=zj=k96=4<:183!5ck3=;7E=id:k231<722c:;84?::m1=<<722wi8i:50;194?6|,:nh6:?4H2dg?l70<3:17d?85;29?j4>13:17pl;d`83>3<729q/?im52`08L6`c3`;<87>5;h341?6=3`;<:7>5;h343?6=3`;<47>5;n0:=?6=3th?h;4?:283>5}#;mi1;=5G3gf8m4132900e<9::188k7?>2900qo=je;296?6=8r.8hn4>7g9K7cb5<n47>52;294~"4lj0:;k5G3gf8m4142900c?76:188yg7>:3:1>7>50z&0`f<6?o1C?kj4i050>5<2900qo:n4;296?6=8r.8hn4>7g9K7cb5<o47>52;294~"4lj0:;k5G3gf8m4142900c?76:188yv7>83:18vP>919>5c7=::<01<7?:3`f?87?m3;<96s|4d;94?4|V=o270=je;347>{t<{t91:1<7:t^0:3?87?838ii63>8b82<5=:1=:;4}r324?6=;rT:==5213:9`a=:98i1=::4}r6`5?6=:rT?o<521c695227>54z\2f7=:9o;1>>;4=0`1>7db34;3i7?84:pf6<721qUn>52b78g`>;4mj0h>63>298`6>;69:0h>63;dg8`6>;6j;0h>63>918`6>{t9m?1<77m=;<3;4?e534<=6n<4=5:4>f4<58:<6n<4=57a>f4<5=336n<4=5af>f4247>53z\7===:<021>ok4=5c3>4133ty:h<4?:3y]5a7<5=k96<9;;|q:g?6==rT2o63>f08171=:<081=::4=0d7>41234;3>7?85:p0fc=839pR9mj;<6`a?4em27?h94>759~w77d2909wS<>c:?7`3<6?=1v??k:181[46l279>l4kd:p032=838pR98;;<3:6?70;2wx85950;0xZ1>034>3;712wx:;4?:2y]23=:>?09nh526d852>{t278231=z{88?6=4={<312?70=27:>84=989~wg0=83=p1:310?8d12;hn70?i4;340>;60=0:;95211;9522<5=?o6<9;;<6:a?70<2wx=h:50;1x94c32;hn70?j6;340>;31l0:;85rs02`>5<5s4;;;7jk;<33`?4>12wx==k50;0x946a2;3270??b;341>{t9k91<7ab<58h?6?76;|q7=1<72;q684;528;891?528=>7p}7}:;li1>ok4=5g7>4113ty?9n4?:3y>00b=:030198>:057?xu3l00;6?u24ec96{t7?>34>om7?85:p0a3=838p19j9:3;:?82c03;b2;3270?74;341>{t9831<76gb34;:m7<69:p54d=839p11b81=<=z{88:6=4={<32e?70=27:>?4=989~w1072909w0:91;0:=>;3=o0:;>5rs5g0>5<5s4>oj7=ne:?7a1<5101v<7>:18187>83no70?62;0:=>{t<0n1<7=t=5;f>7?>34>j:7?84:?7`d<6?=1v97i:18182f8382563;a78230=z{=k:6=4={<6b6?4>127?m94>729~w1>?2909w0:77;fg?82?138256s|23c94?5|5;8j6?lj;<3f2?70=27:<44>749~w4442909w0?=6;0:=>;6j=0:;;5rs0g6>5<1s4;m=7<<2:?2a3<5101684>5166894`328==70?72;340>;3=m0:;85rs02;>5<5s4;;h7?84:?24<<5101v<>n:181877l3;<963>0c81=<=z{8l>6=4={<3e6?bc34;mm7?i5:p0=`=838p197?:3;:?82>=3;<96s|48394?4|5=386<9<;<6:6?4>12wx=kl50;6x94`f28li70?7c;3ef>;1m3;mn63>fb81=<=z{:oo6=4={<1fg?bc349ni7<69:p5=3=838p1<6?:ef894>d282>7p}99;296~;1>3no708j:7;8yv76l3:1?v3>1d81=<=:9;81=::4=5g;>4143ty:=k4?:3y>576=:0301<<=:056?xu6nm0;65u21g396d0<58lj6{t9oo1<76t=0d2>7g?34;3o7?ie:?5a?7am27:jn4>749>55`=9>>0198>:056?82f83;<963;d58230=z{8lm6=47{<3e5?4fk27:4n4>fg9>2`<6no16=km51648946a28=>70:91;342>;3i?0:;;524ec952059z?2b4<5il16=5m5212893c=:9:01966:057?87ak3;<;63>0g8233=:41034>om7?87:p657=833p1:3`3?87?k38;=639e;035>;3000:;8521ga952><58:m6<98;<655?70027?m;4>799>0ag=9>20q~53z?2b4<5j;16=ko5211894`d28=j7p};5d83>7}:<o;7>52z?7g`{t91;1<7=t=0:`>4>634;<3;6?4>12wx=5=50;0x94>d282870?74;0:=>{t41334>n:7<69:p54>=83?p11d8232=:9;:1=:94=5g5>4123-9j87?>7:l0e6<73ty:=;4?:4y>545=:j<01<<::055?876m3;<:63>218230=:4703g9j?7?4}r321?6==r7:=>4=c59>573=9>?011=<94n2c0>7=z{8;?6=4:{<327?4en27:>84>759>54c=9>>01<3;<:6*5?:3ym17g=011/9?756:'7ae=jm1Q?l<56z1`>76=:;0:=7:>:349ylde2900e>l::188md0=831d8;o50;9j546=831b84850;9jf6<722e8;54?::m7g1<722e2o7>5;h1e2?6=3f8o>7>5;c01f?6=?3;1;vB51z&66<<73t.8hn4>719jg7<722e9m;4?::m1e=<722e9mn4?::m1e`<722c9??4?::k176<722h::n4?:68;>g}K;ol1=vF=399~ 6bd2;8i7d<<2;29?l44;3:17dm::188k7g12900c?o7:188k7gd2900c?oj:188f45529086<4<{M1eb?7|@;937p*>od:3:17o950;194?6|,:nh6<J4no0:w)?8e;710>{nio0;66gkd;29?j4em3:17p}l2;296~Xd:27<6lh4}r0:7?6=:r7<6?lj;_0aa>{t:0>1<74<4sE9mj7?tH31;?x"4lj0:?<5fde83>>i5jl0;66gl2;29?g1=8391<7>t$2f`>44c3A9mh6B>ocl3:17bvPl2:?4>d`52z?4>7db3W8ii6s|28694?4|Vmn01:4kd:~f450290>6?49{%1gg?74?2c:??4?::k177<722c9?>4?::k27=<722e:?>4?::`273<72=0;6=u+3ea936=O;on0e<9;:188m4122900e<99:188k7?>2900qo?<5;297?6=8r.8hn4=9`9K7cb5<7>52z\177=:9:<1=::4}r007?6=:rT9?>52124952352z\27==:9:<1=:84}r307?6=:rT:?>521279652z?273<51016=>;51678yxd6<;0;694<:7y'7ae=9=80e?==:188m45?2900e<==:188k45>2900n<=j:180>5<7s-9oo79>;I1e`>o6?=0;66g>7483>>i5100;66sm12`94?5=83:p(>jl:638L6`c3`;<87>5;h341?6=3f8257>5;|`27a<72:0;6=u+3ea96uQ2208945b28=?70?{t9:21<7{t9:31<7l50;0x945e2;3270?{zj;k<6=4<:183!5ck3=97E=id:k231<722c:;84?::m1=<<722wi>ll50;194?6|,:nh6:?4H2dg?l70<3:17d?85;29?j4>13:17pl=ae83>6<729q/?im5719K7cb5<N4nm1b=::50;9j523=831d>4750;9~w455290;6;>0:??521509564<5;k<6<9:;<0bf?70<279mi4>749>6d`=9>?0q~?<8;293~;6;109nh52125956><58>96<=7;<0b3?70<279mo4>749>6db=9>>01?oi:057?xu5;;0;6>uQ220894502;9970?;2;006>{t::91<76}Yk<16=><5c39>56>=k;1v?o9:181[4f>279m:4=989~w7g?2909wS{t9:31<7ab<58>96<=6;|pg7<72;qUo?5217a9g0=z{;k=6=4={_0b2>;6>j09m;5rs3c;>5<5sW8j463>6b81e==z{;kh6=4={_0bg>;6>j09mn5rs3cf>5<5sW8ji63>6b81e`=z{;996=4={_006>;6>j09??5rs310>5<5sW88?63>6b8176=zuk;947>55;091~J4no0:wE<<8:O056=>r.>>44?;%712?23j2.>=i4kd:&65c<5jl1/9>i5k=0;6)h5jk0;76a=bg83>!4ek38h?6`=bc82?>ob;3:1(?ll:d08j7de2910eik50;&1ff;:`4>5<42808wA=if;3xL75?3t.8hn4=4d9j`a<722e9nh4?::k`6?6=3k=1<7=50;2x 6bd288o7E=id:kbb?6=3`no6=44o3`f>5<5<7s-9oo7?=d:J0ba=nio0;66gkd;29?j4em3:17p}l2;296~Xd:27<6lh4}r0:7?6=:r7<6?lj;_0aa>{t:0>1<70h>63n:b08yv4d<3:1>vP=c59>e?4em2.8m94=c29m7d5=82wx>oh50;0xZ7da34=1>ok4$2c7>7e43g9j?7?4}rg0>5<5sWo870o5de9'7d2=m;1e?l=50:p``<72;qUhh527;fg?!5f<3o97c=n3;38yxde>3:197<55zN0bc<6sA8846sC41292~"2:00;7);=6;67f>"29m0oh6*:1g81f`=#=8h1o?5a50795>{#;mi1>8?4Z`g96~432;91qW=n2;0x60<593wbo?4?::m1g1<72-8io7;:kf7?6=,;hh6h<4n3`a>5=h5jk0:76l8:180>4<4sE9mj7?tH31;?x"4lj098h5fde83>>i5jl0;66gl2;29?g1=8391<7>t$2f`>44c3A9mh6gnf;29?lbc2900c?lj:188yve52909wSm=;<59ec=z{;386=4={<596gc7}Ylm16;7jk;|ae?6=;3;1?vBok50;9jg7<722h<6=4<:183!5ck3;9h6F5;n0aa?6=3tyh>7>52z\`6>;02hl0q~<63;296~;02;hn7S{z{j81<7=t^b0892"4i=09o>5a3`194>{t:kl1<7o;:3a0?k5f;3;0q~k<:181[c434k1hi5+3`69a7=i;h91<6s|dd83>7}Yll16;7jk;%1b0?c53g9j?7?4}|`7=2<72:0:6>uC3gd95~N5;11v@9>?:0y'17?=82w/?im525g8mab=831d>ok50;9jg7<722h<6=4<:183!5ck3;9h6F5;n0aa?6=3tyh>7>52z\`6>;02hl0q~<63;296~;02;hn7S{zj:no6=48:38aI5an3;pD?=7;|N7454$405>12>3->?o7;=b:&65c<5jl1/9=n4l3:l653<63-?9=7=ne:l652<63t.8hn4=3g9Ye`<6s:21qW=n2;0x7d<4l3wbo?4?::k`7?6=3`9ji7>5;n0`5$3``>7e03g8in7?4;n0`0?6=,;hh6?m8;o0af?4<3f8ij7>5$3``>7e03g8in7=4;c594?d=<3hp@>hi:0yK66>;<77t$405>12e3-?:h7jk;%72b?4em2.>=o4l2:l650<63-?:o7m<;o722?7<,<8:6>oj;o723?7=83.9nn4=c69m6gd=821d>n850;&1ff<5k>1e>ol51:9l6f2=83.9nn4=c69m6gd=:21d>oh50;&1ff<5k>1e>ol53:9ja2<72-8io7k9;o0af?6<3`o>6=4+2ca9a3=i:kh1=65fe283>!4ek3o=7com5e79m6gd=;21i;7>55;193~J4no0:wE<<8:O056=1r.>>;4;4c9'14b=lm1/95;n0aa?6=3`9ji7>5;c594?3=:3?p@>hi:0yK66>5;ha1>5<>d0290>6=4?{%1gg?75n2B8ji5C3gd95~"6?l0>>95ricc94?=njj0;66gnf;29?lbc2900c?lj:188yg5am3:1=7>50z&0`f<6?;1C?kj4o052>5<0im6s|28194?4|5:ln6<9>;<59ff=z{;3?6=4={<596gc7}Ylm16;7jk;|a075=8391<7>t$2f`>27<@:lo7d?84;29?l70=3:17b<69;29?xd3;10;6>4?:1y'7ae=:0k0D>hk;h340?6=3`;<97>5;n0:=?6=3tyh>7>52z\`6>;02j80q~m<:181[e434>847?85:p`a<72;qUhi52431952352z\1f`=:?38ii6s|3`g94?5|V:kn70:=3;340>;3;10:;95rs501>5<5s4=1hi52431968;7>52z?4>f5<5=936?76;|ae?6==391;vB59z&663<3><44?::kg`?6=3f8ii7>5;h1ba?6=3k=1<7;52;7xH6`a28qC>>64}%1gg?43:2coh7>5;n0aa?6=3`i96=44ib194?=njj0;66l8:186>5<7s-9oo7?=f:J0ba=K;ol1=v*>7d8661=zakk1<75fbb83>>ofn3:17djk:188k7db2900qo=ie;295?6=8r.8hn4>739K7cb5<5sWi97095ag9~wf5=838pRn=4=68ae>{t:091<741634=1nn5rs3;7>5<5s4=1>ok4^3`f?xu51<0;6?uQde9>3?bc3twi8?=50;194?6|,:nh6:?4H2dg?l70<3:17d?85;29?j4>13:17pl;3983>6<729q/?im528c8L6`c3`;<87>5;h341?6=3f8257>5;|q`6?6=:rTh>638:b08yve42909wSm<;<60{t;ho1<7=t^2cf?825;3;<863;398231=z{=896=4={<59`a=:<;91>474}r603?6=:r7<6n=4=51;>7?>3twi=o4?:480>2}K;ol1=vF=399~H16720q/9?8545`8 07c2mn0(8?i:3`f?!36j3i97c;>5;38 07d2j90b8?9:09'177=;ho0b8?8:09~ 6bd2;?>7dm=:188mf5=831bhi4?::m1f`<722c8mh4?::`4>5<22;0>wA=if;3xL75?3t.8hn4=439j`a<722e9nh4?::k`6?6=3`i86=44ica94?=e?3:197>50z&0`f<6:o1C?kj4L2de>4}#9>o19?:4}h`b>5<>ocl3:17b80D>hk;n345?6=3tyh>7>52z\`6>;02hl0q~m<:181[e434=1nl5rs3;0>5<5s49mi7?81:?4>ge52z?4>7db3W8ii6s|28794?4|Vmn01:4kd:~f14429086=4?{%1gg?163A9mh6g>7583>>o6?<0;66a=9883>>{e<:21<7=50;2x 6bd2;3j7E=id:k231<722c:;84?::m1=<<722wxo?4?:3y]g7=:?3i97p}l3;296~Xd;27??54>749~wab=838pRij4=500>4123ty9nh4?:3y]6gc<5>09nh5rs2cf>5<4sW9ji63;228231=:<:21=::4}r616?6=:r7<6ij4=500>7?>3ty??:4?:3y>3?e434>847<69:~f71=83?1?79tL2de>4}O::20qA:?0;;x 0412=>i7);>d;fg?!36n38ii6*:1c8`6>h29<0:7);>c;a0?k36>3;0(8<>:2cf?k36?3;0q)=kc;062>od:3:17dm<:188mab=831d>ok50;9j7dc=831i;7>55;091~J4no0:wE<<8:'7ae=:=80eij50;9l6gc=831bo?4?::k`7?6=3`hh6=44b683>0<729q/?im513d8L6`c3E9mj7?t$05f>0433tcim7>5;h``>5<>i5jl0;66sm3gg94?7=83:p(>jl:051?M5al2e:;<4?::pg7<72;qUo?527;ce?xud;3:1>vPl3:?4>gg52z?0b`<6?816;7ll;|q1=1<72;q6;76=4={_fg?81=lm1vqo:=3;297?6=8r.8hn481:J0ba=n9>>1<75f16794?=h:031<75rb51;>5<4290;w)=kc;0:e>N4nm1b=::50;9j523=831d>4750;9~wf4=838pRn<4=68`6>{tk:0;6?uQc29>06>=9>?0q~jk:181[bc34>9?7?85:p6gc=838pR?lj;<596gc53z\0e`=:<;91=::4=51;>4133ty?>?4?:3y>3?bc34>9?7<69:p061=838p1:4l3:?77=<5101vq~m=:186[e534=1o?52a;a1?87e2j801?95c39~wf5=83?pRn=4=68`7>;f2j90162;5?38ii6*4<;|qf3?6=:rTn;63=7;fg?!5f<3o=7c=n3;28yvc22909wSk:;<3a>ab<,:k?6h84n2c0>4=z{l91<752z\ga>;02mn0(>o;:d48j6g42:1vqo<74;29{I00<>{K<9:19v*:278701=#=8o1j:5+53g9e>"2:9085<5+53f93>{#;mi1>>o4Z`g96~5>2:=1qW=n2;0x7g<4<3wd?4750;&1ff<4111e>ol50:9l7<1=83.9nn4<999m6gd=921d?4850;&1ff<4111e>ol52:9l7<4=83.9nn4<999m6gd=;21bjk4?:%0ag?`b3g8in7>4;hdg>5<#:ki1jh5a2c`95>=nnj0;6)ol53:9a6=?=8391=7=tL2de>4}O::20q)=kc;053>oa;3:17dh;:188k6?62900n9>6:180>6<2s-9oo7:?9:ke7?6=3`l?6=44o2;2>5<13:17pl;0983>6<729q/?im528c8L6`c3`;<87>5;h341?6=3f8257>5;|qe7?6=;rTm?63;078230=:<9=1=::4}rd7>5<4sWl?70:?6;340>;38>0:;85rs2;2>5<5sW92=63;0981=<=z{=:>6=4={<632?4>127?<54>759~w1612909w0:?7;0:=>;3810:;85r}rd0>5<5sWl870:?9;d0?xua<3:1>vPi4:?74<;57=61:~f7>f29086<4<{M1eb?7|@;937p*93:17o:?9;297?5==r.8hn4;089jb6<722cm87>5;n1:5?6=3k>;:7>53;294~"4lj0<=6F8:180>5<7s-9oo79>;I1e`>o6?=0;66g>7483>>i5100;66sm41:94?5=83:p(>jl:3;b?M5al2c:;94?::k230<722e9544?::pb6<72:qUj>524149523<5=:<6<9;;|qe0?6=;rTm863;078231=:<9=1=:;4}r1:5?6=:rT85<5241:96;97>52z?743<510168=651668yv27>3:1>v3;0681=<=:<921=:;4}|qe7?6=:rTm?63;088e7>{tn=0;6?uQf59>05?=n=1v>7>:181[5>927?<44<909~yg4?j3:1?7?53zN0bc<6sA8846s+3ea9631>i4180;66l;0883>6<425}#;mi1;<5G3gf8m4132900e<9::188k7?>2900qo:?7;297?6=8r.8hn481:J0ba=n9>>1<75f16794?=h:031<75rb52;>5<4290;w)=kc;0:e>N4nm1b=::50;9j523=831d>4750;9~wc5=839pRk=4=525>41234>;;7?84:pb1<72:qUj9524149522<5=:<6<9:;|q0=4<72;qU?4?4=52;>7?>3ty?<84?:3y>050=:03019>7:057?xu38?0;6?u2415965241;9b6=z{o>1<72o>0q~=61;296~X418168=753838yxd3::0;6>4?:1y'7ae=?91C?kj4i057>5<6=44o3;:>5<997>53;294~"4lj0<<6Fo>:181>5<7s-9oo7?8f:J0ba=n9>91<75`28;94?=z{=896=4<{<0;e?`434>9?7<69:?760<6?<1v9<;:18184?j3l870:=5;0:=>{t;031<7"4i=08555a3`195>{t;0<1<76s|38094?4|V:3970=n1;0:=>"4i=08555a3`197>{tno0;6?uQfg9>6=d=n=1/?l:5fd9m7d5=82wxji4?:2y]ba=::1k1j9524379522<,:k?6kk4n2c0>4=z{oi1<7=t^ga897>>2o>019<<:057?!5f<3ln7c=n3;08yv`?290?wSh7;<0;=?`434>9?7?85:?0e4<6?:1/?l:5fd9m7d5=;2wvn<50;0xZf4<5>0h>6s|c283>7}Yk:16;7m<;|q0e`<72;qU?lk4=680e`=z{;i36=4<{_0`<>;02;i370<74;de?!5f<38h;6`uQ2b4892<5k?16>5:5fe9'7d2=:j=0b>o<:09~w7e32908wS7e3348387hl;%1b0?4d?2d8m>4=;|q1fc<72:qU>oh4=681fc=::1>1j55+3`696f15rs530>5<5s4=1i:5229697:=7>52z?4>`3<5;2?6>78;%1b0?26:2d8m>4>;|q755<72;q6;7k<;<0;0?5>>2.8m94;139m7d5=:2wx8=m50;0x92o<:29~yg5b;3:197<55zN0bc<6sA8846sC41295~"2:00;7p*>od:3:17dm<:188mge=831i;7>55;294~"4lj0:>k5G3gf8mgg=831bnn4?::kbb?6=3`no6=44o3`f>5<51;294~"4lj0:;?5G3gf8k4162900q~m=:181[e534=1mk5rsb194?4|Vj901:4ma:p6<5=838p1>hj:052?81=jj1v?7;:18181=:ko0R?lj;|q1=0<72;qUhi527;fg?x{e;h?1<7951;7xH6`a28qC>>64}M634?>|,<826=5+534901d<,<;o6ij4$43e>7db3-?:n7m=;o721?7<,<;h6n=4n435>5=z,:nh6?;8;[cf>7}5<3886pToi:188mf4=831bo>4?::m1g1<72-8io7;:kf7?6=,;hh6h<4n3`a>5=h5jk0:76l8:186>7<2sE9mj7?tH31;?xJ38902w);=6;67f>"29m0oh6*:1g81f`=#=8h1o?5a50795>"29j0h?6`:1783?!35:39o:6`:1982?x"4lj09955fc383>>od;3:17djk:188k7db2900e>j9:188f2<72<0968uC3gd95~N5;11v(>jl:361?lbc2900c?lj:188mf4=831bo>4?::kag?6=3k=1<7;50;2x 6bd288m7E=id:kae?6=3`hh6=44i`d94?=nlm0;66a=bd83>>{e;oo1<7?50;2x 6bd28=97E=id:m234<722wxo?4?:3y]g7=:?3km7p}l3;296~Xd;27<6oo4}r0:7?6=:r78jh4>709>3?dd3ty9594?:3y>3?4em2T9nh5rs3;6>5<5sWno7095de9~yg24i3:1?7>50z&0`f<51k1C?kj4i057>5<6=44o3;:>5<ok4=681f`=z{:n=6=4<{_1g2>;02mn019=n:056?xu3;00;6?u27;a0?824i38256srs2ce>5<5sW9jj638:2f5?xud:3:1>vPl2:?4>f44>;|qga?6=:rToi638:ef8 6g32l80b>o<:09~yg5c93:197=57zN0bc<6sA8846sC4129e~"2:00;7);=6;67f>"29m0oh6*:1g81f`=#=8h1o?5a50795>"29j0h?6`:1782?!35:39o:6`:1982?x"4lj098:5fc383>>od;3:17djk:188k7db2900e>j9:188f2<72<0968uC3gd95~N5;11v(>jl:361?lbc2900c?lj:188mf4=831bo>4?::kag?6=3k=1<7;50;2x 6bd288m7E=id:kae?6=3`hh6=44i`d94?=nlm0;66a=bd83>>{e;oo1<7?50;2x 6bd28=97E=id:m234<722wxo?4?:3y]g7=:?3km7p}l3;296~Xd;27<6oo4}r0:7?6=:r78jh4>709>3?dd3ty9594?:3y>3?4em2T9nh5rs3;6>5<5sWno7095de9~yg2403:1?7>50z&0`f<51h1C?kj4i057>5<6=44o3;:>5<8m7>53;294~"4lj095l5G3gf8m4132900e<9::188k7?>2900q~m=:181[e534=1o?5rsb194?4|Vj9019=n:056?xucl3:1>vPkd:?77=<6?<1v?lj:181[4em27<6?lj;|q0`3<72:qU?i84=51;>41334>8m7?84:p06?=838p1:4l3:?77d<5101v9=8:18181=lm168>6528;8yxd5>00;6h4=:0cxH6`a28qC>>64}M634?>|,<826=5+534901?<,=>h68=o4l2:l650<63-?:o7m<;o722?7o=:3y21?7?2tch>7>5;ha0>5<5<#:ki1>n?4n3`a>4=5<#:ki1>n?4n3`a>6=5<#:ki1>n?4n3`a>0=5<#:ki1>n?4n3`a>2=5<#:ki1>n?4n3`a><=5<#:ki1>n?4n3`a>g=0;6=k4=bd9'14d=k;1e9<;51:&65f=;4>;|&0`f<5=h1Qmh4={009b?{];h81>v?::e8~mf4=831bo>4?::m1g7<72-8io7;:m1gc<72-8io74n3`a>5=h5jk0:76gje;29 7dd2l:0b?lm:398m`b=83.9nn4j0:l1fg<432cno7>5$3``>`6ob13:1(?ll:d28j7de2?10eh650;&1ff4n3`a>==h5jk0276gj3;29 7dd2l:0b?lm:`98mac=83.9nn4j0:l1fg81bhi4?::m1f`<722ch>7>5;ha0>5<2g9K7cb>ofn3:17djk:188k7db2900qo=ie;295?6=8r.8hn4>739K7cb5<5sWi97095ag9~wf5=838pRn=4=68ae>{t:091<741634=1nn5rs3;7>5<5s4=1>ok4^3`f?xu51<0;6?uQde9>3?bc3twim7>55;091~J4no0:wE<<8:'7ae=:?;0eij50;9l6gc=831bo?4?::k`7?6=3`hh6=44b683>0<729q/?im513d8L6`c3`hj6=44ica94?=nio0;66gkd;29?j4em3:17pl4<729q/?im51608L6`c3f;<=7>5;|q`6?6=:rTh>638:`d8yve42909wSm<;<59fd=z{;386=4={<1ea?70927<6om4}r0:0?6=:r7<6?lj;_0aa>{t:0?1<75<2290;w)=kc;31b>N4nm1bnl4?::kag?6=3`km6=44ief94?=h:ko1<75rb2df>5<6290;w)=kc;346>N4nm1d=:?50;9~wf4=838pRn<4=68bb>{tk:0;6?uQc29>3?df3ty95>4?:3y>7cc=9>;01:4mc:p6<2=838p1:4=bd9]6gc52z\g`>;02mn0qpl=7;291?4==rF8jk4>{I00<>{#;mi1>;?4ief94?=h:ko1<75fc383>>od;3:17dll:188f2<72<0;6=u+3ea957`<@:lo7dln:188mge=831bmk4?::kg`?6=3f8ii7>5;|`0b`<7280;6=u+3ea9524<@:lo7b?81;29?xud:3:1>vPl2:?4>d`<3:1>v38:3`f?[4em2wx>4;50;0xZab<5>0oh6srb2094?3=:3?p@>hi:0yK66>5;ha1>5<>d0290>6=4?{%1gg?75n2B8ji5fb`83>>oek3:17doi:188mab=831d>ok50;9~f6`b290:6=4?{%1gg?70:2B8ji5`16394?=z{j81<74?:3y]g6=:?3hj7p}=9283>7}:;oo1=:?4=68ag>{t:0>1<7ok50;9jg7<722ch?7>5;h``>5<0;684?:1y'7ae=9;l0D>hk;h`b>5<>ocl3:17b80D>hk;n345?6=3tyh>7>52z\`6>;02hl0q~m<:181[e434=1nl5rs3;0>5<5s49mi7?81:?4>ge52z?4>7db3W8ii6s|28794?4|Vmn01:4kd:~f6`=83?1>7;tL2de>4}O::20q)=kc;055>ocl3:17b5;hce>5<:183!5ck3;<>6F0jj6s|c283>7}Yk:16;7ln;|q1=6<72;q6?kk51638924:50;0x92<5jl1U>ok4}r0:1?6=:rToh638:ef8yxd383:197<55zN0bc<6sA8846s+3ea96375}#;mi1=?h4H2dg?ldf2900eom50;9jec<722coh7>5;n0aa?6=3th8jh4?:083>5}#;mi1=:<4H2dg?j7093:17p}l2;296~Xd:27<6lh4}ra0>5<5sWi87095b`9~w7?42909w0=ie;345>;02ki0q~<64;296~;02;hn7S{zj=h1<7;52;7xH6`a28qC>>64}%1gg?4192coh7>5;n0aa?6=3`i96=44ib194?=njj0;66l8:186>5<7s-9oo7?=f:J0ba=njh0;66gmc;29?lga2900eij50;9l6gc=831vn>hj:182>5<7s-9oo7?82:J0ba=h9>;1<75rsb094?4|Vj801:4nf:pg6<72;qUo>527;`b?xu51:0;6?u23gg9527<5>0io6s|28694?4|5>09nh5Q2cg8yv4>=3:1>vPkd:?4>ab55;091~J4no0:wE<<8:'7ae=:?;0eij50;9l6gc=831bo?4?::k`7?6=3`hh6=44b683>0<729q/?im513d8L6`c3`hj6=44ica94?=nio0;66gkd;29?j4em3:17pl4<729q/?im51608L6`c3f;<=7>5;|q`6?6=:rTh>638:`d8yve42909wSm<;<59fd=z{;386=4={<1ea?70927<6om4}r0:0?6=:r7<6?lj;_0aa>{t:0?1<70}K;ol1=vF=399~ 6bd2;<:7djk:188k7db2900en<50;9jg6<722cio7>5;c594?3=83:p(>jl:00e?M5al2cim7>5;h``>5<>i5jl0;66sm3gg94?7=83:p(>jl:051?M5al2e:;<4?::pg7<72;qUo?527;ce?xud;3:1>vPl3:?4>gg52z?0b`<6?816;7ll;|q1=1<72;q6;76=4={_fg?81=lm1vqom50;796?3|D:lm65<2290;w)=kc;31b>N4nm1bnl4?::kag?6=3`km6=44ief94?=h:ko1<75rb2df>5<6290;w)=kc;346>N4nm1d=:?50;9~wf4=838pRn<4=68bb>{tk:0;6?uQc29>3?df3ty95>4?:3y>7cc=9>;01:4mc:p6<2=838p1:4=bd9]6gc52z\g`>;02mn0qp}l2;29`~Xd:27<6n<4=`8`6>;6j3i970<8:b08964=k;16?h4l2:?0b?e534>;6n<4=5`9g7=:63m:b089f4?:ey]g6=:?3i870o5c29>5gf5<5:o1o>523g8`7>;383i870:m:b1891e=k:16n7m<;;d2;hn7)=n4;0`5>h4i:0;7p}=c183>7}Y:j:01o4=bd9'7d2=:j;0b>o<:09~w7ea2909wSnk50;0xZ7eb34>i6?lj;%1b0?4d92d8m>4<;|q1ga<72;qU>nj4=5296gc<,:k?6?m>;o1b7?252z\1gf=:;o09nh5+3`696f75<5sW8hm63"4i=09o<5a3`192>{t:j31<7h4i:0<7p}=c983>7}Y:j201?952cg8 6g32;i:7c=n3;:8yv4d>3:1>vP=c79>5g<5jl1/?l:52b38j6g4201v?m;:181[4d<27j6?lj;%1b0?4d92d8m>4n;|q1fc<72;qU>oh4=681f`=#;h>1>n?4n2c0>g=z{l;1<752z\gb>;e2mn0(>o;:d28j6g4281vhk50;0xZ`c<5=i1hi5+3`69a5=i;h91>6s|ee83>7}Ymm168o4kd:&0e14<;|qfg?6=:rTno63;0;fg?!5f<3o;7c=n3;68yvce2909wSkm;<1e>ab<,:k?6h>4n2c0>0=z{l31<72wxi54?:3y]a==:;;0oh6*h4i:0<7p}j7;296~Xb?279;7jk;%1b0?c73g9j?764}rg6>5<5sWo>70?m:ef8 6g32l:0b>o<:89~w`5=838pRh=4=`8g`>"4i=0n<6`vPke:?4>ab<,:k?6h>4n2c0>g=zuk8387>519822?45sE9mj7?tH31;?xJ3890>w);=6;670>"29l0m;6*:2d8b?!358392=6*:2e84?x"4lj09?o5Uad8142=990vV>o=:3y23?c=uf9297>5$3``>6?33g8in7>4;n1:7?6=,;hh6>7;;o0af?7<3f9j<7>5$3``>6?33g8in7<4;n1:b?6=,;hh6>7;;o0af?5<3f92i7>5$3``>6?33g8in7:4;n1:`?6=,;hh6>7;;o0af?3<3f92n7>5$3``>6?33g8in784;n1:e?6=,;hh6>7;;o0af?1<3f9257>5$3``>6?33g8in764;n1:3?6=,;hh6>7;;o0af??<3f92:7>5$3``>6?33g8in7o4;n1:6?6=,;hh6>7;;o0af?d<3`li6=4+2ca9bd=i:kh1<65ff883>!4ek3lj7c3:1(?ll:gc8j7de2;10e<>::18'6ge=nh1e>ol53:9j552=83.9nn4ia:l1fg<332c:<>4?:%0ag?`f3g8in7;4;h335?6=,;hh6ko4n3`a>3=!4ek3lj7com5f`9m6gd=121bjn4?:%0ag?`f3g8in7o4;hd;>5<#:ki1jl5a2c`9f>=e:131<7=51;1xH6`a28qC>>64}%1gg?41?2cm?7>5;hd7>5<5<42:0>w)=kc;63=>oa;3:17dh;:188k6?62900n9>9:180>5<7s-9oo79>;I1e`>o6?=0;66g>7483>>i5100;66sm41594?5=83:p(>jl:638L6`c3`;<87>5;h341?6=3f8257>5;|`74=<72:0;6=u+3ea96749~w6?62909wS=61:?74=<5101v9>::181827>382563;098231=z{=:=6=4={<633?4>127?<54>749~yv`42909wSh<;<63=?`43tym87>52z\e0>;3800m86s|38394?4|V:3:70:?9;1:5>{zj;2j6=4<:080I5an3;pD?=7;|&0`f<5>>1bj>4?::ke0?6=3f92=7>5;c63=?6=;3919v*93:17o:?6;297?6=8r.8hn481:J0ba=n9>>1<75f16794?=h:031<75rb524>5<4290;w)=kc;52?M5al2c:;94?::k230<722e9544?::a05>=8391<7>t$2f`>7?f3A9mh6g>7583>>o6?<0;66a=9883>>{tn:0;6>uQf29>050=9>?019>8:057?xua<3:1?vPi4:?743<6?=168=951678yv5>93:1>vP<909>05>=:030q~:?5;296~;38?09545241:9522;:7>52z?742<510168=651678yxua;3:1>vPi3:?74<5<5sW92=63;0880=4=zuk83n7>53;397~J4no0:wE<<8:'7ae=:?=0ek=50;9jb1<722e85<4?::`74<<72:0868u+3ea905?>i4180;66l;0783>6<729q/?im5709K7cb5<N4nm1b=::50;9j523=831d>4750;9~f16?29086=4?{%1gg?4>i2B8ji5f16694?=n9>?1<75`28;94?=z{o91<7=t^g18916128=>70:?7;340>{tn=0;6>uQf59>050=9>>019>8:056?xu4180;6?uQ3838916?2;327p};0483>7}:<9<1>474=52;>4133ty?<;4?:3y>051=:03019>7:056?x{tn:0;6?uQf29>05?=n:1vk:50;0xZc2<5=:26k:4}r1:5?6=:rT85<5241;97<76}K;ol1=vF=399~ 6bd2;<<7dh<:188mc2=831d?4?50;9a05?=8391?7;t$2f`>16>3`l86=44ig694?=h;0;1<75m41494?5=83:p(>jl:638L6`c3`;<87>5;h341?6=3f8257>5;|`742<72:0;6=u+3ea934=O;on0e<9;:188m4122900c?76:188yg2703:1?7>50z&0`f<51h1C?kj4i057>5<6=44o3;:>5<3;<963;068231=z{o>1<7=t^g68916128=?70:?7;341>{t;0;1<7{z{o91<72o90q~h;:181[`334>;57h;;|q0=4<72;qU?4?4=52:>6?63twi>5j50;195?5|D:lm67>:188f16>29086>4:{%1gg?2712cm?7>5;hd7>5<5<4290;w)=kc;52?M5al2c:;94?::k230<722e9544?::a051=8391<7>t$2f`>27<@:lo7d?84;29?l70=3:17b<69;29?xd3810;6>4?:1y'7ae=:0k0D>hk;h340?6=3`;<97>5;n0:=?6=3tym?7>53z\e7>;38?0:;85241595223;<863;068230=z{:3:6=4={_1:5>;38109545rs526>5<5s4>;:7<69:?74=<6?=1v9>9:181827?382563;098230=zuzl86=4={_d0?82713l87p}i4;296~Xa<27?<44i4:p7<7=838pR>7>;<63=?5>92wvn?6j:180>4<4sE9mj7?tH31;?x"4lj09::5ff283>>oa<3:17b=61;29?g2713:1?7=55z&0`f<3801bj>4?::ke0?6=3f92=7>5;c632?6=;3:1N4nm1b=::50;9j523=831d>4750;9~f16029086=4?{%1gg?163A9mh6g>7583>>o6?<0;66a=9883>>{e<921<7=50;2x 6bd2;3j7E=id:k231<722c:;84?::m1=<<722wxj>4?:2y]b6=:<9<1=:;4=524>4133tym87>53z\e0>;38?0:;952415952352z\0=4=:<921>474}r631?6=:r7?<;4=989>05>=9>>0q~:?6;296~;38>09545241:952352z\e7>;3800m?6s|f583>7}Yn=168=75f59~w6?62909wS=61:?74<<4181vqo<7f;297?7=;rF8jk4>{I00<>{#;mi1>;94ig194?=nn=0;66a<9083>>d3800;6>4<:4y'7ae=<930ek=50;9jb1<722e85<4?::`743<72:0;6=u+3ea934=O;on0e<9;:188m4122900c?76:188yg27?3:1?7>50z&0`f<092B8ji5f16694?=n9>?1<75`28;94?=zj=:36=4<:183!5ck382m6F4123ty85<4?:3y]7<7<5=:36?76;|q740<72;q68=8528;8916?28=?7p};0783>7}:<9=1>474=52;>4123twxj>4?:3y]b6=:<931j>5rsg694?4|Vo>019>6:g68yv5>93:1>vP<909>05?=;0;0qpl=9183>6<62:qG?kh51zJ17==z,:nh6?88;hd0>5<1<75`38394?=e<931<7=53;7x 6bd2=:27dh<:188mc2=831d?4?50;9a050=8391<7>t$2f`>27<@:lo7d?84;29?l70=3:17b<69;29?xd38>0;6>4?:1y'7ae=?81C?kj4i057>5<6=44o3;:>5<;47>53;294~"4lj095l5G3gf8m4132900e<9::188k7?>2900q~h<:180[`434>;:7?85:?742<6?=1vk:50;1xZc2<5=:=6<9;;<633?70=2wx?4?50;0xZ6?634>;47<69:p053=838p19>9:3;:?82703;<86s|41494?4|5=:<6?76;<635<5sWl?70:?9;d7?xu4180;6?uQ3838916>2:3:7psm28394?5=939p@>hi:0yK66>5<;56gi3;29?l`32900c>7>:188f16129086=4?{%1gg?163A9mh6g>7583>>o6?<0;66a=9883>>{e<9=1<7=50;2x 6bd2>;0D>hk;h340?6=3`;<97>5;n0:=?6=3th?<54?:283>5}#;mi1>4o4H2dg?l70<3:17d?85;29?j4>13:17p}i3;297~Xa;27?<;4>749>051=9>>0q~h;:180[`334>;:7?84:?742<6?<1v>7>:181[5>927?<54=989~w1622909w0:?6;0:=>;3810:;95rs525>5<5s4>;;7<69:?74=<6?<1vq~h<:181[`434>;57h<;|qe0?6=:rTm863;088e0>{t;0;1<75<42808wA=if;3xL75?3t.8hn4=669jb6<722cm87>5;n1:5?6=3k>;57>53;191~"4lj0?<45ff283>>oa<3:17b=61;29?g27>3:1?7>50z&0`f<092B8ji5f16694?=n9>?1<75`28;94?=zj=:<6=4<:183!5ck3=:7E=id:k231<722c:;84?::m1=<<722wi8=650;194?6|,:nh6?7n;I1e`>o6?=0;66g>7483>>i5100;66s|f283>6}Yn:168=851678916028=?7p}i4;297~Xa<27?<;4>759>051=9>?0q~=61;296~X418168=6528;8yv27=3:1>v3;0781=<=:<921=::4}r632?6=:r7?<:4=989>05>=9>?0qp}i3;296~Xa;27?<44i3:pb1<72;qUj95241;9b1=z{:3:6=4={_1:5>;380085<5r}c0;0}#;mi18=74ig194?=nn=0;66a<9083>>d38?0;6>4?:1y'7ae=?81C?kj4i057>5<6=44o3;:>5<;;7>53;294~"4lj0<=6F7:180>5<7s-9oo7<6a:J0ba=n9>>1<75f16794?=h:031<75rsg194?5|Vo9019>9:056?827?3;<86s|f583>6}Yn=168=851668916028=>7p}<9083>7}Y;0;019>7:3;:?xu38<0;6?u2414967ps|f283>7}Yn:168=75f29~wc2=838pRk:4=52:>c252z\0=4=:<931?4?4}|`766<72:0;6=u+3ea935=O;on0e<9;:188m4122900c?76:188yg25=3:1?7>50z&0`f<082B8ji5f16694?=n9>?1<75`28;94?=zj=8<6=4<:183!5ck3=;7E=id:k231<722c:;84?::m1=<<722wi8?750;194?6|,:nh6:>4H2dg?l70<3:17d?85;29?j4>13:17pl;2c83>6<729q/?im5719K7cb5<N4nm1b=::50;9j523=831d>4750;9~f14a29086=4?{%1gg?173A9mh6g>7583>>o6?<0;66a=9883>>{e<:;1<7=50;2x 6bd2>:0D>hk;h340?6=3`;<97>5;n0:=?6=3th??>4?:283>5}#;mi1;=5G3gf8m4132900e<9::188k7?>2900qo:<5;297?6=8r.8hn480:J0ba=n9>>1<75f16794?=h:031<75rb2c2>5<5290;w)=kc;34b>N4nm1b=:=50;9l6;3:<0:;85rs507>5<4s483n7h<;<611?4>127?>:4>749~w1412908w0<7c;d0?825?382563;288230=z{=836=4<{<0;`?`434>957<69:?76g<6?<1v9;3:m0:;85rs50`>5<4s483j7h<;<61`?4>127?>k4>749~w14b2908w0<60;d0?825n382563;308230=z{=9;6=4<{<0:5?`434>8=7<69:?776<6?<1v9==:18084??3l870:<3;0:=>;3;<0:;85rs517>5<5s48347h<;<601?4>12wx?4;50;0xZ6?2348347=61:&0e1<41=1e?l=50:p7<5=838pR>7<;<0;3?5>92.8m94<959m7d5=92wx?l>50;0xZ6g73482=7=61:&0e1<41=1e?l=52:p7<`=838pR>7i;<0:4?5>92.8m94<959m7d5=;2wx?4k50;0xZ6?b3483j7=61:&0e1<41=1e?l=54:p77k;<0;a?5>92.8m94<959m7d5==2wx?4l50;0xZ6?e3483h7=61:&0e1<41=1e?l=56:p77n;<0;g?5>92.8m94<959m7d5=?2wx?4750;0xZ6?>3483n7=61:&0e1<41=1e?l=58:p7<1=838pR>78;<0;e?5>92.8m94<959m7d5=12wx?4850;0xZ6?1348357=61:&0e1<41=1e?l=5a:p7<4=838pR>7=;<1b5?4>12.8m94<959m7d5=j2wxjo4?:3y]bg=::121j95+3`69bd=i;h91<6s|f883>6}Yn016>595f59>063=9>>0(>o;:gc8j6g4281v<>9:180[77>2795<4i4:?776<6?=1/?l:5f`9m7d5=:2wx==;50;1xZ4623482<7h;;<605?70<2.8m94ia:l0e6<43ty:<94?:2y]552<5;2m6k:4=50e>4133-9j87hn;o1b7?253z\246=::1o1j95243f9522<,:k?6ko4n2c0>0=z{8::6=4<{_335>;50m0m863;2c8231=#;h>1jl5a3`192>{t99:1<7=t^023?84?k3l?70:=9;340>"4i=0mm6`:4>759'7d2=nh1e?l=58:pba<72:qUji5229c9b1=:<;?1=::4$2c7>cg729'7d2=nh1e?l=5b:~wf4=838pRn<4=68`6>{tk:0;6?uQc29>3?e43ty9o?4?:2y]6f4<5>09o?522969bg=#;h>1>n?4n2c0>5=z{;i;6=4<{_0`4>;02;i;70<74;d:?!5f<38h=6`uQ2bd892<5ko16>5:51148 6g32;i:7c=n3;08yv4dm3:1?vP=cd9>3?4dm279494>049'7d2=:j;0b>o<:29~w7ec2908wS7ec348387??4:&0e1<5k81e?l=54:p6fe=839pR?ml;<596fe<5;2?6<><;%1b0?4d92d8m>4:;|q1gd<72:qU>no4=681gd=::1>1==?4$2c7>7e63g9j?784}r0`=?6=;rT9o4527;0`=>;50=0:<=5+3`696f75<4sW8h4638:3a;?84?<3lm7)=n4;0`5>h4i:037p}=c783>6}Y:j<01:4=c79>6=2=nm1/?l:52b38j6g4201v?m;:180[4d<27<6?m;;<0;0?`d3-9j8709nk522969b==#;h>1>n?4n2c0>g=z{=:m6=4={<59a4=::1>1?4;4$2c7>16b3g9j?7>4}r63`?6=:r7<6ih4=3:7>6?43-9j87:?e:l0e6<63ty?=44?:3y>3?cb348387=n0:&0e1<38l1e?l=52:p04>=838p1:4jd:?1<1<41o1/?l:541g8j6g42:1v9?8:18181=mj16>5:538g8 6g32=:n7c=n3;68yv26>3:1>v38:d`897>32:3o7)=n4;63a>h4i:0>7p};1483>7}:?3o270<74;1:f>"4i=0?{t<8>1<7;50=085l5+3`6905c5<5s4=1i:5229697j;o1b7?>:=7>52z?4>`3<5;2?6>78;%1b0?27m2d8m>46;|q755<72;q6;7k<;<0;0?5>>2.8m94;0d9m7d5=i2wx8=m50;0x92o<:c9~yg40?3:1?7?53zN0bc<6sA8846sC41295~"2:00;7p*>od:3:17o950;194?6|,:nh6<ofn3:17djk:188k7db2900q~m=:181[e534=1mk5rs3;0>5<5s4=1>ok4^3`f?xu51=0;6?uQde9>3?bc3twio:4?:c81>44|D:lm6h29<0:7);>c;a0?k36>3;0(8<<:2g:?k3613:0q)=kc;075>\fm3;p8;4rZ2c1>7}303>j6pg>od:3:17dm<:188m6c>2900c?ml:18'6ge=:jh0b?lm:198k7ef290/>om52b`8j7de2810c?m6:18'6ge=:jh0b?lm:398k7e?290/>om52b`8j7de2:10c?m9:18'6ge=:jh0b?lm:598k7e3290/>om52b`8j7de2<10c?li:18'6ge=:jh0b?lm:798f2<728;1;7?>{M1eb?7|@;937pB;0184!35>3>?n6*:1e8g`>"29o09nh5+50`9g7=i=8?1=6*:1b8`7>h29?0:7p*7>5;ha0>5<5<#:ki1>nl4n3`a>4=5<#:ki1>nl4n3`a>6=5<#:ki1>nl4n3`a>0=ob13:1(?ll:dc8j7de2810eh650;&1ff6=h5jk0?76gj3;29 7dd2lk0b?lm:498mac=83.9nn4ja:l1fg<132h<6=4::284I5an3;pD?=7;|N745<>s-?9:7:;b:&65a=k4=bd9'14d=k;1e9<;51:&65f=;4>;%715?5fm2d>=:4?;|&0`f<5=j1bo?4?::k`7?6=3`no6=44o3`f>5<0<525<t$2f`>44a3A9mh6gma;29?ldd2900elh50;9j`a<722e9nh4?::a7cc=83;1<7>t$2f`>4153A9mh6a>7083>>{tk;0;6?uQc39>3?ga3tyh?7>52z\`7>;02kk0q~<63;296~;4nl0:;<527;``?xu51=0;6?u27;0aa>X5jl1v?7::181[bc34=1hi5r}c617?6=;3:1N4nm1b=::50;9j523=831d>4750;9~f15?29086=4?{%1gg?4>j2B8ji5f16694?=n9>?1<75`28;94?=z{j81<74?:3y]g6=:<:21=:;4}rfg>5<5sWno70:=3;341>{t:ko1<77}:?3no70:=3;0:=>{t<:=1<7;3;109545r}cc94?3=;3=p@>hi:0yK66>;<77t$405>12e3-?:h7jk;%72b?4em2.>=o4l2:l650<63-?:o7m<;o722?7<,<8:6>oj;o723?65}#;mi1=?h4H2dg?ldf2900eom50;9jec<722coh7>5;n0aa?6=3th8jh4?:083>5}#;mi1=:<4H2dg?j7093:17p}l2;296~Xd:27<6lh4}ra0>5<5sWi87095b`9~w7?42909w0=ie;345>;02ki0q~<64;296~;02;hn7S{zj=886=4<:183!5ck3=;7E=id:k231<722c:;84?::m1=<<722wi8>650;194?6|,:nh6?7m;I1e`>o6?=0;66g>7483>>i5100;66s|c383>7}Yk;16;7m=;|q`7?6=:rTh?63;398230=z{mn1<77p}=bd83>7}Y:ko01:4=bd9~w6gb2908wS=ne:?766<6?=168>651668yv25:3:1>v38:ef891442;327p};3683>7}:?3i870:<8;0:=>{zj8h1<7;53;5xH6`a28qC>>64}M634??|,<8=69:m;%72`?bc3-?:j7=84>;%72g?e43g?::7?4$402>6gb3g?:;7>4}%1gg?42k2ch>7>5;ha0>5<{I00<>{#;mi1>9<4ief94?=h:ko1<75fc383>>od;3:17dll:188f2<72<0;6=u+3ea957`<@:lo7dln:188mge=831bmk4?::kg`?6=3f8ii7>5;|`0b`<7280;6=u+3ea9524<@:lo7b?81;29?xud:3:1>vPl2:?4>d`<3:1>v38:3`f?[4em2wx>4;50;0xZab<5>0oh6srb500>5<4290;w)=kc;53?M5al2c:;94?::k230<722e9544?::a06>=8391<7>t$2f`>7?e3A9mh6g>7583>>o6?<0;66a=9883>>{tk;0;6?uQc39>3?e53tyh?7>52z\`7>;3;10:;85rsef94?4|Vmn019<<:056?xu5jl0;6?uQ2cg892<5jl1v>oj:180[5fm27?>>4>759>06>=9>>0q~:=2;296~;02mn019<<:3;:?xu3;>0;6?u27;a0?824038256srb3594?3=;3=p@>hi:0yK66>;<77t$405>12e3-?:h7jk;%72b?4em2.>=o4l2:l650<63-?:o7m<;o722?7<,<896>j9;o725}#;mi1=?h4H2dg?ldf2900eom50;9jec<722coh7>5;n0aa?6=3th8jh4?:083>5}#;mi1=:<4H2dg?j7093:17p}l2;296~Xd:27<6lh4}ra0>5<5sWi87095b`9~w7?42909w0=ie;345>;02ki0q~<64;296~;02;hn7S{zj=936=4<:183!5ck382n6F5<7s-9oo7<6b:J0ba=n9>>1<75f16794?=h:031<75rsb094?4|Vj801:4l2:pg6<72;qUo>5242c95233:1?vP06>=9>>019=n:057?xu3;00;6?u27;a0?824i38256s|42594?4|5>0oh63;3981=<=zuk996=4::284I5an3;pD?=7;|N745<>s-?9:7:;b:&65a=k4=bd9'14d=k;1e9<;51:&65f=;4>;%715?5fm2d>=:4?;|&0`f<5=j1bo?4?::k`7?6=3`no6=44o3`f>5<0<525<t$2f`>44a3A9mh6gma;29?ldd2900elh50;9j`a<722e9nh4?::a7cc=83;1<7>t$2f`>4153A9mh6a>7083>>{tk;0;6?uQc39>3?ga3tyh?7>52z\`7>;02kk0q~<63;296~;4nl0:;<527;``?xu51=0;6?u27;0aa>X5jl1v?7::181[bc34=1hi5r}c617?6=;3:1N4nm1b=::50;9j523=831d>4750;9~f15?29086=4?{%1gg?4>j2B8ji5f16694?=n9>?1<75`28;94?=z{j81<74?:3y]g6=:<:21=:;4}rfg>5<5sWno70:=3;341>{t:ko1<77}:?3no70:=3;0:=>{t<:=1<7;3;109545r}c1f>5<22:0=i4kd:&65c<5jl1/9=n4l3:l653<63-?9=7=ne:l652<73t.8hn4=5b9jg7<722ch?7>5;hfg>5<5<0;684=:4yO7c`=9rB9?55r$2f`>7253`no6=44o3`f>5<>oek3:17o950;794?6|,:nh6<oei3:17dll:188md`=831bhi4?::m1f`<722wi?kk50;394?6|,:nh6<9=;I1e`>i6?80;66s|c383>7}Yk;16;7oi;|q`7?6=:rTh?638:cc8yv4>;3:1>v37}:?38ii6P=bd9~w7?22909wSjk;<59`a=zuk>9?7>53;294~"4lj0<<6F5<7s-9oo7<6b:J0ba=n9>>1<75f16794?=h:031<75rsb094?4|Vj801:4l2:pg6<72;qUo>5242:9523075=9>>019=7:057?xu3:;0;6?u27;fg?825;38256s|42594?4|5>0h?63;3981=<=zuk9m6=4::284I5an3;pD?=7;|N745<>s-?9:7:;b:&65a=k4=bd9'14d=k;1e9<;51:&65f=;4>;%715?5fm2d>=:4?;|&0`f<5=j1bo?4?::k`7?6=3`no6=44o3`f>5<0<525<t$2f`>44a3A9mh6gma;29?ldd2900elh50;9j`a<722e9nh4?::a7cc=83;1<7>t$2f`>4153A9mh6a>7083>>{tk;0;6?uQc39>3?ga3tyh?7>52z\`7>;02kk0q~<63;296~;4nl0:;<527;``?xu51=0;6?u27;0aa>X5jl1v?7::181[bc34=1hi5r}c617?6=;3:1N4nm1b=::50;9j523=831d>4750;9~f15?29086=4?{%1gg?4>j2B8ji5f16694?=n9>?1<75`28;94?=z{j81<74?:3y]g6=:<:21=:;4}rfg>5<5sWno70:=3;341>{t:ko1<77}:?3no70:=3;0:=>{t<:=1<7;3;109545r}r1bb?6=0rT8mk527;1ba>;f2:kn70?m:2cf?8402:n=70==:2cf?85b2:kn70=i:2cf?xud:3:14vPl2:?4>f4<5h0h>63>b;a1?8402j801><5c39>7`f5<5:81o>523d8`7>;4n3i87p}=cb83>7}Y:ji01>h52cg8 6g32;ii7c=n3;28yv4di3:1>vP=c`9>7`<5jl1/?l:52b`8j6g4281v?m6:181[4d1278>7=838pR?m7;<04>7db3-9j87ok4$2c7>7ee3g9j?7:4}r0`0?6=:rT9o952a;0aa>"4i=09oo5a3`191>{t:kl1<7o;:3aa?k5f;3<0q~km:181[ce349m6ij4$2c7>`gk5de9'7d2=mh1e?l=51:pa=<72;qUi552338g`>"4i=0nm6`vPj7:?13?bc3-9j87kn;o1b7?56=4={_g6?87e2mn0(>o;:dc8j6g42=1vh=50;0xZ`5<5h0oh6*h4i:0>7p}ke;296~Xcm27<6ij4$2c7>`g4}O::20qA:?0;5x 0412=>>7);>e;d4?!35m3k0(8?n:8`8j073291/9?>53838 04c2>1v(>jl:31`?_gb2;q?;7:::|X0e7<5s=318>4ri8`94?=h;0n1<7*=bb80=f=i:kh1<65`38`94?"5jj085n5a2c`95>=h;0k1<7*=bb80=f=i:kh1>65`38;94?"5jj085n5a2c`97>=h;0=1<7*=bb80=f=i:kh1865`38494?"5jj085n5a2c`91>=h;081<7*=bb80=f=i:kh1:65f11194?"5jj0:=n99;1<7*=bb8247=i:kh1=65f11294?"5jj0:=nno0;6)h5jk0876gid;29 7dd28:97com51108j7de2<10ek650;&1ff<68;1e>ol56:9a6=?=83>1>7;tL2de>4}O::20qA:?0;7x 0412<997);>d;d1?!358392=6`:2980?k35n3;0q)=kc;05<>\fm3;p>i4rZ2c1>4}5i3wd?4?50;9jb3<72-8io7h:;o0af?6<3`l?6=4+2ca9b0=i:kh1=65ff283>!4ek3l>7c:3:1?7?53zN0bc<6sA8846s+3ea9631>i4180;66l;0883>6<425}#;mi1;<5G3gf8m4132900e<9::188k7?>2900qo:?7;297?6=8r.8hn481:J0ba=n9>>1<75f16794?=h:031<75rb52;>5<4290;w)=kc;0:e>N4nm1b=::50;9j523=831d>4750;9~wc5=839pRk=4=525>41234>;;7?84:pb1<72:qUj9524149522<5=:<6<9:;|q0=4<72;qU?4?4=52;>7?>3ty?<84?:3y>050=:03019>7:057?xu38?0;6?u2415965241;9b6=z{o>1<72o>0q~=61;296~X418168=753838yxd50?0;6>4>:2yO7c`=9rB9?55r$2f`>7003`l86=44ig694?=h;0;1<75m41;94?5=;3?p(>jl:52:?l`42900ek:50;9l7<7=831i8=850;194?6|,:nh6:?4H2dg?l70<3:17d?85;29?j4>13:17pl;0683>6<729q/?im5709K7cb5<759~wc2=839pRk:4=525>41334>;;7?85:p7<7=838pR>7>;<6312wx8=;50;0x91612;3270:?8;340>{t<9<1<77?>34>;47?85:~wc5=838pRk=4=52:>c57}Y;0;019>6:2;2?x{t;0;1<7392=6s|45194?4|5;396>7>;<0;2?`43tym:7>52z\e2>;51;0m?6*h4i:0;7p}i4;296~Xa<2795?4i4:&0e14>;|qe7?6=:rTm?63=878e0>"4i=0m96`>64}M634?3|,<8=68==;%72`?`53-?9<7=61:l66=<43g?9j7?4}%1gg?4102Pji7?t2e8~^6g528q9m7s`38394?=nn?0;6)ol51:9jb6<72-8io7h:;o0af?4<3k82>7>53;397~J4no0:wE<<8:'7ae=:?=0ek=50;9jb1<722e85<4?::`74<<72:0868u+3ea905?>i4180;66l;0783>6<729q/?im5709K7cb5<N4nm1b=::50;9j523=831d>4750;9~f16?29086=4?{%1gg?4>i2B8ji5f16694?=n9>?1<75`28;94?=z{o91<7=t^g18916128=>70:?7;340>{tn=0;6>uQf59>050=9>>019>8:056?xu4180;6?uQ3838916?2;327p};0483>7}:<9<1>474=52;>4133ty?<;4?:3y>051=:03019>7:056?x{tn:0;6?uQf29>05?=n:1vk:50;0xZc2<5=:26k:4}r1:5?6=:rT85<5241;97<76}K;ol1=vF=399~ 6bd2;<<7dh<:188mc2=831d?4?50;9a05?=8391?7;t$2f`>16>3`l86=44ig694?=h;0;1<75m41494?5=83:p(>jl:638L6`c3`;<87>5;h341?6=3f8257>5;|`742<72:0;6=u+3ea934=O;on0e<9;:188m4122900c?76:188yg2703:1?7>50z&0`f<51h1C?kj4i057>5<6=44o3;:>5<3;<963;068231=z{o>1<7=t^g68916128=?70:?7;341>{t;0;1<7{z{o91<72o90q~h;:181[`334>;57h;;|q0=4<72;qU?4?4=52:>6?63twx?4?50;0xZ6?63483:7=61:p015=838p1?7=:2;2?84?>3l87p}i6;296~Xa>2795?4i3:&0e14?;|qe0?6=:rTm863=938e0>"4i=0m96`vPi3:?1<35l50;696?3|D:lm6h2:1087c;=f;38y!5ck38=46Tne;3x6a4;hd7>5<#:ki1j85a2c`95>=nn:0;6){I00<>{#;mi1>;94ig194?=nn=0;66a<9083>>d3800;6>4<:4y'7ae=<930ek=50;9jb1<722e85<4?::`743<72:0;6=u+3ea934=O;on0e<9;:188m4122900c?76:188yg27?3:1?7>50z&0`f<092B8ji5f16694?=n9>?1<75`28;94?=zj=:36=4<:183!5ck382m6F4123ty85<4?:3y]7<7<5=:36?76;|q740<72;q68=8528;8916?28=?7p};0783>7}:<9=1>474=52;>4123twxj>4?:3y]b6=:<931j>5rsg694?4|Vo>019>6:g68yv5>93:1>vP<909>05?=;0;0qpl=8783>6<62:qG?kh51zJ17==z,:nh6?88;hd0>5<1<75`38394?=e<931<7=53;7x 6bd2=:27dh<:188mc2=831d?4?50;9a050=8391<7>t$2f`>27<@:lo7d?84;29?l70=3:17b<69;29?xd38>0;6>4?:1y'7ae=?81C?kj4i057>5<6=44o3;:>5<;47>53;294~"4lj095l5G3gf8m4132900e<9::188k7?>2900q~h<:180[`434>;:7?85:?742<6?=1vk:50;1xZc2<5=:=6<9;;<633?70=2wx?4?50;0xZ6?634>;47<69:p053=838p19>9:3;:?82703;<86s|41494?4|5=:<6?76;<635<5sWl?70:?9;d7?xu4180;6?uQ3838916>2:3:7ps|38394?4|V:3:70<76;1:5>{t<=91<76?63483:7h<;|qe2?6=:rTm:63=938e7>"4i=0m96`vPi4:?1=752z\e7>;50?0m86*h4i:097psm29a94?2=:3?p@>hi:0yK66>;<7;t$405>0553-?:h7h=;%714?5>92d>>54<;o71b?7o=:0y1e?{h;0;1<75ff783>!4ek3l>7com5f49m6gd=921bj>4?:%0ag?`23g8in7<4;c0:6?6=;3;1?vB0}#;mi18=74ig194?=nn=0;66a<9083>>d38?0;6>4?:1y'7ae=?81C?kj4i057>5<6=44o3;:>5<;;7>53;294~"4lj0<=6F7:180>5<7s-9oo7<6a:J0ba=n9>>1<75f16794?=h:031<75rsg194?5|Vo9019>9:056?827?3;<86s|f583>6}Yn=168=851668916028=>7p}<9083>7}Y;0;019>7:3;:?xu38<0;6?u2414967ps|f283>7}Yn:168=75f29~wc2=838pRk:4=52:>c252z\0=4=:<931?4?4}|`1<3<72:0:6>uC3gd95~N5;11v(>jl:344?l`42900ek:50;9l7<7=831i8=750;197?3|,:nh69>6;hd0>5<1<75`38394?=e<9<1<7=50;2x 6bd2>;0D>hk;h340?6=3`;<97>5;n0:=?6=3th?<:4?:283>5}#;mi1;<5G3gf8m4132900e<9::188k7?>2900qo:?8;297?6=8r.8hn4=9`9K7cb5<5<4sWl870:?6;341>;38>0:;95rsg694?5|Vo>019>9:057?827?3;<96s|38394?4|V:3:70:?8;0:=>{t<9?1<77?>34>;47?84:p050=838p19>8:3;:?82703;<96srsg194?4|Vo9019>6:g18yv`32909wSh;;<63=?`33ty85<4?:3y]7<7<5=:26>7>;|p7<7=838pR>7>;<0;2?5>92wx89=50;0x97?52:3:70<76;d0?xua>3:1>vPi6:?1=752z\e0>;51;0m86*h4i:0:7p}i3;296~Xa;2794;4i4:&0e14=;|a6=b=83>1>7;tL2de>4}O::20qA:?0;7x 0412<997);>d;d1?!358392=6`:2980?k35n3;0q)=kc;05<>\fm3;p>i4rZ2c1>4}5i3wd?4?50;9jb3<72-8io7h:;o0af?6<3`l?6=4+2ca9b0=i:kh1=65ff283>!4ek3l>7c:3:1?7?53zN0bc<6sA8846s+3ea9631>i4180;66l;0883>6<425}#;mi1;<5G3gf8m4132900e<9::188k7?>2900qo:?7;297?6=8r.8hn481:J0ba=n9>>1<75f16794?=h:031<75rb52;>5<4290;w)=kc;0:e>N4nm1b=::50;9j523=831d>4750;9~wc5=839pRk=4=525>41234>;;7?84:pb1<72:qUj9524149522<5=:<6<9:;|q0=4<72;qU?4?4=52;>7?>3ty?<84?:3y>050=:03019>7:057?xu38?0;6?u2415965241;9b6=z{o>1<72o>0q~=61;296~X418168=753838yxd50?0;6>4>:2yO7c`=9rB9?55r$2f`>7003`l86=44ig694?=h;0;1<75m41;94?5=;3?p(>jl:52:?l`42900ek:50;9l7<7=831i8=850;194?6|,:nh6:?4H2dg?l70<3:17d?85;29?j4>13:17pl;0683>6<729q/?im5709K7cb5<759~wc2=839pRk:4=525>41334>;;7?85:p7<7=838pR>7>;<6312wx8=;50;0x91612;3270:?8;340>{t<9<1<77?>34>;47?85:~wc5=838pRk=4=52:>c57}Y;0;019>6:2;2?x{t;0;1<7392=6s|45194?4|5;396>7>;<0;2?`43tym:7>52z\e2>;51;0m?6*h4i:0;7p}i4;296~Xa<2795?4i4:&0e14>;|qe7?6=:rTm?63=878e0>"4i=0m96`>64}M634?3|,<8=68==;%72`?`53-?9<7=61:l66=<43g?9j7?4}%1gg?4102Pji7?t2e8~^6g528q9m7s`38394?=nn?0;6)ol51:9jb6<72-8io7h:;o0af?4<3k82>7>53;397~J4no0:wE<<8:'7ae=:?=0ek=50;9jb1<722e85<4?::`74<<72:0868u+3ea905?>i4180;66l;0783>6<729q/?im5709K7cb5<N4nm1b=::50;9j523=831d>4750;9~f16?29086=4?{%1gg?4>i2B8ji5f16694?=n9>?1<75`28;94?=z{o91<7=t^g18916128=>70:?7;340>{tn=0;6>uQf59>050=9>>019>8:056?xu4180;6?uQ3838916?2;327p};0483>7}:<9<1>474=52;>4133ty?<;4?:3y>051=:03019>7:056?x{tn:0;6?uQf29>05?=n:1vk:50;0xZc2<5=:26k:4}r1:5?6=:rT85<5241;97<76}K;ol1=vF=399~ 6bd2;<<7dh<:188mc2=831d?4?50;9a05?=8391?7;t$2f`>16>3`l86=44ig694?=h;0;1<75m41494?5=83:p(>jl:638L6`c3`;<87>5;h341?6=3f8257>5;|`742<72:0;6=u+3ea934=O;on0e<9;:188m4122900c?76:188yg2703:1?7>50z&0`f<51h1C?kj4i057>5<6=44o3;:>5<3;<963;068231=z{o>1<7=t^g68916128=?70:?7;341>{t;0;1<7{z{o91<72o90q~h;:181[`334>;57h;;|q0=4<72;qU?4?4=52:>6?63twx?4?50;0xZ6?63483:7=61:p015=838p1?7=:2;2?84?>3l87p}i6;296~Xa>2795?4i3:&0e14?;|qe0?6=:rTm863=938e0>"4i=0m96`vPi3:?1<3650;194?6|,:nh6?7n;I1e`>o6?=0;66g>7483>>i5100;66sm42c94?2=83:p(>jl:3;g?M5al2c:;94?::k230<722c:;;4?::m1=<<722wi8>m50;694?6|,:nh6?7k;I1e`>o6?=0;66g>7483>>o6??0;66a=9883>>{e<:o1<7:50;2x 6bd2;3o7E=id:k231<722c:;84?::k233<722e9544?::a016=83>1<7>t$2f`>7?c3A9mh6g>7583>>o6?<0;66g>7783>>i5100;66sm45094?2=83:p(>jl:3;g?M5al2c:;94?::k230<722c:;;4?::m1=<<722wi8k=50;194?6|,:nh6:?4H2dg?l70<3:17d?85;29?j4>13:17pl;f483>6<729q/?im5719K7cb5<N4nm1b=::50;9j523=831d>4750;9~f1`>29086=4?{%1gg?173A9mh6g>7583>>o6?<0;66a=9883>>{e:0D>hk;h340?6=3`;<97>5;n0:=?6=3th?ji4?:283>5}#;mi1;=5G3gf8m4132900e<9::188k7?>2900qo:if;297?6=8r.8hn480:J0ba=n9>>1<75f16794?=h:031<75rb422>5<4290;w)=kc;53?M5al2c:;94?::k230<722e9544?::a155=8391<7>t$2f`>26<@:lo7d?84;29?l70=3:17b<69;29?xd28<0;6>4?:1y'7ae=?91C?kj4i057>5<6=44o3;:>5<53;294~"4lj0<<6F6:180>5<7s-9oo79?;I1e`>o6?=0;66g>7483>>i5100;66sm51`94?5=83:p(>jl:628L6`c3`;<87>5;h341?6=3f8257>5;|`64a<72:0;6=u+3ea935=O;on0e<9;:188m4122900c?76:188yg37n3:1?7>50z&0`f<082B8ji5f16694?=n9>?1<75`28;94?=zj<;:6=4<:183!5ck3=;7E=id:k231<722c:;84?::m1=<<722wi9<=50;194?6|,:nh6:>4H2dg?l70<3:17d?85;29?j4>13:17pl7<729q/?im516d8L6`c3`;5;n0:=?6=3ty2n7>512y]=g=::131j95229c9b1=::1h1j95229a9b1=::1n1j95229g9b1=:41234>m;7?85:?7bg<6?=168kj51678906628=?70;?3;341>;28>0:;95251;9523<5<:o6<9;;<73b?70=27>=>4>759~w15>290?w0<7a;d0?824i382563;fg8230=:=9;1=:;4}r60f?6=7p};3e83>1}::1i1j>5242g96h50;6x97>c2o9019:?:3;:?83693;<963:128230=z{=>:6=4={<0;a?`434>?>7<69:p15c=838p19:=:057?837n38256s|50294?4|5=>96<9:;<725?4>12wx9<<50;0x912528==70;>3;0:=>{t=921<741334?;57<69:p15g=838p19:?:056?837j38256s|51a94?4|5=>;6<99;<73`?4>12wx9=<50;0x915b28=?70;?3;0:=>{t=9>1<741234?;97<69:p150=838p19=j:055?837?38256s|4ga94?4|5=9h6<9;;<6e`?4>12wx8kk50;0x915d28=>70:if;0:=>{t=9:1<741134?;=7<69:p0c0=838p19=n:057?82a?38256s|4g:94?4|5=9j6<9:;<6e=?4>12wx8ko50;0x915f28==70:ib;0:=>{t41334>m?7<69:p0c2=838p19=7:056?82a=38256s|42594?2|5;226k=4=51;>7?>34>m57?85:?7bg<6?<1v>7k:181[5>l2794h4<909'7d2=;0i0b>o<:19~w6?e2909wS=6b:?17n:181[5>i2794n4<909'7d2=;0i0b>o<:39~w6?>2909wS=69:?178:181[5>?2794l4<909'7d2=;0i0b>o<:59~w6?12909wS=66:?1<<<4181/?l:538a8j6g42<1v>7=:181[5>:278m<4=989'7d2=;0i0b>o<:79~w4642909wS??3:?1<`2.8m94>039m7d5=82wx==?50;6xZ4663483h7h9;<73b?70<27>=<4>759'7d2=9980b>o<:09~w467290?wS??0:?127><44>759>15d=9>>0(>o;:021?k5f;380q~hi:187[`a3483n7h9;<737?70<27><84>759'7d2=9980b>o<:29~wcb=83>pRkj4=3:b>c0<5=lo6<9;;<6eb?70<2.8m94>039m7d5=<2wxjn4?:5y]bf=::131j;524g59522<5=l26<9;;%1b0?77:2d8m>4:;|qe4143-9j87??2:l0e6<13twx?lh50;0xZ6ga34=1?lh4}ra1>5<5sWi97095c39~wf5=838pRn=4=68`7>{t;l31<76}Y:ji01:4=cb9>6=3=9990(>o;:3aa?k5f;3:0q~n650;1xZ7e?34=1>n64=3:6>c`<,:k?6?mm;o1b7?553z\1g3=:?38h:63=848e`>"4i=09oo5a3`190>{t:j>1<7=t^3a7?81=:j>01?6::ga8 6g32;ii7c=n3;78yv4en3:1?vP=bg9>3?4en279484i8:&0e1<5kk1e?l=56:p077=838p1:4jb:?1<0<41m1/?l:54328j6g4291v9?i:18181=m016>5;538`8 6g32=8;7c=n3;38yv26m3:1>v38:d:897>22:3j7)=n4;614>h4i:097p};1e83>7}:?3o<70<75;1:=>"4i=0?>=5a3`197>{t<8i1<7;50<085:5+3`690765<5s4=1i>5229797<0<,:k?69:m7>52z?4>ac<5;2>6>7=;%1b0?2582d8m>49;|a6a4=83?1?78tL2de>4}O::20qA:?0;3x 04>291v(>jl:37g?lbc2900c?lj:188mf4=831bo>4?::kag?6=3k=1<7;50;2x 6bd288m7E=id:kae?6=3`hh6=44i`d94?=nlm0;66a=bd83>>{e;oo1<7?50;2x 6bd28=97E=id:m234<722wio94?:383>5}#;mi1=:h4H2dg?l70;3:17b<69;29?xud:3:1>vPl2:?4>d`7}:?3hj70m;:3;:?xu51=0;6?u23gg9527<5>0io6s|28794?4|5>09nh5Q2cg8yv4>>3:1>vPkd:?4>ab53;397~J4no0:wE<<8:O056=9r.>>44?;|&0`f<57>5;c594?5=83:p(>jl:00g?M5al2cjj7>5;hfg>5<5<5sWi97095ag9~w7?42909w0952cg8Z7db3ty9594?:3y]`a=:?3no7psm4b694?5=939p@>hi:0yK66>;<7?t$40:>5=z,:nh6?:j;hfg>5<50z&0`f<6:m1C?kj4i`d94?=nlm0;66a=bd83>>{tk;0;6?uQc39>3?ga3ty95>4?:3y>3?4em2T9nh5rs3;7>5<5sWno7095de9~yg21i3:1?7?53zN0bc<6sA8846sC41295~"2:00;7p*>od:3:17o950;194?6|,:nh6<ofn3:17djk:188k7db2900q~m=:181[e534=1mk5rs3;0>5<5s4=1>ok4^3`f?xu51=0;6?uQde9>3?bc3twi>:m50;f97?`|,:nh6?9l;n045;h05a?6=3`8=j7>5;h044?6=3`8<=7>5;h046?6=3`85;h040?6=3`8<97>5;h042?6=3`8=n7>5;h05`?6=3k8<57>59;294~"4lj0=831b=:750;9j52g=831b=:l50;9l65<7s-9oo798;I1e`>o6?=0;66g>7483>>o6??0;66g>7683>>i5100;66sm26`94?5=83:p(>jl:628L6`c3`;<87>5;h341?6=3f8257>5;|q13=<72;qU>:64=35a>7?>3ty9:l4?:3y]63g<5;=j6<9;;|q12`<72;qU>;k4=35:>4133ty9:k4?:3y]63`<5;=26<9:;|q135<72;qU>:>4=35:>4103ty9;<4?:3y]627<5;=26<99;|q137<72;qU>:<4=35:>41?3ty9;>4?:3y]625<5;=26<9n;|q131<72;qU>::4=35:>41>3ty9;84?:3y]623<5;=26<9m;|q133<72;qU>:84=35b>4113ty9:o4?:3y]63d<5;=j6<9:;|q12a<72;qU>;j4=35b>4103ty9;44?:3y>62?=:0301?9m:057?xu5?h0;6?u226c96>o3>h0;66gn3;29?l?d2900nl?50;194?6|,:nh6:?4H2dg?l70<3:17d?85;29?j4>13:17pl6f;297?6=8r.8hn481:J0ba=n9>>1<75f16794?=h:031<75rb`294?5=83:p(>jl:3;b?M5al2c:;94?::k230<722e9544?::p=a<72;qU5i52a181=<=z{;9;6=4={_004>;f93;<96s|47c94?4|V=:057?xuf;3:1>vPn3:?:b?70<2wx5n4?:3y]=f=:1o0:;85rs8g94?4|5h;1>474=`295227?>34k;6<9:;|a024=83?1?79t$2f`>1153f>=h7>5;h01b?6=3`>=n7>5;h647?6=3`>=m7>5;c645?6=;3:1N4nm1b=::50;9j523=831d>4750;9~f10a29086=4?{%1gg?163A9mh6g>7583>>o6?<0;66a=9883>>{e<>:1<7=50;2x 6bd2;3j7E=id:k231<722c:;84?::m1=<<722wx8;j50;0xZ10c34><<7<69:p67`=838pR?<=7?84:p025=838pR99<;<65b?70<2wx8;o50;0xZ10f34>=j7?85:p03c=838p199>:3;:?82083;<86s|47d94?4|5=5<7s-9oo79<;I1e`>o6?=0;66g>7483>>o6??0;66a=9883>>{ej<0;6>4?:1y'7ae=?81C?kj4i057>5<6=44o3;:>5<7>53;294~"4lj0<=6Fk8:180>5<7s-9oo79?;I1e`>o6?=0;66g>7483>>i5100;66sm3d794?3=83:p(>jl:6:8L6`c3`;<87>5;h341?6=3`;<:7>5;h343?6=3f8257>5;|`0e<<72:0;6=u+3ea935=O;on0e<9;:188m4122900c?76:188yg4c<3:197>50z&0`f<0i2B8ji5f16694?=n9>?1<75f16494?=n9>=1<75`28;94?=zj:n86=4<:183!5ck3=:7E=id:k231<722c:;84?::m1=<<722wi>5>50;794?6|,:nh6?o>;I1e`>o6?=0;66g>7483>>o6??0;66g>7683>>i5100;66smcd83>6<729q/?im5709K7cb5<5<4290;w)=kc;0:g>N4nm1b=::50;9j523=831d>4750;9~fag=8391<7>t$2f`>7?f3A9mh6g>7583>>o6?<0;66a=9883>>{el90;6>4?:1y'7ae=?91C?kj4i057>5<6=44o3;:>5<13:17pl=d983>6<729q/?im528a8L6`c3`;<87>5;h341?6=3f8257>5;|`b0?6=<3:12900qo:9c;297?6=8r.8hn481:J0ba=n9>>1<75f16794?=h:031<75rb557>5<4290;w)=kc;0:e>N4nm1b=::50;9j523=831d>4750;9~f6b229096=4?{%1gg?70n2B8ji5f16194?=h:031<75rb35f>5<5290;w)=kc;34b>N4nm1b=:=50;9l6o6?:0;66a=9883>>{el?0;6?4?:1y'7ae=9>l0D>hk;h347?6=3f8257>5;|`1`3<72;0;6=u+3ea952`<@:lo7d?83;29?j4>13:17p}mb;2955}Yjk16>?l5c39>57>=k;16n;4l2:?7=2f4<5=;3>h09nh52a3872d=:<>818;o4=54`>4133ty:==4?:3y]546<58836ik4}r6:2?6=:rT?5;524859`a=z{k91<75<2sW9m:63le;340>;c03;<963ka;341>;c:3;<96s|2e094?5|V;n970;5l10:;85rse194?4|5j=1o>52d581=<=z{m?1<7474}r1g6?6=:r78h>4=989>7a3=9>90q~<=e;297~;5:k09m;52a58231=:<>>1=::4}r01b?6=o4=a99>024=:;l01l:51678911328=>7p}=3183>6}::;h1>lm4=`09666<5h>1=:84}r005?6=:r79>o4=ad9>0f2=lm1v99<:181820:3>1<7;t=c79652z?0`4=h6s|ce83>7}:kl095452db8231=z{jl1<75<5s4n96?76;4143ty9>n4?:3y>67d=::801?5223f9522<58;96?76;<1f3?70=2794=4>749~w6c12908w0=kd;1ba>;4m>0954523e19522ab<5h815i5rs2f2>5<5s49o=74?:3y>e7=838p1?98:ef8971d2;=37p}1}:;l91>ok4=30g>412349n;7?84:?1<5<6??1v978:180845j388?63;9681f`=:o7:18785f=39jj63474=3:3>4103tyo;7>52z?g127o<7?84:p7`2=838p1>k<:ef896c22;327p}k9;296~;ci382563k0;341>{tlk0;6?u2db81=<=:l=0:;>5rs54a>5<5s4><>7:9b:?72f<5101v?9i:18184?8382563=7d8236=z{;=<6=4={<043?4em279>i4>779~w7b02909w0;5l?0:;>5rs3f6>5<5s48o>7m<;<0g2?4>12wx>i=50;0x97b52mn01?j;:3;:?xu4m;0;6>uQ36:896bc2;i370=j5;343>"4i=08i<5a3`194>{t;l:1<77e1349n97?85:&0e1<4m81e?l=51:p7a`=838p1>jk:3a7?85b=3;<86*6s|3eg94?4|5:no6?li;<1f1?70>2.8m94f3<5k=16n84>749'7d2=j11e?l=50:pf2<72:q6n;4j3:?a2?4en27i97?84:&0e14>;|q26g<72;q6=?652b68947528=>7)=n4;31e>h4i:0;7p}>2883>6}:9;21i>5213:96g`<58;96<9;;%1b0?75i2d8m>4>;|q12a<72;q6>;752b08971d2;h4i:0;7p}=6c83>7}::?31>n>4=35`>70e3-9j87<9c:l0e6<63ty9;;4?:3y>63?=:jl01?9l:355?!5f<38=o6`4<;|q131<72;q6>;752bf8971d2;=?7)=n4;05g>h4i:0?7p}=7283>7}::?31>nm4=35`>7143-9j87<9c:l0e6<23ty9;?4?:3y>63?=:jk01?9l:351?!5f<38=o6`;%1b0?41k2d8m>48;|q135<72;q6>;752b:8971d2;=;7)=n4;05g>h4i:037p}=6g83>7}::?31>n84=35`>70a3-9j87<9c:l0e6<>3ty9:h4?:3y>63?=:j>01?9l:34f?!5f<38=o6`h0;6?u227;96g`<5;=h6?8n;%1b0?41k2d8m>4m;|q`g?6=:r7h;71/?l:5cc9m7d5=82wxol4?:3y>g2<5kh16>i:51648 6g32jh0b>o<:09~wf?=838p1n952b;897b328=>7)=n4;aa?k5f;380q~m7:1818e02;i370"4i=0hn6`6n=4=2f2>ab<5:n86<9:;<0;4?70<2.8m94hi:0yK66>;<7<2<,<826;5r$2f`>40e3Skn6>o6l;0;66a;8183>>o6l:0;66g;5783>>if>3:17d?=c;29?j5a>3:17dol50:9led<72-8io7om;o0af?7<3fk26=4+2ca9eg=i:kh1>65m7g83>6<52=qG?kh51zJ17==zD=:;6{#;mi1>8k4ief94?=h:ko1<75fc383>>d029086=4?{%1gg?75l2B8ji5C3gd95~"6?l0>>95ri`d94?=nlm0;66a=bd83>>{ek?0;6?4?:1y'7ae=9>l0D>hk;h347?6=3f8257>5;|q`6?6=:rTh>63l6;347>{t:091<7;d>38256s|28694?4|5>09nh5Q2cg8yv4>=3:1>vPkd:?4>ab57;193~J4no0:wE<<8:O056=>r.>>44=;%712?23j2.>=i4kd:&65c<5jl1/9>i5k?0;6)h5jk0;76a=c583>!4ek38h96`=bc82?>i5jo0;6)h5jk0976gj5;29 7dd2l>0b?lm:198m`5=83.9nn4j4:l1fg<632coi7>5$3``>`26<62:qG?kh51zJ17==z,:nh6?:j;hfg>5<50z&0`f<6:m1C?kj4i`d94?=nlm0;66a=bd83>>{tk;0;6?uQc39>3?ga3ty95>4?:3y>3?4em2T9nh5rs3;7>5<5sWno7095de9~ygg=8391=7=tL2de>4}O::20q)=kc;07a>ocl3:17b5}#;mi1=?j4H2dg?lga2900eij50;9l6gc=831vn<50;0xZf4<5>0jj6s|28194?4|5>09nh5Q2cg8yv4><3:1>vPkd:?4>ab53;397~J4no0:wE<<8:'7ae=:=o0eij50;9l6gc=831bo?4?::`4>5<4290;w)=kc;31`>N4nm1bmk4?::kg`?6=3f8ii7>5;|q`6?6=:rTh>638:`d8yv4>;3:1>v38:3`f?[4em2wx>4:50;0xZab<5>0oh6srsb094?2|Vj801:4l2:?b>f4<58h1o?5rs3a5>5<5sW8h:63>b;0aa>"4i=09o85a3`194>{t:j>1<7o;:3a6?k5f;3;0q~"4i=0n86`vPj3:?b>ab<,:k?6h:4n2c0>4=z{mo1<7n7djk:188k7db2900en<50;9a3?6=;3:1X5jl1v?7;:181[bc34=1hi5r}c6;4?6=;3;1?vB51z&66<<53t.8hn4=4d9j`a<722e9nh4?::k`6?6=3k=1<7=50;2x 6bd288o7E=id:kbb?6=3`no6=44o3`f>5<>64}M634?7|,<826?5r$2f`>7073`no6=44o3`f>5<>d0290?6=4?{%1gg?7482B8ji5fag83>>ocl3:17d5<5sWk>70952cf8yv4>;3:1>v38:3`f?[4em2wx>4:50;0xZab<5>0oh6srb`594?5=83:p(>jl:3;a?M5al2c:;94?::k230<722e9544?::a721=83?1<7>t$2f`>7g73A9mh6g>7583>>o6?<0;66g>7783>>o6?>0;66a=9883>>{e;o21<7=50;2x 6bd2>:0D>hk;h340?6=3`;<97>5;n0:=?6=3th8jl4?:583>5}#;mi1;>5G3gf8m4132900e<9::188m4112900c?76:188yg50:3:197>50z&0`f<0?2B8ji5f16694?=n9>?1<75f16494?=n9>=1<75`28;94?=zj:=?6=4::183!5ck3==7E=id:k231<722c:;84?::k233<722c:;:4?::m1=<<722wi?:850;194?6|,:nh6:>4H2dg?l70<3:17d?85;29?j4>13:17pl;8583>1<729q/?im5759K7cb5<5<nh7>54;294~"4lj04750;9~f=7=8381<7>t$2f`>41a3A9mh6g>7283>>i5100;66sm49494?4=83:p(>jl:05e?M5al2c:;>4?::m1=<<722wi8hh50;094?6|,:nh6<9i;I1e`>o6?:0;66a=9883>>{t;4?=0:;;5rs5:3>5<5sW>3<63;8181f`=z{8n86=4={_3g7>;4?=0:;:5rs575>5<3sW>>:63;e88b1>;f?3;<86371;347>{ti?0;6;uQa79>e=7p}>2b83>3}Y9;i01:h5c39>e=h9;<1e2?4em2wx>i<50;1xZ7b5349<>7?85:?033<6?=1v?k8:180[4b?278;?4>779>722=9>>0q~=:4;296~X4==16?:851678yv70k3:1?vP>7b9>0=2=9>>019kk:055?xu5mk0;6>uQ2d`8961528=<70=84;341>{t9li1<77?>3ty?ih4?:3y>0`?=lm168hh528;8yv1a2909w09i:3`f?8g028=>7p};8483>7}:<1:1hi524949652z?0b=<6?=16?ko528;8yv2bk3:1>v3;ee81=<=:0=2=9><019kk:057?xu4?80;6?u23659522<5:=96?76;|q036<72;q6?:95167896132;327p}<7483>7}:;>=1=:84=255>7?>3ty3<7>52z?4b?bc342:6?76;|q7<6<72;q685:528;891>128=87p}nc;297~Xfk27j47;4nh0:;85+3`69eg=i;h91=6s|a883>1}Yi016m54j3:?b759'7d2=ik1e?l=52:~f1e629086<4<{M1eb?7|@;937pB;0182!3513<0q)=kc;00`>o6:>0;66g>i4180;66l8:180>5<7s-9oo7ok;I1e`>o6?:0;66g>1183>>i5100;66s|13594?4|V88<70951028yv4>;3:1>v38:3;:?[5>92wx>4:50;0xZ6c?34=1=:=4}|`71<<72:0:6>uC3gd95~N5;11v@9>?:0y'17?=>2w/?im522f8m4402900e>k7:188k6?62900n:4?:283>5}#;mi1mi5G3gf8m4142900e2900q~?=7;296~X6:>16;7?>0:p6<5=838p1:4=989]7<752z\0a==:?3;5<42808wA=if;3xL75?3tF?<=4>{%71=?04}r0:7?6=:r7<6?76;_1:5>{t:0>1<790qpl;d083>6<62:qG?kh51zJ17==zD=:;6{#;mi1>>j4i004>5<5<0;6>4?:1y'7ae=im1C?kj4i050>5<5<52z\262=:?3;:<6s|28194?4|5>09545Q3838yv4><3:1>vP3?70;2wvn9k>:180>4<4sE9mj7?tH31;?xJ3890:w);=9;48y!5ck388h6g>2683>>o4m10;66a<9083>>d029086=4?{%1gg?gc3A9mh6g>7283>>o6990;66a=9883>>{t9;=1<7k7;<595255}#;mi1=:h4H2dg?l70;3:17b<69;29?xd3=<0;6?4?:1y'7ae=9>l0D>hk;h347?6=3f8257>5;|`726<72;0;6=u+3ea952`<@:lo7d?83;29?j4>13:17pl;5283>6<729q/?im528c8L6`c3`;<87>5;h341?6=3f8257>5;|`7g6<72;0;6=u+3ea952`<@:lo7d?83;29?j4>13:17p};c083>1}Yh=63;c080=4=z{=2:6=4<{_6;5>;2:<0?4=5245f90=6>;7>54z\712=:=;?18884=56e>13134>?h7::6:p0`g=838pR9kn;<67`?2b12wx8nh50;1xZ1ea34?997:le:?70c<3kl1v9m8:181[2d?27>>84;c69~wg4=838pRo<4=522>7d?3ty?no4?:3y]0gd<5<8>69lm;|q72=<72;qU8;64=56f>1033ty?n44?:3y]0g?<5<8>69o8;|q730<72;qU8:;4=406>1123ty?:l4?:3y]03g<5=>3698n;|q255<72:qU=<>4=56f>47734>?47?>0:p57e=83?47lm;<67`?75k2wx85<50;0xZ1>534>?i7:70:p00>=838pR9;7;<66=?5>92wx8;<50;0xZ10534>=?7<69:p0<0=838pR979;<67>2wx8oo50;0xZ1df34?997:kb:p0`d=838pR9km;<67a?2b12wx8i>50;0xZ1b734>o=7=61:p0dd=838pR9om;<711?2fj2wxn>4?:2y]f6=:<=o1n>5245:9f6=z{k:1<7vP;559>003=:030q~:69;297~X310169?;548:8912a2=337p};c483>7}Y0;6?uQ4958912b2=2<7p};9`83>7}Y<0k0197m:2;2?xu3m90;6?uQ4d2891c62:3:7p};8`83>7}Y<1k019:i:5:b?xu30l0;6?uQ49g8912b2=2n7p};f083>1}Ym<63;4g87b5=z{=<>6=4={_651>;35<5s4>?m7=:5:?70a<4==1v<7?:181823n3;2<63;4d82=5=z{8n96=4<{<67e?7c:27?8k4>d39>01b=9m80q~?70;296~;3h>7>52z?7g4<6:>168n=528;8yv7c;3:1>v3;4g82`6=:<=n1=i=4}r0f173=:l2019:k:3g4?xu4j<0;6?u245c97g3<5=>36>l:;|q53?6=:r7?8h496:?716<6?<1v;650;0x912a2?<019;<:057?xu31j0;6?u245g90<><5=3i6>k7;|qb2?6==r7?8l4n6:?66027?8k4n6:?70=27?8i4n6:p5g4=838p19:i:0`6?823m3;i>6s|1e794?4|5=>m6{t1<71e334>h?7?83:p=f<72>q689k59b9>01>=1j168875135891?e288<70:k1;313>;3m80:>:52471952552z?70d<61<1689h51878yv5a>3:1>v3;4980b3=:<=n1?k84}r6g6?6=:r7?8h4;cd9>0a7=;l20q~::2;296~;3=80:;>52441967>52z?70=<5l;1689j52e08yv7e?3:1>v3;4g82f2=:<=o1=i?4}r0a2?6=:r7?8l4=b79>01`=:k<0q~52z?70c<59m1689k520f8yv22i3:1>v3;4d871g=:<<31?h64}r160?6=:r7?8l4<559>173=;<>0q~?8c;296~;3n>7>52z?70`<3lo168h?53d:8yv4bj3:1>v3:2481ag=:<=n1>hl4}r030?6=:r7?8k4=059>01c=:8i0q~8i:181823n3{t9li1<74cd34>?h7?jc:p6<5=838p1>hj:052?82d939n46s|2ea94?4|V;nh70;=5;0gg>"4i=09ho5a3`194>{t:mk1<770;=5;0f1>"4i=09ho5a3`196>{t:l>1<7"4i=09ho5a3`190>{t:l81<76*"4i=09ho5a3`192>{t:l:1<7"4i=09ho5a3`19<>{t:mo1<7"4i=09ho5a3`19e>{t:m31<77}Y181689o55e9'7d2=191e?l=50:p15=5a3`195>{t0l0;6>uQ8d9>01g==h169?;55`9'7d2=191e?l=52:p6}Y0k1689o5579>173==?1/?l:5919m7d5=<2wx4l4?:2y]15=5a3`191>{t000;6>uQ889>01g===169?;5559'7d2=191e?l=56:p<=<72:qU455245c916=:=;?19>5+3`69=5=i;h91;6s|8683>6}Y0>1689o5539>173==;1/?l:5919m7d5=02wx4;4?:2y]<3=:<=k19<52537914=#;h>15=5a3`19=>{t0<0;6>uQ849>01g==9169?;5519'7d2=191e?l=5a:p<1<72:qU495245c90c=:=;?18k5+3`69=5=i;h91n6s|8283>6}Y0:1689o54d9>173=15=5a3`19`>{t100;6>uQ989>01g=><169?;5649'7d2=191e?l=5e:p==<72:qU555245c921=:=;?1:95+3`69=5=i;h91j6s|9683>7}Y1>169?;5629'7d2=191e?l=5119~w<0=838pR484=406>34<,:k?64>4n2c0>476=4={_;6?835=3<:7)=n4;;3?k5f;3;97p}64;296~X><27>>8490:&0e1<>82d8m>4>3:p=6<72;qU5>5253791c=#;h>15=5a3`1951=z{081<7o;:828j6g428?0q~6l:181[>d34?997;8;%1b0??73g9j?7?9;|qbg?6=:r7>>84nc:?70a52z?6604>;|qb=?6=:r7>>84n9:?70a|I|I/O/GTS4|INPUT||||||||| -P3|A_FSB<6>|I|I/O/GTS1|INPUT||||||||| -P4|A_FSB<7>|I|I/O/GTS2|INPUT||||||||| -P5|VCC||VCCINT|||||||||| -P6|A_FSB<8>|I|I/O|INPUT||||||||| -P7|A_FSB<9>|I|I/O|INPUT||||||||| -P8|A_FSB<10>|I|I/O|INPUT||||||||| -P9|A_FSB<11>|I|I/O|INPUT||||||||| -P10|A_FSB<12>|I|I/O|INPUT||||||||| -P11|A_FSB<13>|I|I/O|INPUT||||||||| -P12|A_FSB<14>|I|I/O|INPUT||||||||| -P13|A_FSB<15>|I|I/O|INPUT||||||||| -P14|A_FSB<16>|I|I/O|INPUT||||||||| -P15|A_FSB<17>|I|I/O|INPUT||||||||| -P16|A_FSB<18>|I|I/O|INPUT||||||||| -P17|A_FSB<19>|I|I/O|INPUT||||||||| -P18|A_FSB<20>|I|I/O|INPUT||||||||| -P19|A_FSB<21>|I|I/O|INPUT||||||||| -P20|A_FSB<22>|I|I/O|INPUT||||||||| -P21|GND||GND|||||||||| -P22|C16M|GCK|I/O/GCK1|||||||||| -P23|C8M|GCK/I|I/O/GCK2|||||||||| -P24|A_FSB<23>|I|I/O|INPUT||||||||| -P25|E|I|I/O|INPUT||||||||| -P26|VCC||VCCIO|||||||||| -P27|FCLK|GCK|I/O/GCK3|||||||||| -P28|nDTACK_FSB|O|I/O|OUTPUT||||||||| -P29|nWE_FSB|I|I/O|INPUT||||||||| -P30|nLDS_FSB|I|I/O|INPUT||||||||| -P31|GND||GND|||||||||| -P32|nAS_FSB|I|I/O|INPUT||||||||| -P33|nUDS_FSB|I|I/O|INPUT||||||||| -P34|nROMWE|O|I/O|OUTPUT||||||||| -P35|nROMCS|O|I/O|OUTPUT||||||||| -P36|nCAS|O|I/O|OUTPUT||||||||| -P37|nOE|O|I/O|OUTPUT||||||||| -P38|VCC||VCCIO|||||||||| -P39|TIE||I/O|||||||||| -P40|RA<4>|O|I/O|OUTPUT||||||||| -P41|RA<3>|O|I/O|OUTPUT||||||||| -P42|RA<5>|O|I/O|OUTPUT||||||||| -P43|RA<2>|O|I/O|OUTPUT||||||||| -P44|GND||GND|||||||||| -P45|TDI||TDI|||||||||| -P46|RA<6>|O|I/O|OUTPUT||||||||| -P47|TMS||TMS|||||||||| -P48|TCK||TCK|||||||||| -P49|TIE||I/O|||||||||| -P50|RA<1>|O|I/O|OUTPUT||||||||| -P51|VCC||VCCIO|||||||||| -P52|RA<7>|O|I/O|OUTPUT||||||||| -P53|RA<0>|O|I/O|OUTPUT||||||||| -P54|RA<8>|O|I/O|OUTPUT||||||||| -P55|RA<10>|O|I/O|OUTPUT||||||||| -P56|RA<9>|O|I/O|OUTPUT||||||||| -P57|VCC||VCCINT|||||||||| -P58|C25MEN|O|I/O|OUTPUT||||||||| -P59|TIE||I/O|||||||||| -P60|TIE||I/O|||||||||| -P61|TIE||I/O|||||||||| -P62|GND||GND|||||||||| -P63|RA<11>|O|I/O|OUTPUT||||||||| -P64|nRAS|O|I/O|OUTPUT||||||||| -P65|nRAMLWE|O|I/O|OUTPUT||||||||| -P66|nRAMUWE|O|I/O|OUTPUT||||||||| -P67|TIE||I/O|||||||||| -P68|TIE||I/O|||||||||| -P69|GND||GND|||||||||| -P70|nBERR_FSB|O|I/O|OUTPUT||||||||| -P71|TIE||I/O|||||||||| -P72|nBR_IOB|O|I/O|OUTPUT||||||||| -P73|TIE||I/O|||||||||| -P74|nVMA_IOB|O|I/O|OUTPUT||||||||| -P75|GND||GND|||||||||| -P76|nBERR_IOB|I|I/O|INPUT||||||||| -P77|nVPA_IOB|I|I/O|INPUT||||||||| -P78|nDTACK_IOB|I|I/O|INPUT||||||||| -P79|nLDS_IOB|O|I/O|OUTPUT||||||||| -P80|nUDS_IOB|O|I/O|OUTPUT||||||||| -P81|nAS_IOB|O|I/O|OUTPUT||||||||| -P82|nADoutLE1|O|I/O|OUTPUT||||||||| -P83|TDO||TDO|||||||||| -P84|GND||GND|||||||||| -P85|nADoutLE0|O|I/O|OUTPUT||||||||| -P86|nDinLE|O|I/O|OUTPUT||||||||| -P87|nAoutOE|O|I/O|OUTPUT||||||||| -P88|VCC||VCCIO|||||||||| -P89|nDoutOE|O|I/O|OUTPUT||||||||| -P90|nDinOE|O|I/O|OUTPUT||||||||| -P91|nRES|I/O|I/O|BIDIR||||||||| -P92|nIPL2|I|I/O|INPUT||||||||| -P93|nVPA_FSB|O|I/O|OUTPUT||||||||| -P94|A_FSB<1>|I|I/O|INPUT||||||||| -P95|A_FSB<2>|I|I/O|INPUT||||||||| -P96|A_FSB<3>|I|I/O|INPUT||||||||| -P97|A_FSB<4>|I|I/O|INPUT||||||||| -P98|VCC||VCCINT|||||||||| -P99|TIE||I/O/GSR|||||||||| -P100|GND||GND|||||||||| - -To preserve the pinout above for future design iterations in -Project Navigator simply execute the (Lock Pins) process -located under the (Implement Design) process in a toolbox named -(Optional Implementation Tools) or invoke PIN2UCF from the -command line. The location constraints will be written into your -specified UCF file - - diff --git a/cpld/XC95144XL/WarpSE.rpt b/cpld/XC95144XL/WarpSE.rpt index 6dd1704..ea21482 100644 --- a/cpld/XC95144XL/WarpSE.rpt +++ b/cpld/XC95144XL/WarpSE.rpt @@ -1,60 +1,11 @@ cpldfit: version P.20131013 Xilinx Inc. - Fitter Report -Design Name: WarpSE Date: 4-15-2023, 5:21AM + No Fit Report +Design Name: WarpSE Date: 7-13-2023, 4:03PM Device Used: XC95144XL-10-TQ100 -Fitting Status: Successful +Fitting Status: Placement Failed -************************* Mapped Resource Summary ************************** - -Macrocells Product Terms Function Block Registers Pins -Used/Tot Used/Tot Inps Used/Tot Used/Tot Used/Tot -124/144 ( 86%) 477 /720 ( 66%) 294/432 ( 68%) 100/144 ( 69%) 70 /81 ( 86%) - -** Function Block Resources ** - -Function Mcells FB Inps Pterms IO -Block Used/Tot Used/Tot Used/Tot Used/Tot -FB1 18/18* 33/54 47/90 11/11* -FB2 15/18 18/54 17/90 8/10 -FB3 16/18 43/54 81/90 10/10* -FB4 13/18 43/54 50/90 10/10* -FB5 14/18 39/54 81/90 8/10 -FB6 18/18* 36/54 68/90 10/10* -FB7 18/18* 41/54 52/90 7/10 -FB8 12/18 41/54 81/90 6/10 - ----- ----- ----- ----- - 124/144 294/432 477/720 70/81 - -* - Resource is exhausted - -** Global Control Resources ** - -Signal 'C16M' mapped onto global clock net GCK1. -Signal 'C8M' mapped onto global clock net GCK2. -Signal 'FCLK' mapped onto global clock net GCK3. -Global output enable net(s) unused. -Global set/reset net(s) unused. - -** Pin Resources ** - -Signal Type Required Mapped | Pin Type Used Total -------------------------------------|------------------------------------ -Input : 32 32 | I/O : 64 73 -Output : 34 34 | GCK/IO : 3 3 -Bidirectional : 1 1 | GTS/IO : 3 4 -GCK : 3 3 | GSR/IO : 0 1 -GTS : 0 0 | -GSR : 0 0 | - ---- ---- - Total 70 70 - -** Power Data ** - -There are 124 macrocells in high performance mode (MCHP). -There are 0 macrocells in low power mode (MCLP). -End of Mapped Resource Summary - ************************** Errors and Warnings *************************** +************************** Errors and Warnings *************************** WARNING:Cpld - Unable to retrieve the path to the iSE Project Repository. Will use the default filename of 'WarpSE.ise'. @@ -83,190 +34,257 @@ WARNING:Cpld:1007 - Removing unused input(s) 'SW<3>'. The input(s) are unused after optimization. Please verify functionality via simulation. WARNING:Cpld:1007 - Removing unused input(s) 'nBG_IOB'. The input(s) are unused after optimization. Please verify functionality via simulation. +ERROR:Cpld:892 - Cannot place signal iobm/IOS_FSM_FFd4. Consider reducing the + collapsing input limit or the product term limit to prevent the fitter from + creating high input and/or high product term functions. +ERROR:Cpld:868 - Cannot fit the design into any of the specified devices with + the selected implementation options. + ************************* Mapped Resource Summary ************************** + +Macrocells Product Terms Function Block Registers Pins +Used/Tot Used/Tot Inps Used/Tot Used/Tot Used/Tot +121/144 ( 84%) 594 /720 ( 82%) 344/432 ( 80%) 99 /144 ( 69%) 70 /81 ( 86%) + +** Function Block Resources ** + +Function Mcells FB Inps Pterms IO +Block Used/Tot Used/Tot Used/Tot Used/Tot +FB1 18/18* 44/54 68/90 11/11* +FB2 18/18* 33/54 42/90 8/10 +FB3 12/18 44/54 87/90 10/10* +FB4 12/18 40/54 82/90 10/10* +FB5 14/18 54/54* 85/90 8/10 +FB6 18/18* 36/54 68/90 10/10* +FB7 17/18 40/54 81/90 7/10 +FB8 12/18 53/54 81/90 6/10 + ----- ----- ----- ----- + 121/144 344/432 594/720 70/81 + +* - Resource is exhausted + +** Global Control Resources ** + +Global clock net(s) unused. +Global output enable net(s) unused. +Global set/reset net(s) unused. + +** Pin Resources ** + +Signal Type Required Mapped | Pin Type Used Total +------------------------------------|------------------------------------ +Input : 32 32 | I/O : 64 73 +Output : 34 34 | GCK/IO : 3 3 +Bidirectional : 1 1 | GTS/IO : 3 4 +GCK : 3 3 | GSR/IO : 0 1 +GTS : 0 0 | +GSR : 0 0 | + ---- ---- + Total 70 70 + +** Power Data ** + +There are 132 macrocells in high performance mode (MCHP). +There are 0 macrocells in low power mode (MCLP). +End of Mapped Resource Summary ************************* Summary of Mapped Logic ************************ ** 35 Outputs ** -Signal Total Total Loc Pin Pin Pin Pwr Slew Reg Init -Name Pts Inps No. Type Use Mode Rate State -nDTACK_FSB 9 17 FB3_9 28 I/O O STD FAST RESET -nROMWE 1 2 FB3_17 34 I/O O STD FAST -nAoutOE 2 4 FB4_2 87 I/O O STD FAST SET -nDoutOE 2 5 FB4_5 89 I/O O STD FAST -nDinOE 3 6 FB4_6 90 I/O O STD FAST -nRES 1 1 FB4_8 91 I/O I/O STD FAST -nVPA_FSB 3 11 FB4_11 93 I/O O STD FAST RESET -nROMCS 2 5 FB5_2 35 I/O O STD FAST -nCAS 15 17 FB5_5 36 I/O O STD FAST RESET -nOE 3 5 FB5_6 37 I/O O STD FAST RESET -RA<4> 2 3 FB5_9 40 I/O O STD FAST -RA<3> 2 3 FB5_11 41 I/O O STD FAST -RA<5> 2 3 FB5_12 42 I/O O STD FAST -RA<2> 2 3 FB5_14 43 I/O O STD FAST -RA<6> 2 3 FB5_15 46 I/O O STD FAST -nVMA_IOB 3 8 FB6_2 74 I/O O STD FAST RESET -nLDS_IOB 6 10 FB6_9 79 I/O O STD FAST RESET -nUDS_IOB 6 10 FB6_11 80 I/O O STD FAST RESET -nAS_IOB 4 9 FB6_12 81 I/O O STD FAST RESET -nADoutLE1 2 3 FB6_14 82 I/O O STD FAST SET -nADoutLE0 1 2 FB6_15 85 I/O O STD FAST -nDinLE 1 2 FB6_17 86 I/O O STD FAST RESET -RA<1> 2 3 FB7_2 50 I/O O STD FAST -RA<7> 2 3 FB7_5 52 I/O O STD FAST -RA<0> 2 3 FB7_6 53 I/O O STD FAST -RA<8> 2 3 FB7_8 54 I/O O STD FAST -RA<10> 2 3 FB7_9 55 I/O O STD FAST -RA<9> 2 3 FB7_11 56 I/O O STD FAST -C25MEN 0 0 FB7_12 58 I/O O STD FAST -RA<11> 2 3 FB8_2 63 I/O O STD FAST -nRAS 3 7 FB8_5 64 I/O O STD FAST -nRAMLWE 1 3 FB8_6 65 I/O O STD FAST -nRAMUWE 1 3 FB8_8 66 I/O O STD FAST -nBERR_FSB 3 5 FB8_12 70 I/O O STD FAST RESET -nBR_IOB 2 4 FB8_15 72 I/O O STD FAST RESET +Signal Total Total Loc Pin Pin Pin +Name Pts Inps No. Type Use +nDTACK_FSB 14 15 FB3_9 28 I/O O +nROMWE 1 2 FB3_17 34 I/O O +nAoutOE 2 4 FB4_2 87 I/O O +nDoutOE 2 5 FB4_5 89 I/O O +nDinOE 3 7 FB4_6 90 I/O O +nRES 1 1 FB4_8 91 I/O I/O +nVPA_FSB 3 11 FB4_11 93 I/O O +nROMCS 2 5 FB5_2 35 I/O O +nCAS 12 16 FB5_5 36 I/O O +nOE 3 5 FB5_6 37 I/O O +RA<4> 2 3 FB5_9 40 I/O O +RA<3> 2 3 FB5_11 41 I/O O +RA<5> 2 3 FB5_12 42 I/O O +RA<2> 2 3 FB5_14 43 I/O O +RA<6> 2 3 FB5_15 46 I/O O +nVMA_IOB 3 8 FB6_2 74 I/O O +nLDS_IOB 6 10 FB6_9 79 I/O O +nUDS_IOB 6 10 FB6_11 80 I/O O +nAS_IOB 4 9 FB6_12 81 I/O O +nADoutLE1 2 3 FB6_14 82 I/O O +nADoutLE0 1 2 FB6_15 85 I/O O +nDinLE 1 2 FB6_17 86 I/O O +RA<1> 2 3 FB7_2 50 I/O O +RA<7> 2 3 FB7_5 52 I/O O +RA<0> 2 3 FB7_6 53 I/O O +RA<8> 2 3 FB7_8 54 I/O O +RA<10> 2 3 FB7_9 55 I/O O +RA<9> 2 3 FB7_11 56 I/O O +C25MEN 1 0 FB7_12 58 I/O O +RA<11> 2 3 FB8_2 63 I/O O +nRAS 3 7 FB8_5 64 I/O O +nRAMLWE 1 3 FB8_6 65 I/O O +nRAMUWE 1 3 FB8_8 66 I/O O +nBERR_FSB 3 5 FB8_12 70 I/O O +nBR_IOB 2 4 FB8_15 72 I/O O -** 89 Buried Nodes ** +** 86 Buried Nodes ** -Signal Total Total Loc Pwr Reg Init -Name Pts Inps Mode State -ram/RS_FSM_FFd3 1 1 FB1_1 STD RESET -ram/RS_FSM_FFd2 1 1 FB1_2 STD RESET -ram/RS_FSM_FFd1 1 1 FB1_3 STD RESET -cnt/Er<1> 1 1 FB1_4 STD RESET -cnt/Er<0> 1 1 FB1_5 STD RESET -ram/RefDone 2 7 FB1_6 STD RESET -iobs/IOU1 2 2 FB1_7 STD RESET -iobs/IOL1 2 2 FB1_8 STD RESET -cnt/TimerTC 2 6 FB1_9 STD RESET -cnt/Timer<0> 2 4 FB1_10 STD RESET -cnt/IS_FSM_FFd2 2 6 FB1_11 STD RESET -RefUrg 2 5 FB1_12 STD RESET -RefReq 2 6 FB1_13 STD RESET -iobm/ES<2> 3 5 FB1_14 STD RESET -cnt/Timer<1> 4 5 FB1_15 STD RESET -cnt/Timer<3> 5 7 FB1_16 STD RESET -cnt/Timer<2> 5 6 FB1_17 STD RESET -ram/RS_FSM_FFd7 9 11 FB1_18 STD RESET -ram/RASrf 1 1 FB2_4 STD RESET -ram/DTACKr 1 1 FB2_5 STD RESET -iobs/IODONEr 1 1 FB2_6 STD RESET -iobs/IOACTr 1 1 FB2_7 STD RESET -iobm/VPAr 1 1 FB2_8 STD RESET -iobm/IOWRREQr 1 1 FB2_9 STD RESET -iobm/IOS_FSM_FFd5 1 1 FB2_10 STD RESET -iobm/IOS_FSM_FFd4 1 1 FB2_11 STD RESET -iobm/IOS_FSM_FFd1 1 1 FB2_12 STD RESET -iobm/IORDREQr 1 1 FB2_13 STD RESET -iobm/Er 1 1 FB2_14 STD RESET -iobm/C8Mr 1 1 FB2_15 STD RESET -cnt/nIPL2r 1 1 FB2_16 STD RESET -iobm/IOS_FSM_FFd2 2 4 FB2_17 STD RESET -IOBERR 2 2 FB2_18 STD RESET -QoSReady 18 25 FB3_2 STD RESET -cnt/WS<2> 3 5 FB3_3 STD RESET -ram/RS_FSM_FFd6 2 7 FB3_4 STD RESET -ram/RS_FSM_FFd5 2 3 FB3_5 STD RESET -iobs/TS_FSM_FFd1 2 3 FB3_6 STD RESET -ram/RASEL 3 8 FB3_7 STD RESET -cs/nOverlay 3 8 FB3_8 STD RESET +Signal Total Total Loc +Name Pts Inps +RAMReady 10 13 FB1_1 +iobm/IORDREQr 1 1 FB1_2 +iobm/Er 1 1 FB1_3 +ram/RS_FSM_FFd5 2 3 FB1_4 +iobm/IOS_FSM_FFd2 2 4 FB1_5 +WS 2 5 FB1_6 +ram/RefReq 3 7 FB1_7 +iobm/ES<2> 3 5 FB1_8 +QoSReady 3 7 FB1_9 +ram/RefUrg 4 8 FB1_10 +cnt/Credits<6> 4 11 FB1_11 +cnt/Credits<5> 4 10 FB1_12 +cnt/Credits<4> 4 9 FB1_13 +cnt/Credits<3> 4 8 FB1_14 +cnt/Credits<2> 4 7 FB1_15 +ram/RS_FSM_FFd7 8 10 FB1_16 +ram/RASEN 8 11 FB1_17 +iobm/IOS_FSM_FFd1 1 1 FB1_18 +ram/nRefClkR 1 1 FB2_1 +iobs/Clear1 1 2 FB2_2 +fsb/ASrf 1 1 FB2_3 +cnt/LTimerTC 1 12 FB2_4 +cnt/Er<1> 1 1 FB2_5 +cnt/C8Mr<1> 1 1 FB2_6 +BACTr<1> 1 2 FB2_7 +ALE0S 1 1 FB2_8 +iobs/TS_FSM_FFd1 2 3 FB2_9 +iobs/IOU1 2 2 FB2_10 +iobs/IOL1 2 2 FB2_11 +cnt/TimerTC 2 6 FB2_12 +cnt/Timer<0> 2 4 FB2_13 +cnt/Timer<1> 4 5 FB2_14 +cnt/Credits<0> 4 5 FB2_15 +cnt/Timer<2> 5 6 FB2_16 +RefClk 5 7 FB2_17 +cnt/Credits<1> 6 6 FB2_18 +cnt/LTimer<6> 18 29 FB3_2 +cnt/nIPL2r 1 1 FB3_3 +cnt/Er<0> 1 1 FB3_4 +cnt/LTimer<3> 15 26 FB3_5 -Signal Total Total Loc Pwr Reg Init -Name Pts Inps Mode State -cnt/WS<3> 3 6 FB3_10 STD RESET -cnt/WS<1> 3 4 FB3_11 STD RESET -iobs/Load1 8 17 FB3_12 STD RESET -iobs/Clear1 1 2 FB3_13 STD RESET -IORDREQ 9 15 FB3_15 STD RESET -iobs/Sent 13 18 FB3_16 STD RESET -ram/RS_FSM_FFd4 1 2 FB3_18 STD RESET -ram/BACTr 1 2 FB4_7 STD RESET -nRESout 1 2 FB4_9 STD RESET -fsb/ASrf 1 1 FB4_10 STD RESET -cnt/LTimerTC 1 12 FB4_12 STD RESET -ALE0S 1 1 FB4_13 STD RESET -$OpTx$$OpTx$FX_DC$350_INV$537 1 2 FB4_14 STD -cnt/LTimer<1> 11 24 FB4_16 STD RESET -cnt/LTimer<0> 22 34 FB4_18 STD RESET -ram/RS_FSM_FFd8 13 13 FB5_1 STD SET -cnt/WS<0> 1 3 FB5_8 STD RESET -ram/RASEN 10 12 FB5_10 STD RESET -RAMReady 10 14 FB5_13 STD RESET -IONPReady 5 16 FB5_16 STD RESET -ram/RASrr 12 13 FB5_18 STD RESET -iobm/IOS_FSM_FFd6 2 5 FB6_1 STD RESET -iobm/IOS_FSM_FFd7 3 6 FB6_3 STD SET -iobm/IOS_FSM_FFd3 3 5 FB6_4 STD RESET -iobm/ES<0> 3 6 FB6_5 STD RESET -iobm/ES<3> 4 6 FB6_6 STD RESET -iobm/ES<1> 4 6 FB6_7 STD RESET -iobm/DoutOE 4 8 FB6_8 STD RESET -IODONE 4 8 FB6_10 STD RESET -iobm/IOS0 5 12 FB6_13 STD RESET -ALE0M 5 11 FB6_16 STD RESET -IOACT 8 14 FB6_18 STD RESET -cnt/LTimer<9> 3 15 FB7_1 STD RESET -cnt/LTimer<8> 3 14 FB7_3 STD RESET -cnt/LTimer<7> 3 13 FB7_4 STD RESET -cnt/LTimer<6> 3 12 FB7_7 STD RESET -cnt/LTimer<5> 3 11 FB7_10 STD RESET -cnt/LTimer<4> 3 10 FB7_13 STD RESET -cnt/LTimer<3> 3 9 FB7_14 STD RESET -cnt/LTimer<2> 3 8 FB7_15 STD RESET +Signal Total Total Loc +Name Pts Inps +nRESout 1 2 FB3_7 +cnt/C8Mr<0> 1 1 FB3_10 +BACTr<3> 1 1 FB3_11 +cnt/LTimer<4> 16 27 FB3_14 +cnt/LTimer<9> 17 32 FB3_16 +ram/RS_FSM_FFd4 1 2 FB3_18 +cnt/IS_FSM_FFd1 1 7 FB4_1 +iobs/TS_FSM_FFd2 12 17 FB4_4 +iobs/Sent 11 16 FB4_7 +IOWRREQ 13 19 FB4_10 +iobs/IORW1 4 16 FB4_12 +IOU0 15 19 FB4_14 +IOL0 15 19 FB4_17 +BACTr<2> 1 1 FB5_3 +cnt/LTimer<11> 17 34 FB5_8 +IOBERR 2 2 FB5_10 +ram/RS_FSM_FFd8 10 12 FB5_13 +ram/RASrr 11 12 FB5_17 +cnt/LTimer<10> 17 33 FB5_18 +iobm/IOS_FSM_FFd6 2 5 FB6_1 +iobm/IOS_FSM_FFd7 3 6 FB6_3 +iobm/IOS_FSM_FFd3 3 5 FB6_4 +iobm/ES<0> 3 6 FB6_5 +iobm/ES<3> 4 6 FB6_6 +iobm/ES<1> 4 6 FB6_7 +iobm/DoutOE 4 8 FB6_8 +IODONE 4 8 FB6_10 +iobm/IOS0 5 12 FB6_13 +ALE0M 5 11 FB6_16 +IOACT 8 14 FB6_18 +cnt/LTimer<2> 14 25 FB7_1 +cnt/IS_FSM_FFd2 2 6 FB7_3 +ram/RASEL 3 8 FB7_4 +cnt/STimer<0> 8 20 FB7_7 +cnt/SndSlowEN 10 24 FB7_10 +cnt/LTimer<0> 12 23 FB7_13 +cs/nOverlay 3 8 FB7_14 +cnt/LTimer<1> 13 24 FB7_16 +ram/RS_FSM_FFd6 2 7 FB7_17 +iobm/C8Mr 1 1 FB7_18 -Signal Total Total Loc Pwr Reg Init -Name Pts Inps Mode State -cnt/LTimer<11> 3 17 FB7_16 STD RESET -cnt/LTimer<10> 3 16 FB7_17 STD RESET -cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 10 36 FB7_18 STD -IOL0 16 21 FB8_1 STD RESET -iobs/TS_FSM_FFd2 13 19 FB8_3 STD RESET -IOWRREQ 15 21 FB8_9 STD RESET -IOU0 16 21 FB8_14 STD RESET -cnt/IS_FSM_FFd1 1 7 FB8_16 STD RESET -iobs/IORW1 8 18 FB8_17 STD RESET +Signal Total Total Loc +Name Pts Inps +cnt/LTimer<7> 17 30 FB8_3 +IORDREQ 9 15 FB8_7 +cnt/LTimer<8> 17 31 FB8_9 +IOReady 5 15 FB8_11 +iobs/Load1 4 15 FB8_13 +cnt/LTimer<5> 17 28 FB8_16 ** 35 Inputs ** -Signal Loc Pin Pin Pin -Name No. Type Use -A_FSB<13> FB1_2 11 I/O I -A_FSB<14> FB1_3 12 I/O I -A_FSB<15> FB1_5 13 I/O I -A_FSB<16> FB1_6 14 I/O I -A_FSB<17> FB1_8 15 I/O I -A_FSB<18> FB1_9 16 I/O I -A_FSB<19> FB1_11 17 I/O I -A_FSB<20> FB1_12 18 I/O I -A_FSB<21> FB1_14 19 I/O I -A_FSB<22> FB1_15 20 I/O I -C16M FB1_17 22 GCK/I/O GCK -A_FSB<5> FB2_6 2 GTS/I/O I -A_FSB<6> FB2_8 3 GTS/I/O I -A_FSB<7> FB2_9 4 GTS/I/O I -A_FSB<8> FB2_11 6 I/O I -A_FSB<9> FB2_12 7 I/O I -A_FSB<10> FB2_14 8 I/O I -A_FSB<11> FB2_15 9 I/O I -A_FSB<12> FB2_17 10 I/O I -C8M FB3_2 23 GCK/I/O GCK/I -A_FSB<23> FB3_5 24 I/O I -E FB3_6 25 I/O I -FCLK FB3_8 27 GCK/I/O GCK -nWE_FSB FB3_11 29 I/O I -nLDS_FSB FB3_12 30 I/O I -nAS_FSB FB3_14 32 I/O I -nUDS_FSB FB3_15 33 I/O I -nIPL2 FB4_9 92 I/O I -A_FSB<1> FB4_12 94 I/O I -A_FSB<2> FB4_14 95 I/O I -A_FSB<3> FB4_15 96 I/O I -A_FSB<4> FB4_17 97 I/O I -nBERR_IOB FB6_5 76 I/O I -nVPA_IOB FB6_6 77 I/O I -nDTACK_IOB FB6_8 78 I/O I +Signal Loc Pin Pin Pin +Name No. Type Use +A_FSB<13> FB1_2 11 I/O I +A_FSB<14> FB1_3 12 I/O I +A_FSB<15> FB1_5 13 I/O I +A_FSB<16> FB1_6 14 I/O I +A_FSB<17> FB1_8 15 I/O I +A_FSB<18> FB1_9 16 I/O I +A_FSB<19> FB1_11 17 I/O I +A_FSB<20> FB1_12 18 I/O I +A_FSB<21> FB1_14 19 I/O I +A_FSB<22> FB1_15 20 I/O I +C16M FB1_17 22 GCK/I/O GCK +A_FSB<5> FB2_6 2 GTS/I/O I +A_FSB<6> FB2_8 3 GTS/I/O I +A_FSB<7> FB2_9 4 GTS/I/O I +A_FSB<8> FB2_11 6 I/O I +A_FSB<9> FB2_12 7 I/O I +A_FSB<10> FB2_14 8 I/O I +A_FSB<11> FB2_15 9 I/O I +A_FSB<12> FB2_17 10 I/O I +C8M FB3_2 23 GCK/I/O GCK/I +A_FSB<23> FB3_5 24 I/O I +E FB3_6 25 I/O I +FCLK FB3_8 27 GCK/I/O GCK +nWE_FSB FB3_11 29 I/O I +nLDS_FSB FB3_12 30 I/O I +nAS_FSB FB3_14 32 I/O I +nUDS_FSB FB3_15 33 I/O I +nIPL2 FB4_9 92 I/O I +A_FSB<1> FB4_12 94 I/O I +A_FSB<2> FB4_14 95 I/O I +A_FSB<3> FB4_15 96 I/O I +A_FSB<4> FB4_17 97 I/O I +nBERR_IOB FB6_5 76 I/O I +nVPA_IOB FB6_6 77 I/O I +nDTACK_IOB FB6_8 78 I/O I Legend: Pin No. - ~ - User Assigned +************************* Summary of UnMapped Logic ************************ + +** 11 Buried Nodes ** + +Signal Total Total User +Name Pts Inps Assignment +iobm/IOS_FSM_FFd4 1 1 +iobm/IOS_FSM_FFd5 1 1 +iobm/IOWRREQr 1 1 +iobm/VPAr 1 1 +iobs/IOACTr 1 1 +iobs/IODONEr 1 1 +ram/DTACKr 1 1 +ram/RASrf 1 1 +ram/RS_FSM_FFd1 1 1 +ram/RS_FSM_FFd2 1 1 +ram/RS_FSM_FFd3 1 1 + ************************** Function Block Details ************************ Legend: Total Pt - Total product terms used by the macrocell signal @@ -281,293 +299,302 @@ Pin Type/Use - I - Input GCK - Global Clock X - Signal used as input to the macrocell logic. Pin No. - ~ - User Assigned *********************************** FB1 *********************************** +Number of function block inputs used/remaining: 44/10 +Number of signals used by logic mapping into function block: 44 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +RAMReady 10 5<- 0 0 FB1_1 (b) (b) +iobm/IORDREQr 1 1<- /\5 0 FB1_2 11 I/O I +iobm/Er 1 0 /\1 3 FB1_3 12 I/O I +ram/RS_FSM_FFd5 2 0 0 3 FB1_4 (b) (b) +iobm/IOS_FSM_FFd2 2 0 0 3 FB1_5 13 I/O I +WS 2 0 0 3 FB1_6 14 I/O I +ram/RefReq 3 0 0 2 FB1_7 (b) (b) +iobm/ES<2> 3 0 0 2 FB1_8 15 I/O I +QoSReady 3 0 0 2 FB1_9 16 I/O I +ram/RefUrg 4 0 0 1 FB1_10 (b) (b) +cnt/Credits<6> 4 0 0 1 FB1_11 17 I/O I +cnt/Credits<5> 4 0 0 1 FB1_12 18 I/O I +cnt/Credits<4> 4 0 0 1 FB1_13 (b) (b) +cnt/Credits<3> 4 0 \/1 0 FB1_14 19 I/O I +cnt/Credits<2> 4 1<- \/2 0 FB1_15 20 I/O I +ram/RS_FSM_FFd7 8 3<- 0 0 FB1_16 (b) (b) +ram/RASEN 8 4<- /\1 0 FB1_17 22 GCK/I/O GCK +iobm/IOS_FSM_FFd1 1 0 /\4 0 FB1_18 (b) (b) + +Signals Used by Logic in Function Block + 1: A_FSB<22> 16: cnt/Credits<1> 31: nAS_FSB + 2: A_FSB<23> 17: cnt/Credits<2> 32: ram/DTACKr + 3: BACTr<1> 18: cnt/Credits<3> 33: ram/RASEN + 4: BACTr<2> 19: cnt/Credits<4> 34: ram/RS_FSM_FFd1 + 5: BACTr<3> 20: cnt/Credits<5> 35: ram/RS_FSM_FFd2 + 6: E 21: cnt/Credits<6> 36: ram/RS_FSM_FFd3 + 7: IOBERR 22: cnt/SndSlowEN 37: ram/RS_FSM_FFd4 + 8: IODONE 23: fsb/ASrf 38: ram/RS_FSM_FFd5 + 9: IORDREQ 24: iobm/C8Mr 39: ram/RS_FSM_FFd6 + 10: QoSReady 25: iobm/ES<0> 40: ram/RS_FSM_FFd7 + 11: RefClk 26: iobm/ES<1> 41: ram/RS_FSM_FFd8 + 12: WS 27: iobm/ES<2> 42: ram/RefReq + 13: cnt/C8Mr<0> 28: iobm/Er 43: ram/RefUrg + 14: cnt/C8Mr<1> 29: iobm/IOS_FSM_FFd2 44: ram/nRefClkR + 15: cnt/Credits<0> 30: iobm/IOS_FSM_FFd3 + +Signal 1 2 3 4 5 FB +Name 0----+----0----+----0----+----0----+----0----+----0 Inputs +RAMReady XXX...................X.......X.XX..XXX.XXX....... 13 +iobm/IORDREQr ........X......................................... 1 +iobm/Er .....X............................................ 1 +ram/RS_FSM_FFd5 ...............................X.....XX........... 3 +iobm/IOS_FSM_FFd2 ......XX...............X.....X.................... 4 +WS ..XXX.................X.......X................... 5 +ram/RefReq ..........X......................XXX...X.X.X...... 7 +iobm/ES<2> .....X..................XXXX...................... 5 +QoSReady .........X.......XXXX.X.......X................... 7 +ram/RefUrg ..........X......................XXX...X.XXX...... 8 +cnt/Credits<6> ...........XXXXXXXXXXX............................ 11 +cnt/Credits<5> ...........XXXXXXXXX.X............................ 10 +cnt/Credits<4> ...........XXXXXXXX..X............................ 9 +cnt/Credits<3> ...........XXXXXXX...X............................ 8 +cnt/Credits<2> ...........XXXXXX....X............................ 7 +ram/RS_FSM_FFd7 XXX...................X.......X.X...X...XXX....... 10 +ram/RASEN XXX...................X.......X.XX..X...XXX....... 11 +iobm/IOS_FSM_FFd1 ............................X..................... 1 + 0----+----1----+----2----+----3----+----4----+----5 + 0 0 0 0 0 + *********************************** FB2 *********************************** Number of function block inputs used/remaining: 33/21 Number of signals used by logic mapping into function block: 33 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -ram/RS_FSM_FFd3 1 0 /\4 0 FB1_1 (b) (b) -ram/RS_FSM_FFd2 1 0 0 4 FB1_2 11 I/O I -ram/RS_FSM_FFd1 1 0 0 4 FB1_3 12 I/O I -cnt/Er<1> 1 0 0 4 FB1_4 (b) (b) -cnt/Er<0> 1 0 0 4 FB1_5 13 I/O I -ram/RefDone 2 0 0 3 FB1_6 14 I/O I -iobs/IOU1 2 0 0 3 FB1_7 (b) (b) -iobs/IOL1 2 0 0 3 FB1_8 15 I/O I -cnt/TimerTC 2 0 0 3 FB1_9 16 I/O I -cnt/Timer<0> 2 0 0 3 FB1_10 (b) (b) -cnt/IS_FSM_FFd2 2 0 0 3 FB1_11 17 I/O I -RefUrg 2 0 0 3 FB1_12 18 I/O I -RefReq 2 0 0 3 FB1_13 (b) (b) -iobm/ES<2> 3 0 0 2 FB1_14 19 I/O I -cnt/Timer<1> 4 0 0 1 FB1_15 20 I/O I -cnt/Timer<3> 5 0 0 0 FB1_16 (b) (b) -cnt/Timer<2> 5 0 0 0 FB1_17 22 GCK/I/O GCK -ram/RS_FSM_FFd7 9 4<- 0 0 FB1_18 (b) (b) +ram/nRefClkR 1 0 /\1 3 FB2_1 (b) (b) +iobs/Clear1 1 0 0 4 FB2_2 99 GSR/I/O (b) +fsb/ASrf 1 0 0 4 FB2_3 (b) (b) +cnt/LTimerTC 1 0 0 4 FB2_4 (b) (b) +cnt/Er<1> 1 0 0 4 FB2_5 1 GTS/I/O (b) +cnt/C8Mr<1> 1 0 0 4 FB2_6 2 GTS/I/O I +BACTr<1> 1 0 0 4 FB2_7 (b) (b) +ALE0S 1 0 0 4 FB2_8 3 GTS/I/O I +iobs/TS_FSM_FFd1 2 0 0 3 FB2_9 4 GTS/I/O I +iobs/IOU1 2 0 0 3 FB2_10 (b) (b) +iobs/IOL1 2 0 0 3 FB2_11 6 I/O I +cnt/TimerTC 2 0 0 3 FB2_12 7 I/O I +cnt/Timer<0> 2 0 0 3 FB2_13 (b) (b) +cnt/Timer<1> 4 0 0 1 FB2_14 8 I/O I +cnt/Credits<0> 4 0 0 1 FB2_15 9 I/O I +cnt/Timer<2> 5 0 0 0 FB2_16 (b) (b) +RefClk 5 0 0 0 FB2_17 10 I/O I +cnt/Credits<1> 6 1<- 0 0 FB2_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<22> 12: cnt/Timer<1> 23: nLDS_FSB - 2: A_FSB<23> 13: cnt/Timer<2> 24: nUDS_FSB - 3: E 14: cnt/Timer<3> 25: ram/BACTr - 4: RefReq 15: cnt/TimerTC 26: ram/RASEN - 5: RefUrg 16: fsb/ASrf 27: ram/RS_FSM_FFd1 - 6: cnt/Er<0> 17: iobm/ES<0> 28: ram/RS_FSM_FFd2 - 7: cnt/Er<1> 18: iobm/ES<1> 29: ram/RS_FSM_FFd3 - 8: cnt/IS_FSM_FFd1 19: iobm/ES<2> 30: ram/RS_FSM_FFd4 - 9: cnt/IS_FSM_FFd2 20: iobm/Er 31: ram/RS_FSM_FFd7 - 10: cnt/LTimerTC 21: iobs/Load1 32: ram/RS_FSM_FFd8 - 11: cnt/Timer<0> 22: nAS_FSB 33: ram/RefDone + 1: RefClk 12: cnt/LTimer<1> 23: cnt/Timer<1> + 2: WS 13: cnt/LTimer<2> 24: cnt/Timer<2> + 3: cnt/C8Mr<0> 14: cnt/LTimer<3> 25: cnt/TimerTC + 4: cnt/C8Mr<1> 15: cnt/LTimer<4> 26: fsb/ASrf + 5: cnt/Credits<0> 16: cnt/LTimer<5> 27: iobs/IOACTr + 6: cnt/Credits<1> 17: cnt/LTimer<6> 28: iobs/Load1 + 7: cnt/Er<0> 18: cnt/LTimer<7> 29: iobs/TS_FSM_FFd1 + 8: cnt/Er<1> 19: cnt/LTimer<8> 30: iobs/TS_FSM_FFd2 + 9: cnt/LTimer<0> 20: cnt/LTimer<9> 31: nAS_FSB + 10: cnt/LTimer<10> 21: cnt/SndSlowEN 32: nLDS_FSB + 11: cnt/LTimer<11> 22: cnt/Timer<0> 33: nUDS_FSB Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -ram/RS_FSM_FFd3 ..............................X......... 1 -ram/RS_FSM_FFd2 ............................X........... 1 -ram/RS_FSM_FFd1 ...........................X............ 1 -cnt/Er<1> .....X.................................. 1 -cnt/Er<0> ..X..................................... 1 -ram/RefDone ...XX.....................XXX.X.X....... 7 -iobs/IOU1 ....................X..X................ 2 -iobs/IOL1 ....................X.X................. 2 -cnt/TimerTC .....XX...XXXX.......................... 6 -cnt/Timer<0> .....XX...X...X......................... 4 -cnt/IS_FSM_FFd2 .....XXXXX....X......................... 6 -RefUrg .....XX....XXX.......................... 5 -RefReq .....XX...XXXX.......................... 6 -iobm/ES<2> ..X.............XXXX.................... 5 -cnt/Timer<1> .....XX...XX..X......................... 5 -cnt/Timer<3> .....XX...XXXXX......................... 7 -cnt/Timer<2> .....XX...XXX.X......................... 6 -ram/RS_FSM_FFd7 XX.XX..........X.....X..XX...X.XX....... 11 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 - *********************************** FB2 *********************************** -Number of function block inputs used/remaining: 18/36 -Number of signals used by logic mapping into function block: 18 -Signal Total Imp Exp Unused Loc Pin Pin Pin -Name Pt Pt Pt Pt # Type Use -(unused) 0 0 0 5 FB2_1 (b) -(unused) 0 0 0 5 FB2_2 99 GSR/I/O -(unused) 0 0 0 5 FB2_3 (b) -ram/RASrf 1 0 0 4 FB2_4 (b) (b) -ram/DTACKr 1 0 0 4 FB2_5 1 GTS/I/O (b) -iobs/IODONEr 1 0 0 4 FB2_6 2 GTS/I/O I -iobs/IOACTr 1 0 0 4 FB2_7 (b) (b) -iobm/VPAr 1 0 0 4 FB2_8 3 GTS/I/O I -iobm/IOWRREQr 1 0 0 4 FB2_9 4 GTS/I/O I -iobm/IOS_FSM_FFd5 1 0 0 4 FB2_10 (b) (b) -iobm/IOS_FSM_FFd4 1 0 0 4 FB2_11 6 I/O I -iobm/IOS_FSM_FFd1 1 0 0 4 FB2_12 7 I/O I -iobm/IORDREQr 1 0 0 4 FB2_13 (b) (b) -iobm/Er 1 0 0 4 FB2_14 8 I/O I -iobm/C8Mr 1 0 0 4 FB2_15 9 I/O I -cnt/nIPL2r 1 0 0 4 FB2_16 (b) (b) -iobm/IOS_FSM_FFd2 2 0 0 3 FB2_17 10 I/O I -IOBERR 2 0 0 3 FB2_18 (b) (b) - -Signals Used by Logic in Function Block - 1: C8M 7: IOWRREQ 13: nAS_IOB - 2: E 8: iobm/C8Mr 14: nBERR_IOB - 3: IOACT 9: iobm/IOS_FSM_FFd2 15: nDTACK_FSB - 4: IOBERR 10: iobm/IOS_FSM_FFd3 16: nIPL2 - 5: IODONE 11: iobm/IOS_FSM_FFd5 17: nVPA_IOB - 6: IORDREQ 12: iobm/IOS_FSM_FFd6 18: ram/RS_FSM_FFd6 - -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -ram/RASrf .................X...................... 1 -ram/DTACKr ..............X......................... 1 -iobs/IODONEr ....X................................... 1 -iobs/IOACTr ..X..................................... 1 -iobm/VPAr ................X....................... 1 -iobm/IOWRREQr ......X................................. 1 -iobm/IOS_FSM_FFd5 ...........X............................ 1 -iobm/IOS_FSM_FFd4 ..........X............................. 1 -iobm/IOS_FSM_FFd1 ........X............................... 1 -iobm/IORDREQr .....X.................................. 1 -iobm/Er .X...................................... 1 -iobm/C8Mr X....................................... 1 -cnt/nIPL2r ...............X........................ 1 -iobm/IOS_FSM_FFd2 ...XX..X.X.............................. 4 -IOBERR ............XX.......................... 2 +ram/nRefClkR X....................................... 1 +iobs/Clear1 ............................XX.......... 2 +fsb/ASrf ..............................X......... 1 +cnt/LTimerTC ........XXXXXXXXXXXX.................... 12 +cnt/Er<1> ......X................................. 1 +cnt/C8Mr<1> ..X..................................... 1 +BACTr<1> .........................X....X......... 2 +ALE0S .............................X.......... 1 +iobs/TS_FSM_FFd1 ..........................X.XX.......... 3 +iobs/IOU1 ...........................X....X....... 2 +iobs/IOL1 ...........................X...X........ 2 +cnt/TimerTC X.....XX.............XXX................ 6 +cnt/Timer<0> ......XX.............X..X............... 4 +cnt/Timer<1> ......XX.............XX.X............... 5 +cnt/Credits<0> .XXXX...............X................... 5 +cnt/Timer<2> ......XX.............XXXX............... 6 +RefClk X.....XX.............XXXX............... 7 +cnt/Credits<1> .XXXXX..............X................... 6 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB3 *********************************** -Number of function block inputs used/remaining: 43/11 -Number of signals used by logic mapping into function block: 43 +Number of function block inputs used/remaining: 44/10 +Number of signals used by logic mapping into function block: 44 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use (unused) 0 0 \/5 0 FB3_1 (b) (b) -QoSReady 18 13<- 0 0 FB3_2 23 GCK/I/O GCK/I -cnt/WS<2> 3 2<- /\4 0 FB3_3 (b) (b) -ram/RS_FSM_FFd6 2 0 /\2 1 FB3_4 (b) (b) -ram/RS_FSM_FFd5 2 0 0 3 FB3_5 24 I/O I -iobs/TS_FSM_FFd1 2 0 0 3 FB3_6 25 I/O I -ram/RASEL 3 0 \/2 0 FB3_7 (b) (b) -cs/nOverlay 3 2<- \/4 0 FB3_8 27 GCK/I/O GCK -nDTACK_FSB 9 4<- 0 0 FB3_9 28 I/O O -cnt/WS<3> 3 0 \/1 1 FB3_10 (b) (b) -cnt/WS<1> 3 1<- \/3 0 FB3_11 29 I/O I -iobs/Load1 8 3<- 0 0 FB3_12 30 I/O I -iobs/Clear1 1 0 \/3 1 FB3_13 (b) (b) -(unused) 0 0 \/5 0 FB3_14 32 I/O I -IORDREQ 9 8<- \/4 0 FB3_15 33 I/O I -iobs/Sent 13 8<- 0 0 FB3_16 (b) (b) +cnt/LTimer<6> 18 13<- 0 0 FB3_2 23 GCK/I/O GCK/I +cnt/nIPL2r 1 0 /\4 0 FB3_3 (b) (b) +cnt/Er<0> 1 0 \/4 0 FB3_4 (b) (b) +cnt/LTimer<3> 15 10<- 0 0 FB3_5 24 I/O I +(unused) 0 0 /\5 0 FB3_6 25 I/O I +nRESout 1 0 /\1 3 FB3_7 (b) (b) +(unused) 0 0 \/5 0 FB3_8 27 GCK/I/O GCK +nDTACK_FSB 14 9<- 0 0 FB3_9 28 I/O O +cnt/C8Mr<0> 1 0 /\4 0 FB3_10 (b) (b) +BACTr<3> 1 0 \/4 0 FB3_11 29 I/O I +(unused) 0 0 \/5 0 FB3_12 30 I/O I +(unused) 0 0 \/5 0 FB3_13 (b) (b) +cnt/LTimer<4> 16 14<- \/3 0 FB3_14 32 I/O I +(unused) 0 0 \/5 0 FB3_15 33 I/O I +cnt/LTimer<9> 17 12<- 0 0 FB3_16 (b) (b) nROMWE 1 0 /\4 0 FB3_17 34 I/O O ram/RS_FSM_FFd4 1 0 \/4 0 FB3_18 (b) (b) Signals Used by Logic in Function Block - 1: $OpTx$$OpTx$FX_DC$350_INV$537 16: A_FSB<8> 30: fsb/ASrf - 2: A_FSB<10> 17: A_FSB<9> 31: iobs/IOACTr - 3: A_FSB<11> 18: IONPReady 32: iobs/IORW1 - 4: A_FSB<12> 19: IORDREQ 33: iobs/Sent - 5: A_FSB<13> 20: nRES.PIN 34: iobs/TS_FSM_FFd1 - 6: A_FSB<14> 21: QoSReady 35: iobs/TS_FSM_FFd2 - 7: A_FSB<15> 22: RAMReady 36: nADoutLE1 - 8: A_FSB<16> 23: cnt/LTimer<0> 37: nAS_FSB - 9: A_FSB<17> 24: cnt/LTimer<1> 38: nWE_FSB - 10: A_FSB<18> 25: cnt/WS<0> 39: ram/DTACKr - 11: A_FSB<19> 26: cnt/WS<1> 40: ram/RASEN - 12: A_FSB<20> 27: cnt/WS<2> 41: ram/RS_FSM_FFd5 - 13: A_FSB<21> 28: cnt/WS<3> 42: ram/RS_FSM_FFd6 - 14: A_FSB<22> 29: cs/nOverlay 43: ram/RS_FSM_FFd8 - 15: A_FSB<23> + 1: A_FSB<10> 16: A_FSB<9> 31: cnt/LTimer<4> + 2: A_FSB<11> 17: BACTr<2> 32: cnt/LTimer<5> + 3: A_FSB<12> 18: C8M 33: cnt/LTimer<6> + 4: A_FSB<13> 19: E 34: cnt/LTimer<7> + 5: A_FSB<14> 20: IOReady 35: cnt/LTimer<8> + 6: A_FSB<15> 21: QoSReady 36: cnt/STimer<0> + 7: A_FSB<16> 22: RAMReady 37: cnt/TimerTC + 8: A_FSB<17> 23: cnt/Er<0> 38: fsb/ASrf + 9: A_FSB<18> 24: cnt/Er<1> 39: nADoutLE1 + 10: A_FSB<19> 25: cnt/IS_FSM_FFd1 40: nAS_FSB + 11: A_FSB<20> 26: cnt/IS_FSM_FFd2 41: nIPL2 + 12: A_FSB<21> 27: cnt/LTimer<0> 42: nWE_FSB + 13: A_FSB<22> 28: cnt/LTimer<1> 43: ram/DTACKr + 14: A_FSB<23> 29: cnt/LTimer<2> 44: ram/RS_FSM_FFd5 + 15: A_FSB<8> 30: cnt/LTimer<3> Signal 1 2 3 4 5 FB Name 0----+----0----+----0----+----0----+----0----+----0 Inputs -QoSReady XXXXXXXXXXXXXXXXX...X.XXXXXX.........X............ 25 -cnt/WS<2> ........................XXX..X......X............. 5 -ram/RS_FSM_FFd6 .............XX.............XX......X..X..X....... 7 -ram/RS_FSM_FFd5 ......................................X.XX........ 3 -iobs/TS_FSM_FFd1 ..............................X..XX............... 3 -ram/RASEL .............XX.............XX......X..X.XX....... 8 -cs/nOverlay ...........XXXX....X........XX......X............. 8 -nDTACK_FSB ....XX.XXXXXXXX..X..XX.......X.....XXX............ 17 -cnt/WS<3> ........................XXXX.X......X............. 6 -cnt/WS<1> ........................XX...X......X............. 4 -iobs/Load1 ....XX.XXXXXXXX..............X..XXXXXX............ 17 -iobs/Clear1 .................................XX............... 2 -IORDREQ ...........XXXX...X.........XXXXXXXXXX............ 15 -iobs/Sent ....XX.XXXXXXXX.............XX..XXXXXX............ 18 -nROMWE ....................................XX............ 2 -ram/RS_FSM_FFd4 ......................................X.X......... 2 +cnt/LTimer<6> XXXXXXXXXXXXXXXX......XX..XXXXXX...XXX.X.X........ 29 +cnt/nIPL2r ........................................X......... 1 +cnt/Er<0> ..................X............................... 1 +cnt/LTimer<3> XXXXXXXXXXXXXXXX......XX..XXX......XXX.X.X........ 26 +nRESout ........................XX........................ 2 +nDTACK_FSB ......XXXXXXXX.....XXX...............XXX.X........ 15 +cnt/C8Mr<0> .................X................................ 1 +BACTr<3> ................X................................. 1 +cnt/LTimer<4> XXXXXXXXXXXXXXXX......XX..XXXX.....XXX.X.X........ 27 +cnt/LTimer<9> XXXXXXXXXXXXXXXX......XX..XXXXXXXXXXXX.X.X........ 32 +nROMWE .......................................X.X........ 2 +ram/RS_FSM_FFd4 ..........................................XX...... 2 0----+----1----+----2----+----3----+----4----+----5 0 0 0 0 0 *********************************** FB4 *********************************** -Number of function block inputs used/remaining: 43/11 -Number of signals used by logic mapping into function block: 43 +Number of function block inputs used/remaining: 40/14 +Number of signals used by logic mapping into function block: 40 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -(unused) 0 0 /\5 0 FB4_1 (b) (b) -nAoutOE 2 2<- /\5 0 FB4_2 87 I/O O -(unused) 0 0 /\2 3 FB4_3 (b) (b) -(unused) 0 0 0 5 FB4_4 (b) -nDoutOE 2 0 0 3 FB4_5 89 I/O O -nDinOE 3 0 0 2 FB4_6 90 I/O O -ram/BACTr 1 0 0 4 FB4_7 (b) (b) -nRES 1 0 0 4 FB4_8 91 I/O I/O -nRESout 1 0 0 4 FB4_9 92 I/O I -fsb/ASrf 1 0 0 4 FB4_10 (b) (b) -nVPA_FSB 3 0 0 2 FB4_11 93 I/O O -cnt/LTimerTC 1 0 0 4 FB4_12 94 I/O I -ALE0S 1 0 0 4 FB4_13 (b) (b) -$OpTx$$OpTx$FX_DC$350_INV$537 - 1 0 \/3 1 FB4_14 95 I/O I -(unused) 0 0 \/5 0 FB4_15 96 I/O I -cnt/LTimer<1> 11 8<- \/2 0 FB4_16 (b) (b) -(unused) 0 0 \/5 0 FB4_17 97 I/O I -cnt/LTimer<0> 22 17<- 0 0 FB4_18 (b) (b) +cnt/IS_FSM_FFd1 1 0 0 4 FB4_1 (b) (b) +nAoutOE 2 0 0 3 FB4_2 87 I/O O +(unused) 0 0 \/5 0 FB4_3 (b) (b) +iobs/TS_FSM_FFd2 12 7<- 0 0 FB4_4 (b) (b) +nDoutOE 2 0 /\2 1 FB4_5 89 I/O O +nDinOE 3 0 \/2 0 FB4_6 90 I/O O +iobs/Sent 11 6<- 0 0 FB4_7 (b) (b) +nRES 1 0 /\4 0 FB4_8 91 I/O I/O +(unused) 0 0 \/5 0 FB4_9 92 I/O I +IOWRREQ 13 8<- 0 0 FB4_10 (b) (b) +nVPA_FSB 3 1<- /\3 0 FB4_11 93 I/O O +iobs/IORW1 4 0 /\1 0 FB4_12 94 I/O I +(unused) 0 0 \/5 0 FB4_13 (b) (b) +IOU0 15 10<- 0 0 FB4_14 95 I/O I +(unused) 0 0 /\5 0 FB4_15 96 I/O I +(unused) 0 0 \/5 0 FB4_16 (b) (b) +IOL0 15 10<- 0 0 FB4_17 97 I/O I +(unused) 0 0 /\5 0 FB4_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<10> 16: A_FSB<9> 30: cnt/LTimer<8> - 2: A_FSB<11> 17: IONPReady 31: cnt/LTimer<9> - 3: A_FSB<12> 18: cnt/IS_FSM_FFd1 32: cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - 4: A_FSB<13> 19: cnt/IS_FSM_FFd2 33: fsb/ASrf - 5: A_FSB<14> 20: cnt/LTimer<0> 34: iobm/DoutOE - 6: A_FSB<15> 21: cnt/LTimer<10> 35: iobm/IORDREQr - 7: A_FSB<16> 22: cnt/LTimer<11> 36: iobm/IOS0 - 8: A_FSB<17> 23: cnt/LTimer<1> 37: iobm/IOWRREQr - 9: A_FSB<18> 24: cnt/LTimer<2> 38: iobs/TS_FSM_FFd2 - 10: A_FSB<19> 25: cnt/LTimer<3> 39: nAS_FSB - 11: A_FSB<20> 26: cnt/LTimer<4> 40: nAoutOE - 12: A_FSB<21> 27: cnt/LTimer<5> 41: nBR_IOB - 13: A_FSB<22> 28: cnt/LTimer<6> 42: nRESout - 14: A_FSB<23> 29: cnt/LTimer<7> 43: nWE_FSB - 15: A_FSB<8> + 1: A_FSB<16> 15: cnt/IS_FSM_FFd1 28: iobs/IORW1 + 2: A_FSB<17> 16: cnt/IS_FSM_FFd2 29: iobs/IOU1 + 3: A_FSB<18> 17: cnt/LTimerTC 30: iobs/Sent + 4: A_FSB<19> 18: cnt/TimerTC 31: iobs/TS_FSM_FFd1 + 5: A_FSB<20> 19: cnt/nIPL2r 32: iobs/TS_FSM_FFd2 + 6: A_FSB<21> 20: cs/nOverlay 33: nADoutLE1 + 7: A_FSB<22> 21: fsb/ASrf 34: nAS_FSB + 8: A_FSB<23> 22: iobm/DoutOE 35: nAoutOE + 9: IOL0 23: iobm/IORDREQr 36: nBR_IOB + 10: IOReady 24: iobm/IOS0 37: nLDS_FSB + 11: IOU0 25: iobm/IOWRREQr 38: nRESout + 12: IOWRREQ 26: iobs/IOACTr 39: nUDS_FSB + 13: cnt/Er<0> 27: iobs/IOL1 40: nWE_FSB + 14: cnt/Er<1> Signal 1 2 3 4 5 FB Name 0----+----0----+----0----+----0----+----0----+----0 Inputs -nAoutOE .................XX....................XX......... 4 -nDoutOE .................................XXXX..X.......... 5 -nDinOE ..........XXXX........................X...X....... 6 -ram/BACTr ................................X.....X........... 2 -nRES .........................................X........ 1 -nRESout .................XX............................... 2 -fsb/ASrf ......................................X........... 1 -nVPA_FSB ......XXXXXXXX..X...............X.....X........... 11 -cnt/LTimerTC ...................XXXXXXXXXXXX................... 12 -ALE0S .....................................X............ 1 -$OpTx$$OpTx$FX_DC$350_INV$537 - ................................X.....X........... 2 -cnt/LTimer<1> XXXXXXXXXXXXXXXX.XXX..X........XX.....X...X....... 24 -cnt/LTimer<0> XXXXXXXXXXXXXXXX.XXXXXXXXXXXXXXXX.....X...X....... 34 +cnt/IS_FSM_FFd1 ............XXXXXXX............................... 7 +nAoutOE ..............XX..................XX.............. 4 +iobs/TS_FSM_FFd2 XXXXXXXX...........XX....X...XXXXX.....X.......... 17 +nDoutOE .....................XXXX.........X............... 5 +nDinOE ....XXXX...........X.............X.....X.......... 7 +iobs/Sent XXXXXXXX...........XX........XXXXX.....X.......... 16 +nRES .....................................X............ 1 +IOWRREQ XXXXXXXX...X.......XX....X.X.XXXXX.....X.......... 19 +nVPA_FSB XXXXXXXX.X..........X............X................ 11 +iobs/IORW1 XXXXXXXX............X......X.XXXXX.....X.......... 16 +IOU0 XXXXXXXX..X........XX.......XXXXXX....XX.......... 19 +IOL0 XXXXXXXXX..........XX.....X..XXXXX..X..X.......... 19 0----+----1----+----2----+----3----+----4----+----5 0 0 0 0 0 *********************************** FB5 *********************************** -Number of function block inputs used/remaining: 39/15 -Number of signals used by logic mapping into function block: 39 +Number of function block inputs used/remaining: 54/0 +Number of signals used by logic mapping into function block: 54 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -ram/RS_FSM_FFd8 13 8<- 0 0 FB5_1 (b) (b) -nROMCS 2 2<- /\5 0 FB5_2 35 I/O O -(unused) 0 0 /\2 3 FB5_3 (b) (b) +(unused) 0 0 /\5 0 FB5_1 (b) (b) +nROMCS 2 0 /\3 0 FB5_2 35 I/O O +BACTr<2> 1 0 \/2 2 FB5_3 (b) (b) (unused) 0 0 \/5 0 FB5_4 (b) (b) -nCAS 15 10<- 0 0 FB5_5 36 I/O O -nOE 3 3<- /\5 0 FB5_6 37 I/O O -(unused) 0 0 /\3 2 FB5_7 (b) (b) -cnt/WS<0> 1 0 \/2 2 FB5_8 39 I/O (b) -RA<4> 2 2<- \/5 0 FB5_9 40 I/O O -ram/RASEN 10 5<- 0 0 FB5_10 (b) (b) +nCAS 12 7<- 0 0 FB5_5 36 I/O O +nOE 3 0 \/2 0 FB5_6 37 I/O O +(unused) 0 0 \/5 0 FB5_7 (b) (b) +cnt/LTimer<11> 17 12<- 0 0 FB5_8 39 I/O (b) +RA<4> 2 2<- /\5 0 FB5_9 40 I/O O +IOBERR 2 0 /\2 1 FB5_10 (b) (b) RA<3> 2 0 \/2 1 FB5_11 41 I/O O RA<5> 2 2<- \/5 0 FB5_12 42 I/O O -RAMReady 10 5<- 0 0 FB5_13 (b) (b) +ram/RS_FSM_FFd8 10 5<- 0 0 FB5_13 (b) (b) RA<2> 2 0 \/2 1 FB5_14 43 I/O O RA<6> 2 2<- \/5 0 FB5_15 46 I/O O -IONPReady 5 5<- \/5 0 FB5_16 (b) (b) -(unused) 0 0 \/5 0 FB5_17 49 I/O (b) -ram/RASrr 12 10<- \/3 0 FB5_18 (b) (b) +(unused) 0 0 \/5 0 FB5_16 (b) (b) +ram/RASrr 11 10<- \/4 0 FB5_17 49 I/O (b) +cnt/LTimer<10> 17 12<- 0 0 FB5_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<11> 14: A_FSB<4> 27: ram/BACTr - 2: A_FSB<12> 15: A_FSB<5> 28: ram/DTACKr - 3: A_FSB<13> 16: A_FSB<7> 29: ram/RASEL - 4: A_FSB<14> 17: IONPReady 30: ram/RASEN - 5: A_FSB<16> 18: RefReq 31: ram/RS_FSM_FFd1 - 6: A_FSB<17> 19: RefUrg 32: ram/RS_FSM_FFd2 - 7: A_FSB<18> 20: cnt/WS<0> 33: ram/RS_FSM_FFd3 - 8: A_FSB<19> 21: cs/nOverlay 34: ram/RS_FSM_FFd4 - 9: A_FSB<20> 22: fsb/ASrf 35: ram/RS_FSM_FFd5 - 10: A_FSB<21> 23: iobs/IODONEr 36: ram/RS_FSM_FFd6 - 11: A_FSB<22> 24: iobs/Sent 37: ram/RS_FSM_FFd7 - 12: A_FSB<23> 25: nAS_FSB 38: ram/RS_FSM_FFd8 - 13: A_FSB<3> 26: nWE_FSB 39: ram/RefDone + 1: A_FSB<10> 19: A_FSB<8> 37: cs/nOverlay + 2: A_FSB<11> 20: A_FSB<9> 38: fsb/ASrf + 3: A_FSB<12> 21: BACTr<1> 39: nAS_FSB + 4: A_FSB<13> 22: cnt/Er<0> 40: nAS_IOB + 5: A_FSB<14> 23: cnt/Er<1> 41: nBERR_IOB + 6: A_FSB<15> 24: cnt/LTimer<0> 42: nWE_FSB + 7: A_FSB<16> 25: cnt/LTimer<10> 43: ram/DTACKr + 8: A_FSB<17> 26: cnt/LTimer<1> 44: ram/RASEL + 9: A_FSB<18> 27: cnt/LTimer<2> 45: ram/RASEN + 10: A_FSB<19> 28: cnt/LTimer<3> 46: ram/RS_FSM_FFd1 + 11: A_FSB<20> 29: cnt/LTimer<4> 47: ram/RS_FSM_FFd2 + 12: A_FSB<21> 30: cnt/LTimer<5> 48: ram/RS_FSM_FFd3 + 13: A_FSB<22> 31: cnt/LTimer<6> 49: ram/RS_FSM_FFd4 + 14: A_FSB<23> 32: cnt/LTimer<7> 50: ram/RS_FSM_FFd5 + 15: A_FSB<3> 33: cnt/LTimer<8> 51: ram/RS_FSM_FFd7 + 16: A_FSB<4> 34: cnt/LTimer<9> 52: ram/RS_FSM_FFd8 + 17: A_FSB<5> 35: cnt/STimer<0> 53: ram/RefReq + 18: A_FSB<7> 36: cnt/TimerTC 54: ram/RefUrg -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -ram/RS_FSM_FFd8 ..........XX.....XX.XX..X.X..XX..X...XX. 13 -nROMCS ........XXXX........X................... 5 -nCAS ..........XX.....XX..X..X.XX.XXXXXX.XXX. 17 -nOE .....................X..XXXX............ 5 -cnt/WS<0> ...................X.X..X............... 3 -RA<4> X...........X...............X........... 3 -ram/RASEN ..........XX.....XX..X..X.X..XX..X...XX. 12 -RA<3> .......XX...................X........... 3 -RA<5> .X...........X..............X........... 3 -RAMReady ..........XX.....XX..X..X.X..XX..XXX.XX. 14 -RA<2> ....X..........X............X........... 3 -RA<6> ..X...........X.............X........... 3 -IONPReady ..XXXXXXXXXX....X....XXXXX.............. 16 -ram/RASrr ..........XX.....XX.XX..X.X..X...X..XXX. 13 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 +Signal 1 2 3 4 5 6 FB +Name 0----+----0----+----0----+----0----+----0----+----0----+----0 Inputs +nROMCS ..........XXXX......................X....................... 5 +BACTr<2> ....................X....................................... 1 +nCAS ............XX......X................XX...X.XXXXXXXXXX...... 16 +nOE ....................X................XX..XX................. 5 +cnt/LTimer<11> XXXXXXXXXXXXXX....XX.XXXXXXXXXXXXXXX.XX..X.................. 34 +RA<4> .X............X............................X................ 3 +IOBERR .......................................XX................... 2 +RA<3> .........XX................................X................ 3 +RA<5> ..X............X...........................X................ 3 +ram/RS_FSM_FFd8 ............XX......X...............XXX.....XX..X..XXX...... 12 +RA<2> ......X..........X.........................X................ 3 +RA<6> ...X............X..........................X................ 3 +ram/RASrr ............XX......X...............XXX.....X...X.XXXX...... 12 +cnt/LTimer<10> XXXXXXXXXXXXXX....XX.XXX.XXXXXXXXXXX.XX..X.................. 33 + 0----+----1----+----2----+----3----+----4----+----5----+----6 + 0 0 0 0 0 0 *********************************** FB6 *********************************** Number of function block inputs used/remaining: 36/18 Number of signals used by logic mapping into function block: 36 @@ -629,132 +656,130 @@ IOACT ...XXX...X......X.XXXXXXXX.....X........ 14 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB7 *********************************** -Number of function block inputs used/remaining: 41/13 -Number of signals used by logic mapping into function block: 41 +Number of function block inputs used/remaining: 40/14 +Number of signals used by logic mapping into function block: 40 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -cnt/LTimer<9> 3 1<- /\3 0 FB7_1 (b) (b) -RA<1> 2 0 /\1 2 FB7_2 50 I/O O -cnt/LTimer<8> 3 0 0 2 FB7_3 (b) (b) -cnt/LTimer<7> 3 0 0 2 FB7_4 (b) (b) +cnt/LTimer<2> 14 9<- 0 0 FB7_1 (b) (b) +RA<1> 2 2<- /\5 0 FB7_2 50 I/O O +cnt/IS_FSM_FFd2 2 0 /\2 1 FB7_3 (b) (b) +ram/RASEL 3 0 0 2 FB7_4 (b) (b) RA<7> 2 0 0 3 FB7_5 52 I/O O -RA<0> 2 0 0 3 FB7_6 53 I/O O -cnt/LTimer<6> 3 0 0 2 FB7_7 (b) (b) -RA<8> 2 0 0 3 FB7_8 54 I/O O -RA<10> 2 0 0 3 FB7_9 55 I/O O -cnt/LTimer<5> 3 0 0 2 FB7_10 (b) (b) -RA<9> 2 0 0 3 FB7_11 56 I/O O -C25MEN 0 0 0 5 FB7_12 58 I/O O -cnt/LTimer<4> 3 0 0 2 FB7_13 (b) (b) -cnt/LTimer<3> 3 0 0 2 FB7_14 59 I/O (b) -cnt/LTimer<2> 3 0 0 2 FB7_15 60 I/O (b) -cnt/LTimer<11> 3 0 0 2 FB7_16 (b) (b) -cnt/LTimer<10> 3 0 \/2 0 FB7_17 61 I/O (b) -cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - 10 5<- 0 0 FB7_18 (b) (b) +RA<0> 2 0 \/3 0 FB7_6 53 I/O O +cnt/STimer<0> 8 3<- 0 0 FB7_7 (b) (b) +RA<8> 2 0 \/2 1 FB7_8 54 I/O O +RA<10> 2 2<- \/5 0 FB7_9 55 I/O O +cnt/SndSlowEN 10 5<- 0 0 FB7_10 (b) (b) +RA<9> 2 0 \/1 2 FB7_11 56 I/O O +C25MEN 1 1<- \/5 0 FB7_12 58 I/O O +cnt/LTimer<0> 12 7<- 0 0 FB7_13 (b) (b) +cs/nOverlay 3 0 /\2 0 FB7_14 59 I/O (b) +(unused) 0 0 \/5 0 FB7_15 60 I/O (b) +cnt/LTimer<1> 13 8<- 0 0 FB7_16 (b) (b) +ram/RS_FSM_FFd6 2 0 /\3 0 FB7_17 61 I/O (b) +iobm/C8Mr 1 0 \/4 0 FB7_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<10> 15: A_FSB<23> 29: cnt/LTimer<2> - 2: A_FSB<11> 16: A_FSB<2> 30: cnt/LTimer<3> - 3: A_FSB<12> 17: A_FSB<6> 31: cnt/LTimer<4> - 4: A_FSB<13> 18: A_FSB<7> 32: cnt/LTimer<5> - 5: A_FSB<14> 19: A_FSB<8> 33: cnt/LTimer<6> - 6: A_FSB<15> 20: A_FSB<9> 34: cnt/LTimer<7> - 7: A_FSB<16> 21: cnt/Er<0> 35: cnt/LTimer<8> - 8: A_FSB<17> 22: cnt/Er<1> 36: cnt/LTimer<9> - 9: A_FSB<18> 23: cnt/IS_FSM_FFd1 37: cnt/TimerTC - 10: A_FSB<19> 24: cnt/IS_FSM_FFd2 38: fsb/ASrf - 11: A_FSB<1> 25: cnt/LTimer<0> 39: nAS_FSB - 12: A_FSB<20> 26: cnt/LTimer<10> 40: nWE_FSB - 13: A_FSB<21> 27: cnt/LTimer<11> 41: ram/RASEL - 14: A_FSB<22> 28: cnt/LTimer<1> + 1: A_FSB<10> 15: A_FSB<23> 28: cnt/LTimer<1> + 2: A_FSB<11> 16: A_FSB<2> 29: cnt/LTimerTC + 3: A_FSB<12> 17: A_FSB<6> 30: cnt/STimer<0> + 4: A_FSB<13> 18: A_FSB<7> 31: cnt/SndSlowEN + 5: A_FSB<14> 19: A_FSB<8> 32: cnt/TimerTC + 6: A_FSB<15> 20: A_FSB<9> 33: cs/nOverlay + 7: A_FSB<16> 21: C8M 34: fsb/ASrf + 8: A_FSB<17> 22: nRES.PIN 35: nAS_FSB + 9: A_FSB<18> 23: cnt/Er<0> 36: nWE_FSB + 10: A_FSB<19> 24: cnt/Er<1> 37: ram/RASEL + 11: A_FSB<1> 25: cnt/IS_FSM_FFd1 38: ram/RASEN + 12: A_FSB<20> 26: cnt/IS_FSM_FFd2 39: ram/RS_FSM_FFd6 + 13: A_FSB<21> 27: cnt/LTimer<0> 40: ram/RS_FSM_FFd8 + 14: A_FSB<22> Signal 1 2 3 4 5 FB Name 0----+----0----+----0----+----0----+----0----+----0 Inputs -cnt/LTimer<9> ....................XXXXX..XXXXXXXXXX............. 15 -RA<1> X..............X........................X......... 3 -cnt/LTimer<8> ....................XXXXX..XXXXXXXX.X............. 14 -cnt/LTimer<7> ....................XXXXX..XXXXXXX..X............. 13 -RA<7> ....X...........X.......................X......... 3 -RA<0> ..........X........X....................X......... 3 -cnt/LTimer<6> ....................XXXXX..XXXXXX...X............. 12 -RA<8> ........X...X...........................X......... 3 -RA<10> .......X.........X......................X......... 3 -cnt/LTimer<5> ....................XXXXX..XXXXX....X............. 11 -RA<9> .....X............X.....................X......... 3 +cnt/LTimer<2> XXXXXXXXXX.XXXX...XX..XX..XX.X.X.XXX.............. 25 +RA<1> X..............X....................X............. 3 +cnt/IS_FSM_FFd2 ......................XXXX..X..X.................. 6 +ram/RASEL .............XX.................XXX..XXX.......... 8 +RA<7> ....X...........X...................X............. 3 +RA<0> ..........X........X................X............. 3 +cnt/STimer<0> XXXXXXXXXX.XXXX...XX.........X...XXX.............. 20 +RA<8> ........X...X.......................X............. 3 +RA<10> .......X.........X..................X............. 3 +cnt/SndSlowEN XXXXXXXXXX.XXXX...XX..XX.....XXX.XXX.............. 24 +RA<9> .....X............X.................X............. 3 C25MEN .................................................. 0 -cnt/LTimer<4> ....................XXXXX..XXXX.....X............. 10 -cnt/LTimer<3> ....................XXXXX..XXX......X............. 9 -cnt/LTimer<2> ....................XXXXX..XX.......X............. 8 -cnt/LTimer<11> ....................XXXXXXXXXXXXXXXXX............. 17 -cnt/LTimer<10> ....................XXXXXX.XXXXXXXXXX............. 16 -cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - XXXXXXXXXX.XXXX...XXXXXXXXXXXXXXXXXXXXXX.......... 36 +cnt/LTimer<0> XXXXXXXXXX.XXXX...XX..XX.....X.X.XXX.............. 23 +cs/nOverlay ...........XXXX......X..........XXX............... 8 +cnt/LTimer<1> XXXXXXXXXX.XXXX...XX..XX..X..X.X.XXX.............. 24 +ram/RS_FSM_FFd6 .............XX.................XXX..X.X.......... 7 +iobm/C8Mr ....................X............................. 1 0----+----1----+----2----+----3----+----4----+----5 0 0 0 0 0 *********************************** FB8 *********************************** -Number of function block inputs used/remaining: 41/13 -Number of signals used by logic mapping into function block: 41 +Number of function block inputs used/remaining: 53/1 +Number of signals used by logic mapping into function block: 53 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -IOL0 16 11<- 0 0 FB8_1 (b) (b) -RA<11> 2 2<- /\5 0 FB8_2 63 I/O O -iobs/TS_FSM_FFd2 13 10<- /\2 0 FB8_3 (b) (b) +(unused) 0 0 \/2 3 FB8_1 (b) (b) +RA<11> 2 2<- \/5 0 FB8_2 63 I/O O +cnt/LTimer<7> 17 12<- 0 0 FB8_3 (b) (b) (unused) 0 0 /\5 0 FB8_4 (b) (b) -nRAS 3 3<- /\5 0 FB8_5 64 I/O O -nRAMLWE 1 0 /\3 1 FB8_6 65 I/O O -(unused) 0 0 \/1 4 FB8_7 (b) (b) -nRAMUWE 1 1<- \/5 0 FB8_8 66 I/O O -IOWRREQ 15 10<- 0 0 FB8_9 67 I/O (b) +nRAS 3 0 /\2 0 FB8_5 64 I/O O +nRAMLWE 1 0 \/4 0 FB8_6 65 I/O O +IORDREQ 9 4<- 0 0 FB8_7 (b) (b) +nRAMUWE 1 0 \/4 0 FB8_8 66 I/O O +cnt/LTimer<8> 17 12<- 0 0 FB8_9 67 I/O (b) (unused) 0 0 /\5 0 FB8_10 (b) (b) -(unused) 0 0 \/1 4 FB8_11 68 I/O (b) -nBERR_FSB 3 1<- \/3 0 FB8_12 70 I/O O -(unused) 0 0 \/5 0 FB8_13 (b) (b) -IOU0 16 11<- 0 0 FB8_14 71 I/O (b) -nBR_IOB 2 0 /\3 0 FB8_15 72 I/O O -cnt/IS_FSM_FFd1 1 0 \/4 0 FB8_16 (b) (b) -iobs/IORW1 8 4<- \/1 0 FB8_17 73 I/O (b) -(unused) 0 0 \/5 0 FB8_18 (b) (b) +IOReady 5 3<- /\3 0 FB8_11 68 I/O (b) +nBERR_FSB 3 1<- /\3 0 FB8_12 70 I/O O +iobs/Load1 4 0 /\1 0 FB8_13 (b) (b) +(unused) 0 0 \/2 3 FB8_14 71 I/O (b) +nBR_IOB 2 2<- \/5 0 FB8_15 72 I/O O +cnt/LTimer<5> 17 12<- 0 0 FB8_16 (b) (b) +(unused) 0 0 /\5 0 FB8_17 73 I/O (b) +(unused) 0 0 /\2 3 FB8_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<13> 15: cnt/Er<0> 29: iobs/TS_FSM_FFd1 - 2: A_FSB<14> 16: cnt/Er<1> 30: iobs/TS_FSM_FFd2 - 3: A_FSB<16> 17: cnt/IS_FSM_FFd1 31: nADoutLE1 - 4: A_FSB<17> 18: cnt/IS_FSM_FFd2 32: nAS_FSB - 5: A_FSB<18> 19: cnt/LTimerTC 33: nBERR_FSB - 6: A_FSB<19> 20: cnt/TimerTC 34: nBR_IOB - 7: A_FSB<20> 21: cnt/nIPL2r 35: nLDS_FSB - 8: A_FSB<21> 22: cs/nOverlay 36: nUDS_FSB - 9: A_FSB<22> 23: fsb/ASrf 37: nWE_FSB - 10: A_FSB<23> 24: iobs/IOACTr 38: ram/RASEL - 11: IOBERR 25: iobs/IOL1 39: ram/RASEN - 12: IOL0 26: iobs/IORW1 40: ram/RASrf - 13: IOU0 27: iobs/IOU1 41: ram/RASrr - 14: IOWRREQ 28: iobs/Sent + 1: A_FSB<10> 19: IOReady 37: iobs/IOACTr + 2: A_FSB<11> 20: cnt/Er<0> 38: iobs/IODONEr + 3: A_FSB<12> 21: cnt/Er<1> 39: iobs/IORW1 + 4: A_FSB<13> 22: cnt/IS_FSM_FFd1 40: iobs/Sent + 5: A_FSB<14> 23: cnt/IS_FSM_FFd2 41: iobs/TS_FSM_FFd1 + 6: A_FSB<15> 24: cnt/LTimer<0> 42: iobs/TS_FSM_FFd2 + 7: A_FSB<16> 25: cnt/LTimer<1> 43: nADoutLE1 + 8: A_FSB<17> 26: cnt/LTimer<2> 44: nAS_FSB + 9: A_FSB<18> 27: cnt/LTimer<3> 45: nBERR_FSB + 10: A_FSB<19> 28: cnt/LTimer<4> 46: nBR_IOB + 11: A_FSB<20> 29: cnt/LTimer<5> 47: nLDS_FSB + 12: A_FSB<21> 30: cnt/LTimer<6> 48: nUDS_FSB + 13: A_FSB<22> 31: cnt/LTimer<7> 49: nWE_FSB + 14: A_FSB<23> 32: cnt/STimer<0> 50: ram/RASEL + 15: A_FSB<8> 33: cnt/TimerTC 51: ram/RASEN + 16: A_FSB<9> 34: cnt/nIPL2r 52: ram/RASrf + 17: IOBERR 35: cs/nOverlay 53: ram/RASrr + 18: IORDREQ 36: fsb/ASrf -Signal 1 2 3 4 5 FB -Name 0----+----0----+----0----+----0----+----0----+----0 Inputs -IOL0 XXXXXXXXXX.X.........XX.X..XXXXX..X.X............. 21 -RA<11> .....XX..............................X............ 3 -iobs/TS_FSM_FFd2 XXXXXXXXXX...........XXX...XXXXX....X............. 19 -nRAS ........XX...........X.........X......XXX......... 7 -nRAMLWE ..................................X.XX............ 3 -nRAMUWE ...................................XXX............ 3 -IOWRREQ XXXXXXXXXX...X.......XXX.X.XXXXX....X............. 21 -nBERR_FSB ..........X...........X....X...XX................. 5 -IOU0 XXXXXXXXXX..X........XX...XXXXXX...XX............. 21 -nBR_IOB ................XX..X............X................ 4 -cnt/IS_FSM_FFd1 ..............XXXXXXX............................. 7 -iobs/IORW1 XXXXXXXXXX............X..X.XXXXX....X............. 18 - 0----+----1----+----2----+----3----+----4----+----5 - 0 0 0 0 0 +Signal 1 2 3 4 5 6 FB +Name 0----+----0----+----0----+----0----+----0----+----0----+----0 Inputs +RA<11> .........XX......................................X.......... 3 +cnt/LTimer<7> XXXXXXXXXXXXXXXX...XX..XXXXXXX.XX..X.......X....X........... 30 +nRAS ............XX....................X........X......XXX....... 7 +nRAMLWE ..............................................X.XX.......... 3 +IORDREQ ..........XXXX...X................XXX.XXXXXX....X........... 15 +nRAMUWE ...............................................XXX.......... 3 +cnt/LTimer<8> XXXXXXXXXXXXXXXX...XX..XXXXXXXXXX..X.......X....X........... 31 +IOReady ......XXXXXXXX....X................X.X.X..XX....X........... 15 +nBERR_FSB ................X..................X...X...XX............... 5 +iobs/Load1 ......XXXXXXXX.....................X...XXXXX....X........... 15 +nBR_IOB .....................XX..........X...........X.............. 4 +cnt/LTimer<5> XXXXXXXXXXXXXXXX...XX..XXXXX...XX..X.......X....X........... 28 + 0----+----1----+----2----+----3----+----4----+----5----+----6 + 0 0 0 0 0 0 ******************************* Equations ******************************** ********** Mapped Logic ********** - -$OpTx$$OpTx$FX_DC$350_INV$537 <= (nAS_FSB AND NOT fsb/ASrf); - FDCPE_ALE0M: FDCPE port map (ALE0M,ALE0M_D,C16M,'0','0'); ALE0M_D <= ((iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND nAoutOE) @@ -772,1191 +797,10 @@ ALE0M_D <= ((iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd3 AND FDCPE_ALE0S: FDCPE port map (ALE0S,iobs/TS_FSM_FFd2,FCLK,'0','0'); +FDCPE_BACTr1: FDCPE port map (BACTr(1),BACTr_D(1),FCLK,'0','0'); +BACTr_D(1) <= (nAS_FSB AND NOT fsb/ASrf); -C25MEN <= '1'; +FDCPE_BACTr2: FDCPE port map (BACTr(2),BACTr(1),FCLK,'0','0'); +FDCPE_BACTr3: FDCPE port map (BACTr(3),BACTr(2),FCLK,'0','0'); - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -FDCPE_IOACT: FDCPE port map (IOACT,IOACT_D,C16M,'0','0'); -IOACT_D <= ((iobm/IOS_FSM_FFd4) - OR (iobm/IOS_FSM_FFd5) - OR (iobm/IOS_FSM_FFd6) - OR (NOT IOBERR AND NOT IODONE AND iobm/IOS_FSM_FFd3) - OR (iobm/IOS_FSM_FFd7 AND iobm/IOWRREQr AND NOT nAoutOE) - OR (NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd3 AND IOACT AND - NOT iobm/IOS_FSM_FFd1 AND NOT iobm/IOS_FSM_FFd2) - OR (iobm/IOS_FSM_FFd3 AND iobm/C8Mr) - OR (iobm/IOS_FSM_FFd7 AND iobm/IORDREQr AND NOT nAoutOE)); - -FDCPE_IOBERR: FDCPE port map (IOBERR,NOT nBERR_IOB,NOT C8M,nAS_IOB,'0'); - -FDCPE_IODONE: FDCPE port map (IODONE,IODONE_D,NOT C8M,nAS_IOB,'0'); -IODONE_D <= ((NOT nRES.PIN) - OR (NOT nDTACK_IOB) - OR (NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(2) AND NOT iobm/ES(1) AND - iobm/ES(3))); - -FTCPE_IOL0: FTCPE port map (IOL0,IOL0_T,FCLK,'0','0'); -IOL0_T <= ((iobs/TS_FSM_FFd1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19) AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18) AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(17) AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16) AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND cs/nOverlay AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (iobs/IORW1.EXP) - OR (NOT iobs/IOL1 AND NOT IOL0 AND NOT nADoutLE1) - OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND - nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20) AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (nLDS_FSB AND NOT IOL0 AND nADoutLE1) - OR (NOT nLDS_FSB AND IOL0 AND nADoutLE1) - OR (iobs/IOL1 AND IOL0 AND NOT nADoutLE1)); - -FDCPE_IONPReady: FDCPE port map (IONPReady,IONPReady_D,FCLK,'0','0'); -IONPReady_D <= ((NOT iobs/Sent AND NOT IONPReady) - OR (NOT IONPReady AND NOT iobs/IODONEr) - OR (nAS_FSB AND NOT fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND - NOT nWE_FSB AND NOT IONPReady) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND - NOT nWE_FSB AND NOT IONPReady)); - -FDCPE_IORDREQ: FDCPE port map (IORDREQ,IORDREQ_D,FCLK,'0','0'); -IORDREQ_D <= ((iobs/Clear1.EXP) - OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr) - OR (iobs/TS_FSM_FFd2 AND NOT IORDREQ) - OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT nWE_FSB AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT iobs/IORW1 AND NOT iobs/TS_FSM_FFd2 AND NOT nADoutLE1) - OR (iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2)); - -FTCPE_IOU0: FTCPE port map (IOU0,IOU0_T,FCLK,'0','0'); -IOU0_T <= ((iobs/TS_FSM_FFd1) - OR (nBERR_FSB_OBUF.EXP) - OR (NOT iobs/IOU1 AND NOT IOU0 AND NOT nADoutLE1) - OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND - nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20) AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18) AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(17) AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16) AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (nUDS_FSB AND NOT IOU0 AND nADoutLE1) - OR (NOT nUDS_FSB AND IOU0 AND nADoutLE1) - OR (iobs/IOU1 AND IOU0 AND NOT nADoutLE1)); - -FDCPE_IOWRREQ: FDCPE port map (IOWRREQ,IOWRREQ_D,FCLK,'0','0'); -IOWRREQ_D <= ((A_FSB(22) AND A_FSB(21) AND NOT iobs/Sent AND NOT nWE_FSB AND - NOT nAS_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/Sent AND NOT nWE_FSB AND - NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) - OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Sent AND NOT nWE_FSB AND - NOT nAS_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Sent AND NOT nWE_FSB AND - NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) - OR (A_FSB(22) AND NOT iobs/Sent AND NOT cs/nOverlay AND NOT nWE_FSB AND - NOT nAS_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (A_FSB(22) AND NOT iobs/Sent AND NOT cs/nOverlay AND NOT nWE_FSB AND - NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) - OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND - A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND NOT iobs/Sent AND NOT nWE_FSB AND - NOT nAS_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND - A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND NOT iobs/Sent AND NOT nWE_FSB AND - NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) - OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND - A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND NOT iobs/Sent AND NOT nWE_FSB AND - NOT nAS_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND - A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND NOT iobs/Sent AND NOT nWE_FSB AND - NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) - OR (NOT iobs/TS_FSM_FFd1 AND iobs/TS_FSM_FFd2 AND IOWRREQ) - OR (iobs/TS_FSM_FFd2 AND NOT iobs/IOACTr AND IOWRREQ) - OR (NOT iobs/IORW1 AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND - NOT nADoutLE1) - OR (A_FSB(23) AND NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND - NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (A_FSB(23) AND NOT iobs/Sent AND NOT nWE_FSB AND - NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1)); - -FDCPE_QoSReady: FDCPE port map (QoSReady,QoSReady_D,FCLK,'0','0'); -QoSReady_D <= ((ram/RS_FSM_FFd4.EXP) - OR (A_FSB(22) AND A_FSB(21) AND - NOT $OpTx$$OpTx$FX_DC$350_INV$537) - OR (A_FSB(22) AND A_FSB(19) AND - NOT $OpTx$$OpTx$FX_DC$350_INV$537) - OR (A_FSB(22) AND A_FSB(18) AND - NOT $OpTx$$OpTx$FX_DC$350_INV$537) - OR (A_FSB(22) AND A_FSB(15) AND - NOT $OpTx$$OpTx$FX_DC$350_INV$537) - OR (A_FSB(22) AND A_FSB(12) AND - NOT $OpTx$$OpTx$FX_DC$350_INV$537) - OR (A_FSB(22) AND NOT A_FSB(17) AND - NOT $OpTx$$OpTx$FX_DC$350_INV$537) - OR (A_FSB(22) AND NOT A_FSB(16) AND - NOT $OpTx$$OpTx$FX_DC$350_INV$537) - OR (A_FSB(22) AND NOT A_FSB(13) AND - NOT $OpTx$$OpTx$FX_DC$350_INV$537) - OR (A_FSB(22) AND NOT A_FSB(14) AND - NOT $OpTx$$OpTx$FX_DC$350_INV$537) - OR (A_FSB(23) AND NOT $OpTx$$OpTx$FX_DC$350_INV$537) - OR (NOT cnt/LTimer(0) AND NOT cnt/LTimer(1)) - OR (NOT nWE_FSB AND NOT $OpTx$$OpTx$FX_DC$350_INV$537) - OR (QoSReady AND NOT $OpTx$$OpTx$FX_DC$350_INV$537) - OR (A_FSB(22) AND A_FSB(20) AND - NOT $OpTx$$OpTx$FX_DC$350_INV$537)); - - -RA(0) <= ((ram/RASEL AND A_FSB(1)) - OR (NOT ram/RASEL AND A_FSB(9))); - - -RA(1) <= ((A_FSB(10) AND NOT ram/RASEL) - OR (ram/RASEL AND A_FSB(2))); - - -RA(2) <= ((A_FSB(16) AND NOT ram/RASEL) - OR (ram/RASEL AND A_FSB(7))); - - -RA(3) <= ((A_FSB(20) AND ram/RASEL) - OR (A_FSB(19) AND NOT ram/RASEL)); - - -RA(4) <= ((A_FSB(11) AND NOT ram/RASEL) - OR (ram/RASEL AND A_FSB(3))); - - -RA(5) <= ((A_FSB(12) AND NOT ram/RASEL) - OR (ram/RASEL AND A_FSB(4))); - - -RA(6) <= ((A_FSB(13) AND NOT ram/RASEL) - OR (ram/RASEL AND A_FSB(5))); - - -RA(7) <= ((A_FSB(14) AND NOT ram/RASEL) - OR (ram/RASEL AND A_FSB(6))); - - -RA(8) <= ((A_FSB(21) AND ram/RASEL) - OR (A_FSB(18) AND NOT ram/RASEL)); - - -RA(9) <= ((A_FSB(15) AND NOT ram/RASEL) - OR (ram/RASEL AND A_FSB(8))); - - -RA(10) <= ((A_FSB(17) AND NOT ram/RASEL) - OR (ram/RASEL AND A_FSB(7))); - - -RA(11) <= ((A_FSB(20) AND ram/RASEL) - OR (A_FSB(19) AND NOT ram/RASEL)); - -FDCPE_RAMReady: FDCPE port map (RAMReady,RAMReady_D,FCLK,'0','0'); -RAMReady_D <= ((RefUrg AND NOT ram/RefDone AND nAS_FSB AND - NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd6 AND NOT fsb/ASrf) - OR (A_FSB(23) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd5 AND - NOT ram/RS_FSM_FFd6 AND NOT ram/BACTr) - OR (A_FSB(23) AND RefReq AND NOT ram/RefDone AND - NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd5 AND - NOT ram/RS_FSM_FFd6 AND NOT ram/BACTr AND fsb/ASrf) - OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd5 AND - NOT ram/RS_FSM_FFd6 AND NOT ram/BACTr) - OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND - NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd5 AND - NOT ram/RS_FSM_FFd6 AND NOT ram/BACTr AND fsb/ASrf) - OR (NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4 AND - NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd6) - OR (A_FSB(23) AND RefUrg AND NOT ram/RefDone AND - NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd6) - OR (A_FSB(22) AND RefUrg AND NOT ram/RefDone AND - NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd6) - OR (RefUrg AND NOT ram/RefDone AND NOT ram/RS_FSM_FFd8 AND - NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd6) - OR (RefUrg AND NOT ram/RefDone AND NOT ram/RASEN AND - NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd6)); - -FDCPE_RefReq: FDCPE port map (RefReq,RefReq_D,FCLK,'0','0',RefReq_CE); -RefReq_D <= (NOT cnt/Timer(0) AND cnt/Timer(1) AND NOT cnt/Timer(2) AND - cnt/Timer(3)); -RefReq_CE <= (NOT cnt/Er(0) AND cnt/Er(1)); - -FDCPE_RefUrg: FDCPE port map (RefUrg,RefUrg_D,FCLK,'0','0',RefUrg_CE); -RefUrg_D <= (NOT cnt/Timer(1) AND NOT cnt/Timer(2) AND cnt/Timer(3)); -RefUrg_CE <= (NOT cnt/Er(0) AND cnt/Er(1)); - -FDCPE_cnt/Er0: FDCPE port map (cnt/Er(0),E,FCLK,'0','0'); - -FDCPE_cnt/Er1: FDCPE port map (cnt/Er(1),cnt/Er(0),FCLK,'0','0'); - -FTCPE_cnt/IS_FSM_FFd1: FTCPE port map (cnt/IS_FSM_FFd1,cnt/IS_FSM_FFd1_T,FCLK,'0','0'); -cnt/IS_FSM_FFd1_T <= (cnt/TimerTC AND NOT cnt/IS_FSM_FFd1 AND cnt/IS_FSM_FFd2 AND - NOT cnt/Er(0) AND cnt/nIPL2r AND cnt/Er(1) AND cnt/LTimerTC); - -FTCPE_cnt/IS_FSM_FFd2: FTCPE port map (cnt/IS_FSM_FFd2,cnt/IS_FSM_FFd2_T,FCLK,'0','0'); -cnt/IS_FSM_FFd2_T <= ((cnt/TimerTC AND cnt/IS_FSM_FFd1 AND cnt/IS_FSM_FFd2 AND - NOT cnt/Er(0) AND cnt/Er(1) AND cnt/LTimerTC) - OR (cnt/TimerTC AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND - NOT cnt/Er(0) AND cnt/Er(1) AND cnt/LTimerTC)); - -FDCPE_cnt/LTimer0: FDCPE port map (cnt/LTimer(0),cnt/LTimer_D(0),FCLK,'0','0'); -cnt/LTimer_D(0) <= ((nAoutOE_OBUF.EXP) - OR (NOT cnt/LTimer(0) AND cnt/LTimer(10) AND - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT cnt/LTimer(0) AND cnt/LTimer(8) AND - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT cnt/LTimer(0) AND cnt/LTimer(9) AND - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT cnt/LTimer(0) AND cnt/LTimer(11) AND - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND - NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND - A_FSB(8) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (cnt/LTimer(1).EXP) - OR (NOT cnt/LTimer(0) AND cnt/LTimer(3) AND - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT cnt/LTimer(0) AND cnt/LTimer(4) AND - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT cnt/LTimer(0) AND cnt/LTimer(5) AND - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT cnt/LTimer(0) AND cnt/LTimer(6) AND - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT cnt/LTimer(0) AND cnt/LTimer(7) AND - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (cnt/LTimer(0) AND - NOT cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT cnt/LTimer(0) AND cnt/LTimer(1) AND - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT cnt/LTimer(0) AND cnt/LTimer(2) AND - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT cnt/LTimer(0) AND NOT cnt/IS_FSM_FFd1 AND - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT cnt/LTimer(0) AND cnt/IS_FSM_FFd2 AND - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2)); - -FDCPE_cnt/LTimer1: FDCPE port map (cnt/LTimer(1),cnt/LTimer_D(1),FCLK,'0','0'); -cnt/LTimer_D(1) <= (($OpTx$$OpTx$FX_DC$350_INV$537.EXP) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND - NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND - A_FSB(9) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND - NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND - A_FSB(8) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND - NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(8) AND - fsb/ASrf AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND - NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND - A_FSB(9) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND - NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND - A_FSB(8) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT cnt/LTimer(0) AND NOT cnt/LTimer(1)) - OR (NOT cnt/LTimer(1) AND - NOT cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (cnt/LTimer(0) AND cnt/LTimer(1) AND - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2)); - -FTCPE_cnt/LTimer2: FTCPE port map (cnt/LTimer(2),cnt/LTimer_T(2),FCLK,'0','0'); -cnt/LTimer_T(2) <= ((cnt/LTimer(2) AND cnt/IS_FSM_FFd1 AND - NOT cnt/IS_FSM_FFd2) - OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/TimerTC AND - NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) - OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/TimerTC AND - cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); - -FTCPE_cnt/LTimer3: FTCPE port map (cnt/LTimer(3),cnt/LTimer_T(3),FCLK,'0','0'); -cnt/LTimer_T(3) <= ((cnt/LTimer(3) AND cnt/IS_FSM_FFd1 AND - NOT cnt/IS_FSM_FFd2) - OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND - cnt/TimerTC AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) - OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND - cnt/TimerTC AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); - -FTCPE_cnt/LTimer4: FTCPE port map (cnt/LTimer(4),cnt/LTimer_T(4),FCLK,'0','0'); -cnt/LTimer_T(4) <= ((cnt/LTimer(4) AND cnt/IS_FSM_FFd1 AND - NOT cnt/IS_FSM_FFd2) - OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND - cnt/LTimer(3) AND cnt/TimerTC AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND - cnt/Er(1)) - OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND - cnt/LTimer(3) AND cnt/TimerTC AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND - cnt/Er(1))); - -FTCPE_cnt/LTimer5: FTCPE port map (cnt/LTimer(5),cnt/LTimer_T(5),FCLK,'0','0'); -cnt/LTimer_T(5) <= ((cnt/LTimer(5) AND cnt/IS_FSM_FFd1 AND - NOT cnt/IS_FSM_FFd2) - OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND - cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/TimerTC AND NOT cnt/IS_FSM_FFd1 AND - NOT cnt/Er(0) AND cnt/Er(1)) - OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND - cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/TimerTC AND cnt/IS_FSM_FFd2 AND - NOT cnt/Er(0) AND cnt/Er(1))); - -FTCPE_cnt/LTimer6: FTCPE port map (cnt/LTimer(6),cnt/LTimer_T(6),FCLK,'0','0'); -cnt/LTimer_T(6) <= ((cnt/LTimer(6) AND cnt/IS_FSM_FFd1 AND - NOT cnt/IS_FSM_FFd2) - OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND - cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/TimerTC AND - NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) - OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND - cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/TimerTC AND - cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); - -FTCPE_cnt/LTimer7: FTCPE port map (cnt/LTimer(7),cnt/LTimer_T(7),FCLK,'0','0'); -cnt/LTimer_T(7) <= ((cnt/LTimer(7) AND cnt/IS_FSM_FFd1 AND - NOT cnt/IS_FSM_FFd2) - OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND - cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND - cnt/TimerTC AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) - OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND - cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND - cnt/TimerTC AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); - -FTCPE_cnt/LTimer8: FTCPE port map (cnt/LTimer(8),cnt/LTimer_T(8),FCLK,'0','0'); -cnt/LTimer_T(8) <= ((cnt/LTimer(8) AND cnt/IS_FSM_FFd1 AND - NOT cnt/IS_FSM_FFd2) - OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND - cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND - cnt/LTimer(7) AND cnt/TimerTC AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND - cnt/Er(1)) - OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND - cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND - cnt/LTimer(7) AND cnt/TimerTC AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND - cnt/Er(1))); - -FTCPE_cnt/LTimer9: FTCPE port map (cnt/LTimer(9),cnt/LTimer_T(9),FCLK,'0','0'); -cnt/LTimer_T(9) <= ((cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND - cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND - cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/TimerTC AND cnt/IS_FSM_FFd2 AND - NOT cnt/Er(0) AND cnt/Er(1)) - OR (cnt/LTimer(9) AND cnt/IS_FSM_FFd1 AND - NOT cnt/IS_FSM_FFd2) - OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND - cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND - cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/TimerTC AND NOT cnt/IS_FSM_FFd1 AND - NOT cnt/Er(0) AND cnt/Er(1))); - -FTCPE_cnt/LTimer10: FTCPE port map (cnt/LTimer(10),cnt/LTimer_T(10),FCLK,'0','0'); -cnt/LTimer_T(10) <= ((cnt/LTimer(10) AND cnt/IS_FSM_FFd1 AND - NOT cnt/IS_FSM_FFd2) - OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND - cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND - cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9) AND cnt/TimerTC AND - NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) - OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND - cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND - cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9) AND cnt/TimerTC AND - cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); - -FTCPE_cnt/LTimer11: FTCPE port map (cnt/LTimer(11),cnt/LTimer_T(11),FCLK,'0','0'); -cnt/LTimer_T(11) <= ((cnt/LTimer(11) AND cnt/IS_FSM_FFd1 AND - NOT cnt/IS_FSM_FFd2) - OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(10) AND - cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND - cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9) AND - cnt/TimerTC AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) - OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(10) AND - cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND - cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9) AND - cnt/TimerTC AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); - -FDCPE_cnt/LTimerTC: FDCPE port map (cnt/LTimerTC,cnt/LTimerTC_D,FCLK,'0','0'); -cnt/LTimerTC_D <= (NOT cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(10) AND - cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND - cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9) AND - cnt/LTimer(11)); - - -cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND - NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(9) AND - fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND - NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(9) AND - fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND - NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(8) AND - fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND - NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(8) AND - fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND - NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND - A_FSB(9)) - OR (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)) - OR (NOT cnt/LTimer(0) AND NOT cnt/LTimer(1) AND NOT cnt/LTimer(10) AND - NOT cnt/LTimer(2) AND NOT cnt/LTimer(3) AND NOT cnt/LTimer(4) AND NOT cnt/LTimer(5) AND - NOT cnt/LTimer(6) AND NOT cnt/LTimer(7) AND NOT cnt/LTimer(8) AND NOT cnt/LTimer(9) AND - NOT cnt/LTimer(11) AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND - NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND - A_FSB(9)) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND - NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND - A_FSB(8)) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND - NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND - A_FSB(8))); - -FTCPE_cnt/Timer0: FTCPE port map (cnt/Timer(0),cnt/Timer_T(0),FCLK,'0','0',cnt/Timer_CE(0)); -cnt/Timer_T(0) <= (NOT cnt/Timer(0) AND cnt/TimerTC AND NOT cnt/Er(0) AND - cnt/Er(1)); -cnt/Timer_CE(0) <= (NOT cnt/Er(0) AND cnt/Er(1)); - -FDCPE_cnt/Timer1: FDCPE port map (cnt/Timer(1),cnt/Timer_D(1),FCLK,'0','0',cnt/Timer_CE(1)); -cnt/Timer_D(1) <= ((cnt/Timer(0) AND cnt/Timer(1)) - OR (NOT cnt/Timer(0) AND NOT cnt/Timer(1)) - OR (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1))); -cnt/Timer_CE(1) <= (NOT cnt/Er(0) AND cnt/Er(1)); - -FDCPE_cnt/Timer2: FDCPE port map (cnt/Timer(2),cnt/Timer_D(2),FCLK,'0','0',cnt/Timer_CE(2)); -cnt/Timer_D(2) <= ((NOT cnt/Timer(0) AND NOT cnt/Timer(2)) - OR (NOT cnt/Timer(1) AND NOT cnt/Timer(2)) - OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2)) - OR (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1))); -cnt/Timer_CE(2) <= (NOT cnt/Er(0) AND cnt/Er(1)); - -FTCPE_cnt/Timer3: FTCPE port map (cnt/Timer(3),cnt/Timer_T(3),FCLK,'0','0',cnt/Timer_CE(3)); -cnt/Timer_T(3) <= ((cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2) AND - NOT cnt/TimerTC) - OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2) AND - cnt/Er(0)) - OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2) AND - NOT cnt/Er(1)) - OR (cnt/Timer(3) AND cnt/TimerTC AND NOT cnt/Er(0) AND - cnt/Er(1))); -cnt/Timer_CE(3) <= (NOT cnt/Er(0) AND cnt/Er(1)); - -FDCPE_cnt/TimerTC: FDCPE port map (cnt/TimerTC,cnt/TimerTC_D,FCLK,'0','0',cnt/TimerTC_CE); -cnt/TimerTC_D <= (cnt/Timer(0) AND NOT cnt/Timer(1) AND NOT cnt/Timer(2) AND - cnt/Timer(3)); -cnt/TimerTC_CE <= (NOT cnt/Er(0) AND cnt/Er(1)); - -FTCPE_cnt/WS0: FTCPE port map (cnt/WS(0),cnt/WS_T(0),FCLK,'0','0'); -cnt/WS_T(0) <= (nAS_FSB AND NOT cnt/WS(0) AND NOT fsb/ASrf); - -FDCPE_cnt/WS1: FDCPE port map (cnt/WS(1),cnt/WS_D(1),FCLK,'0','0'); -cnt/WS_D(1) <= ((nAS_FSB AND NOT fsb/ASrf) - OR (cnt/WS(0) AND cnt/WS(1)) - OR (NOT cnt/WS(0) AND NOT cnt/WS(1))); - -FTCPE_cnt/WS2: FTCPE port map (cnt/WS(2),cnt/WS_T(2),FCLK,'0','0'); -cnt/WS_T(2) <= ((nAS_FSB AND cnt/WS(2) AND NOT fsb/ASrf) - OR (cnt/WS(0) AND cnt/WS(1) AND fsb/ASrf) - OR (NOT nAS_FSB AND cnt/WS(0) AND cnt/WS(1))); - -FTCPE_cnt/WS3: FTCPE port map (cnt/WS(3),cnt/WS_T(3),FCLK,'0','0'); -cnt/WS_T(3) <= ((nAS_FSB AND cnt/WS(3) AND NOT fsb/ASrf) - OR (NOT nAS_FSB AND cnt/WS(0) AND cnt/WS(1) AND cnt/WS(2)) - OR (cnt/WS(0) AND cnt/WS(1) AND cnt/WS(2) AND fsb/ASrf)); - -FDCPE_cnt/nIPL2r: FDCPE port map (cnt/nIPL2r,nIPL2,FCLK,'0','0'); - -FTCPE_cs/nOverlay: FTCPE port map (cs/nOverlay,cs/nOverlay_T,FCLK,'0','0'); -cs/nOverlay_T <= ((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND - NOT cs/nOverlay AND NOT nAS_FSB) - OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND - NOT cs/nOverlay AND fsb/ASrf) - OR (NOT nRES.PIN AND cs/nOverlay AND nAS_FSB AND NOT fsb/ASrf)); - -FDCPE_fsb/ASrf: FDCPE port map (fsb/ASrf,NOT nAS_FSB,NOT FCLK,'0','0'); - -FDCPE_iobm/C8Mr: FDCPE port map (iobm/C8Mr,C8M,C16M,'0','0'); - -FTCPE_iobm/DoutOE: FTCPE port map (iobm/DoutOE,iobm/DoutOE_T,C16M,'0','0'); -iobm/DoutOE_T <= ((iobm/IOS_FSM_FFd7 AND NOT iobm/C8Mr AND NOT iobm/DoutOE AND - iobm/IOWRREQr) - OR (NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd3 AND - NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND - iobm/DoutOE) - OR (NOT iobm/IOS_FSM_FFd3 AND iobm/C8Mr AND - NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND - iobm/DoutOE) - OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND - NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND iobm/DoutOE AND NOT iobm/IOWRREQr)); - -FTCPE_iobm/ES0: FTCPE port map (iobm/ES(0),iobm/ES_T(0),NOT C8M,'0','0'); -iobm/ES_T(0) <= ((iobm/ES(0) AND NOT E AND iobm/Er) - OR (NOT iobm/ES(0) AND NOT iobm/ES(2) AND NOT iobm/ES(1) AND - NOT iobm/ES(3) AND E) - OR (NOT iobm/ES(0) AND NOT iobm/ES(2) AND NOT iobm/ES(1) AND - NOT iobm/ES(3) AND NOT iobm/Er)); - -FDCPE_iobm/ES1: FDCPE port map (iobm/ES(1),iobm/ES_D(1),NOT C8M,'0','0'); -iobm/ES_D(1) <= ((iobm/ES(0) AND iobm/ES(1)) - OR (NOT iobm/ES(0) AND NOT iobm/ES(1)) - OR (NOT E AND iobm/Er) - OR (iobm/ES(0) AND NOT iobm/ES(2) AND iobm/ES(3))); - -FTCPE_iobm/ES2: FTCPE port map (iobm/ES(2),iobm/ES_T(2),NOT C8M,'0','0'); -iobm/ES_T(2) <= ((iobm/ES(0) AND iobm/ES(1) AND E) - OR (iobm/ES(0) AND iobm/ES(1) AND NOT iobm/Er) - OR (iobm/ES(2) AND NOT E AND iobm/Er)); - -FTCPE_iobm/ES3: FTCPE port map (iobm/ES(3),iobm/ES_T(3),NOT C8M,'0','0'); -iobm/ES_T(3) <= ((iobm/ES(3) AND NOT E AND iobm/Er) - OR (iobm/ES(0) AND iobm/ES(2) AND iobm/ES(1) AND E) - OR (iobm/ES(0) AND iobm/ES(2) AND iobm/ES(1) AND NOT iobm/Er) - OR (iobm/ES(0) AND NOT iobm/ES(2) AND NOT iobm/ES(1) AND - iobm/ES(3))); - -FDCPE_iobm/Er: FDCPE port map (iobm/Er,E,NOT C8M,'0','0'); - -FDCPE_iobm/IORDREQr: FDCPE port map (iobm/IORDREQr,IORDREQ,C16M,'0','0'); - -FDCPE_iobm/IOS0: FDCPE port map (iobm/IOS0,iobm/IOS0_D,C16M,'0','0'); -iobm/IOS0_D <= ((iobm/IOS_FSM_FFd1) - OR (iobm/IOS_FSM_FFd7 AND iobm/C8Mr) - OR (iobm/IOS_FSM_FFd7 AND nAoutOE) - OR (iobm/IOS_FSM_FFd7 AND NOT iobm/IORDREQr AND - NOT iobm/IOWRREQr) - OR (NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd3 AND - NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND - NOT iobm/IOS_FSM_FFd2 AND iobm/IOS0)); - -FDCPE_iobm/IOS_FSM_FFd1: FDCPE port map (iobm/IOS_FSM_FFd1,iobm/IOS_FSM_FFd2,C16M,'0','0'); - -FDCPE_iobm/IOS_FSM_FFd2: FDCPE port map (iobm/IOS_FSM_FFd2,iobm/IOS_FSM_FFd2_D,C16M,'0','0'); -iobm/IOS_FSM_FFd2_D <= ((IOBERR AND iobm/IOS_FSM_FFd3 AND NOT iobm/C8Mr) - OR (IODONE AND iobm/IOS_FSM_FFd3 AND NOT iobm/C8Mr)); - -FDCPE_iobm/IOS_FSM_FFd3: FDCPE port map (iobm/IOS_FSM_FFd3,iobm/IOS_FSM_FFd3_D,C16M,'0','0'); -iobm/IOS_FSM_FFd3_D <= ((iobm/IOS_FSM_FFd4) - OR (iobm/IOS_FSM_FFd3 AND iobm/C8Mr) - OR (NOT IOBERR AND NOT IODONE AND iobm/IOS_FSM_FFd3)); - -FDCPE_iobm/IOS_FSM_FFd4: FDCPE port map (iobm/IOS_FSM_FFd4,iobm/IOS_FSM_FFd5,C16M,'0','0'); - -FDCPE_iobm/IOS_FSM_FFd5: FDCPE port map (iobm/IOS_FSM_FFd5,iobm/IOS_FSM_FFd6,C16M,'0','0'); - -FDCPE_iobm/IOS_FSM_FFd6: FDCPE port map (iobm/IOS_FSM_FFd6,iobm/IOS_FSM_FFd6_D,C16M,'0','0'); -iobm/IOS_FSM_FFd6_D <= ((iobm/IOS_FSM_FFd7 AND NOT iobm/C8Mr AND iobm/IORDREQr AND - NOT nAoutOE) - OR (iobm/IOS_FSM_FFd7 AND NOT iobm/C8Mr AND iobm/IOWRREQr AND - NOT nAoutOE)); - -FDCPE_iobm/IOS_FSM_FFd7: FDCPE port map (iobm/IOS_FSM_FFd7,iobm/IOS_FSM_FFd7_D,C16M,'0','0'); -iobm/IOS_FSM_FFd7_D <= ((NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd1) - OR (NOT iobm/C8Mr AND NOT iobm/IOS_FSM_FFd1 AND iobm/IORDREQr AND - NOT nAoutOE) - OR (NOT iobm/C8Mr AND NOT iobm/IOS_FSM_FFd1 AND iobm/IOWRREQr AND - NOT nAoutOE)); - -FDCPE_iobm/IOWRREQr: FDCPE port map (iobm/IOWRREQr,IOWRREQ,C16M,'0','0'); - -FDCPE_iobm/VPAr: FDCPE port map (iobm/VPAr,NOT nVPA_IOB,NOT C8M,'0','0'); - -FDCPE_iobs/Clear1: FDCPE port map (iobs/Clear1,iobs/Clear1_D,FCLK,'0','0'); -iobs/Clear1_D <= (NOT iobs/TS_FSM_FFd1 AND iobs/TS_FSM_FFd2); - -FDCPE_iobs/IOACTr: FDCPE port map (iobs/IOACTr,IOACT,FCLK,'0','0'); - -FDCPE_iobs/IODONEr: FDCPE port map (iobs/IODONEr,IODONE,FCLK,'0','0'); - -FDCPE_iobs/IOL1: FDCPE port map (iobs/IOL1,NOT nLDS_FSB,FCLK,'0','0',iobs/Load1); - -FTCPE_iobs/IORW1: FTCPE port map (iobs/IORW1,iobs/IORW1_T,FCLK,'0','0'); -iobs/IORW1_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND - NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd1 AND - fsb/ASrf AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND - NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd2 AND - fsb/ASrf AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND - NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd1 AND - fsb/ASrf AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND - NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd2 AND - fsb/ASrf AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND - NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND - iobs/TS_FSM_FFd1 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND - NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND - iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND - NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND - iobs/TS_FSM_FFd1 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND - NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND - iobs/TS_FSM_FFd2 AND nADoutLE1)); - -FDCPE_iobs/IOU1: FDCPE port map (iobs/IOU1,NOT nUDS_FSB,FCLK,'0','0',iobs/Load1); - -FDCPE_iobs/Load1: FDCPE port map (iobs/Load1,iobs/Load1_D,FCLK,'0','0'); -iobs/Load1_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND - NOT iobs/Sent AND NOT nWE_FSB AND iobs/TS_FSM_FFd1 AND fsb/ASrf AND - nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND - NOT iobs/Sent AND NOT nWE_FSB AND iobs/TS_FSM_FFd2 AND fsb/ASrf AND - nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND - NOT iobs/Sent AND NOT nWE_FSB AND iobs/TS_FSM_FFd1 AND fsb/ASrf AND - nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND - NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd1 AND - nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND - NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd2 AND - nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND - NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd1 AND - nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND - NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd2 AND - nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND - NOT iobs/Sent AND NOT nWE_FSB AND iobs/TS_FSM_FFd2 AND fsb/ASrf AND - nADoutLE1)); - -FTCPE_iobs/Sent: FTCPE port map (iobs/Sent,iobs/Sent_T,FCLK,'0','0'); -iobs/Sent_T <= ((A_FSB(22) AND A_FSB(21) AND NOT iobs/Sent AND - NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) - OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Sent AND - NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) - OR (A_FSB(22) AND NOT iobs/Sent AND NOT cs/nOverlay AND NOT nAS_FSB AND - NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (A_FSB(22) AND NOT iobs/Sent AND NOT cs/nOverlay AND - NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND - NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND - NOT iobs/Sent AND NOT nWE_FSB AND fsb/ASrf AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND - NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND - NOT iobs/Sent AND NOT nWE_FSB AND fsb/ASrf AND nADoutLE1) - OR (iobs/Sent AND nAS_FSB AND NOT fsb/ASrf) - OR (A_FSB(23) AND NOT iobs/Sent AND NOT nAS_FSB AND - NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (A_FSB(23) AND NOT iobs/Sent AND NOT iobs/TS_FSM_FFd1 AND - NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) - OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/Sent AND NOT nAS_FSB AND - NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Sent AND NOT nAS_FSB AND - NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1)); - -FDCPE_iobs/TS_FSM_FFd1: FDCPE port map (iobs/TS_FSM_FFd1,iobs/TS_FSM_FFd1_D,FCLK,'0','0'); -iobs/TS_FSM_FFd1_D <= ((iobs/TS_FSM_FFd2) - OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr)); - -FDCPE_iobs/TS_FSM_FFd2: FDCPE port map (iobs/TS_FSM_FFd2,iobs/TS_FSM_FFd2_D,FCLK,'0','0'); -iobs/TS_FSM_FFd2_D <= ((nRAS_OBUF.EXP) - OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND - nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20) AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16) AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2) - OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr) - OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1)); - - -nADoutLE0 <= (NOT ALE0M AND NOT ALE0S); - -FDCPE_nADoutLE1: FDCPE port map (nADoutLE1,nADoutLE1_D,FCLK,'0','0'); -nADoutLE1_D <= ((iobs/Load1) - OR (NOT iobs/Clear1 AND NOT nADoutLE1)); - -FDCPE_nAS_IOB: FDCPE port map (nAS_IOB_I,nAS_IOB,NOT C16M,'0','0'); -nAS_IOB <= ((NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd3 AND - NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6) - OR (NOT iobm/IOS_FSM_FFd3 AND iobm/C8Mr AND - NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6) - OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND - NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IORDREQr AND - NOT iobm/IOWRREQr)); -nAS_IOB <= nAS_IOB_I when nAS_IOB_OE = '1' else 'Z'; -nAS_IOB_OE <= NOT nAoutOE; - -FDCPE_nAoutOE: FDCPE port map (nAoutOE,nAoutOE_D,FCLK,'0','0'); -nAoutOE_D <= ((NOT nBR_IOB AND cnt/IS_FSM_FFd1 AND cnt/IS_FSM_FFd2) - OR (cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND NOT nAoutOE)); - -FDCPE_nBERR_FSB: FDCPE port map (nBERR_FSB,nBERR_FSB_D,FCLK,'0','0'); -nBERR_FSB_D <= ((NOT IOBERR AND nBERR_FSB) - OR (NOT iobs/Sent AND nBERR_FSB) - OR (nAS_FSB AND NOT fsb/ASrf)); - -FTCPE_nBR_IOB: FTCPE port map (nBR_IOB,nBR_IOB_T,FCLK,'0','0'); -nBR_IOB_T <= ((nBR_IOB AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2) - OR (NOT nBR_IOB AND NOT cnt/IS_FSM_FFd1 AND cnt/IS_FSM_FFd2 AND - NOT cnt/nIPL2r)); - -FDCPE_nCAS: FDCPE port map (nCAS,nCAS_D,NOT FCLK,'0','0'); -nCAS_D <= ((ram/RS_FSM_FFd1) - OR (ram/RS_FSM_FFd2) - OR (ram/RS_FSM_FFd3) - OR (NOT RefUrg AND ram/RS_FSM_FFd4) - OR (ram/RefDone AND ram/RS_FSM_FFd8) - OR (ram/RefDone AND ram/RS_FSM_FFd4) - OR (ram/RefDone AND ram/RS_FSM_FFd7) - OR (NOT RefUrg AND NOT RefReq AND ram/RS_FSM_FFd8) - OR (NOT RefUrg AND ram/RS_FSM_FFd8 AND ram/BACTr) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT RefUrg AND - ram/RS_FSM_FFd8) - OR (NOT RefUrg AND nAS_FSB AND ram/RS_FSM_FFd8 AND NOT fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT nAS_FSB AND - ram/RS_FSM_FFd8 AND ram/RASEN) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RS_FSM_FFd8 AND - ram/RASEN AND fsb/ASrf) - OR (NOT RefUrg AND ram/RS_FSM_FFd7) - OR (ram/DTACKr AND ram/RS_FSM_FFd5)); - -FDCPE_nDTACK_FSB: FDCPE port map (nDTACK_FSB,nDTACK_FSB_D,FCLK,'0','0'); -nDTACK_FSB_D <= ((NOT A_FSB(22) AND NOT IONPReady AND NOT RAMReady) - OR (A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16)) - OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND - A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND NOT nWE_FSB AND NOT IONPReady AND - NOT nADoutLE1) - OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND - A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND NOT nWE_FSB AND NOT IONPReady AND - NOT nADoutLE1) - OR (A_FSB(23) AND NOT IONPReady) - OR (NOT IONPReady AND NOT QoSReady) - OR (nAS_FSB AND NOT fsb/ASrf) - OR (A_FSB(22) AND A_FSB(21) AND NOT IONPReady) - OR (A_FSB(22) AND A_FSB(20) AND NOT IONPReady)); - -FDCPE_nDinLE: FDCPE port map (nDinLE,nDinLE_D,NOT C16M,'0','0'); -nDinLE_D <= (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4); - - -nDinOE <= NOT (((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB) - OR (A_FSB(22) AND A_FSB(21) AND nWE_FSB AND NOT nAS_FSB) - OR (A_FSB(22) AND A_FSB(20) AND nWE_FSB AND NOT nAS_FSB))); - - -nDoutOE <= NOT (((iobm/DoutOE AND NOT nAoutOE) - OR (NOT iobm/IORDREQr AND iobm/IOS0 AND NOT iobm/IOWRREQr AND - NOT nAoutOE))); - -FDCPE_nLDS_IOB: FDCPE port map (nLDS_IOB_I,nLDS_IOB,NOT C16M,'0','0'); -nLDS_IOB <= ((iobm/IOS_FSM_FFd7 AND NOT iobm/C8Mr AND IOL0 AND - iobm/IORDREQr) - OR (iobm/IOS_FSM_FFd3 AND IOL0) - OR (iobm/IOS_FSM_FFd4 AND IOL0) - OR (iobm/IOS_FSM_FFd5 AND IOL0) - OR (NOT nLDS_IOB AND iobm/IOS_FSM_FFd6 AND IOL0)); -nLDS_IOB <= nLDS_IOB_I when nLDS_IOB_OE = '1' else 'Z'; -nLDS_IOB_OE <= NOT nAoutOE; - -FDCPE_nOE: FDCPE port map (nOE,nOE_D,FCLK,'0','0'); -nOE_D <= ((NOT nWE_FSB) - OR (nAS_FSB AND NOT fsb/ASrf) - OR (ram/DTACKr AND ram/BACTr)); - - -nRAMLWE <= NOT ((NOT nWE_FSB AND NOT nLDS_FSB AND ram/RASEL)); - - -nRAMUWE <= NOT ((NOT nWE_FSB AND NOT nUDS_FSB AND ram/RASEL)); - - -nRAS <= NOT (((ram/RASrf) - OR (ram/RASrr) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND - ram/RASEN))); - - -nRES_I <= '0'; -nRES <= nRES_I when nRES_OE = '1' else 'Z'; -nRES_OE <= NOT nRESout; - -FDCPE_nRESout: FDCPE port map (nRESout,nRESout_D,FCLK,'0','0'); -nRESout_D <= (cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2); - - -nROMCS <= NOT (((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20)) - OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND NOT cs/nOverlay))); - - -nROMWE <= NOT ((NOT nWE_FSB AND NOT nAS_FSB)); - -FDCPE_nUDS_IOB: FDCPE port map (nUDS_IOB_I,nUDS_IOB,NOT C16M,'0','0'); -nUDS_IOB <= ((iobm/IOS_FSM_FFd7 AND NOT iobm/C8Mr AND IOU0 AND - iobm/IORDREQr) - OR (iobm/IOS_FSM_FFd3 AND IOU0) - OR (iobm/IOS_FSM_FFd4 AND IOU0) - OR (iobm/IOS_FSM_FFd5 AND IOU0) - OR (NOT nUDS_IOB AND iobm/IOS_FSM_FFd6 AND IOU0)); -nUDS_IOB <= nUDS_IOB_I when nUDS_IOB_OE = '1' else 'Z'; -nUDS_IOB_OE <= NOT nAoutOE; - -FTCPE_nVMA_IOB: FTCPE port map (nVMA_IOB_I,nVMA_IOB_T,C8M,'0','0'); -nVMA_IOB_T <= ((NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(2) AND NOT iobm/ES(1) AND - NOT iobm/ES(3)) - OR (nVMA_IOB AND iobm/ES(0) AND iobm/ES(2) AND NOT iobm/ES(1) AND - NOT iobm/ES(3) AND IOACT AND iobm/VPAr)); -nVMA_IOB <= nVMA_IOB_I when nVMA_IOB_OE = '1' else 'Z'; -nVMA_IOB_OE <= NOT nAoutOE; - -FDCPE_nVPA_FSB: FDCPE port map (nVPA_FSB,nVPA_FSB_D,FCLK,'0',nAS_FSB); -nVPA_FSB_D <= ((A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND IONPReady AND - NOT nAS_FSB) - OR (A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND IONPReady AND - fsb/ASrf)); - -FDCPE_ram/BACTr: FDCPE port map (ram/BACTr,ram/BACTr_D,FCLK,'0','0'); -ram/BACTr_D <= (nAS_FSB AND NOT fsb/ASrf); - -FDCPE_ram/DTACKr: FDCPE port map (ram/DTACKr,NOT nDTACK_FSB,FCLK,'0','0'); - -FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,FCLK,'0','0'); -ram/RASEL_D <= ((ram/RS_FSM_FFd6) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND - ram/RS_FSM_FFd8 AND ram/RASEN) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND - ram/RS_FSM_FFd8 AND ram/RASEN AND fsb/ASrf)); - -FDCPE_ram/RASEN: FDCPE port map (ram/RASEN,ram/RASEN_D,FCLK,'0','0'); -ram/RASEN_D <= ((RefUrg AND NOT ram/RefDone AND nAS_FSB AND - NOT ram/RS_FSM_FFd1 AND NOT fsb/ASrf) - OR (A_FSB(23) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) - OR (A_FSB(23) AND RefReq AND NOT ram/RefDone AND - NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) - OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) - OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND - NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) - OR (NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4 AND - NOT ram/RS_FSM_FFd1) - OR (A_FSB(23) AND RefUrg AND NOT ram/RefDone AND - NOT ram/RS_FSM_FFd1) - OR (A_FSB(22) AND RefUrg AND NOT ram/RefDone AND - NOT ram/RS_FSM_FFd1) - OR (RefUrg AND NOT ram/RefDone AND NOT ram/RS_FSM_FFd8 AND - NOT ram/RS_FSM_FFd1) - OR (RefUrg AND NOT ram/RefDone AND NOT ram/RASEN AND - NOT ram/RS_FSM_FFd1)); - -FDCPE_ram/RASrf: FDCPE port map (ram/RASrf,ram/RS_FSM_FFd6,NOT FCLK,'0','0'); - -FDCPE_ram/RASrr: FDCPE port map (ram/RASrr,ram/RASrr_D,FCLK,'0','0'); -ram/RASrr_D <= ((ram/RS_FSM_FFd7) - OR (IONPReady.EXP) - OR (A_FSB(23) AND RefUrg AND NOT ram/RefDone AND - ram/RS_FSM_FFd8) - OR (A_FSB(22) AND RefUrg AND NOT ram/RefDone AND - ram/RS_FSM_FFd8) - OR (RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd8 AND - NOT ram/RASEN) - OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND - ram/RS_FSM_FFd8 AND NOT fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND - ram/RS_FSM_FFd8 AND ram/RASEN) - OR (RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd4)); - -FDCPE_ram/RS_FSM_FFd1: FDCPE port map (ram/RS_FSM_FFd1,ram/RS_FSM_FFd2,FCLK,'0','0'); - -FDCPE_ram/RS_FSM_FFd2: FDCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd3,FCLK,'0','0'); - -FDCPE_ram/RS_FSM_FFd3: FDCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd7,FCLK,'0','0'); - -FDCPE_ram/RS_FSM_FFd4: FDCPE port map (ram/RS_FSM_FFd4,ram/RS_FSM_FFd4_D,FCLK,'0','0'); -ram/RS_FSM_FFd4_D <= (ram/DTACKr AND ram/RS_FSM_FFd5); - -FDCPE_ram/RS_FSM_FFd5: FDCPE port map (ram/RS_FSM_FFd5,ram/RS_FSM_FFd5_D,FCLK,'0','0'); -ram/RS_FSM_FFd5_D <= ((ram/RS_FSM_FFd6) - OR (NOT ram/DTACKr AND ram/RS_FSM_FFd5)); - -FDCPE_ram/RS_FSM_FFd6: FDCPE port map (ram/RS_FSM_FFd6,ram/RS_FSM_FFd6_D,FCLK,'0','0'); -ram/RS_FSM_FFd6_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND - ram/RS_FSM_FFd8 AND ram/RASEN) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND - ram/RS_FSM_FFd8 AND ram/RASEN AND fsb/ASrf)); - -FDCPE_ram/RS_FSM_FFd7: FDCPE port map (ram/RS_FSM_FFd7,ram/RS_FSM_FFd7_D,FCLK,'0','0'); -ram/RS_FSM_FFd7_D <= ((A_FSB(23) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND - ram/RS_FSM_FFd8 AND NOT ram/BACTr) - OR (A_FSB(23) AND RefReq AND NOT ram/RefDone AND - ram/RS_FSM_FFd8 AND NOT ram/BACTr AND fsb/ASrf) - OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND - ram/RS_FSM_FFd8 AND NOT ram/BACTr) - OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND - ram/RS_FSM_FFd8 AND NOT ram/BACTr AND fsb/ASrf) - OR (RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd4) - OR (A_FSB(23) AND RefUrg AND NOT ram/RefDone AND - ram/RS_FSM_FFd8) - OR (A_FSB(22) AND RefUrg AND NOT ram/RefDone AND - ram/RS_FSM_FFd8) - OR (RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd8 AND - NOT ram/RASEN) - OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND - ram/RS_FSM_FFd8 AND NOT fsb/ASrf)); - -FDCPE_ram/RS_FSM_FFd8: FDCPE port map (ram/RS_FSM_FFd8,ram/RS_FSM_FFd8_D,FCLK,'0','0'); -ram/RS_FSM_FFd8_D <= ((A_FSB(23) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) - OR (A_FSB(23) AND RefReq AND NOT ram/RefDone AND - NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND - NOT ram/RS_FSM_FFd4 AND ram/RASEN AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf) - OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) - OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND - NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) - OR (RefUrg AND NOT ram/RefDone AND NOT ram/RS_FSM_FFd8 AND - NOT ram/RS_FSM_FFd1) - OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND - NOT ram/RS_FSM_FFd1 AND NOT fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd4 AND ram/RASEN AND NOT ram/RS_FSM_FFd1) - OR (NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4 AND - NOT ram/RS_FSM_FFd1) - OR (A_FSB(23) AND RefUrg AND NOT ram/RefDone AND - NOT ram/RS_FSM_FFd1) - OR (A_FSB(22) AND RefUrg AND NOT ram/RefDone AND - NOT ram/RS_FSM_FFd1) - OR (RefUrg AND cs/nOverlay AND NOT ram/RefDone AND - NOT ram/RS_FSM_FFd1) - OR (RefUrg AND NOT ram/RefDone AND NOT ram/RASEN AND - NOT ram/RS_FSM_FFd1)); - -FDCPE_ram/RefDone: FDCPE port map (ram/RefDone,ram/RefDone_D,FCLK,'0','0'); -ram/RefDone_D <= ((NOT RefUrg AND NOT RefReq) - OR (NOT ram/RefDone AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd7 AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3)); - -Register Legend: - FDCPE (Q,D,C,CLR,PRE,CE); - FTCPE (Q,D,C,CLR,PRE,CE); - LDCP (Q,D,G,CLR,PRE); - - ****************************** Device Pin Out ***************************** - -Device : XC95144XL-10-TQ100 - - - -------------------------------------------------- - /100 98 96 94 92 90 88 86 84 82 80 78 76 \ - | 99 97 95 93 91 89 87 85 83 81 79 77 | - | 1 75 | - | 2 74 | - | 3 73 | - | 4 72 | - | 5 71 | - | 6 70 | - | 7 69 | - | 8 68 | - | 9 67 | - | 10 66 | - | 11 65 | - | 12 64 | - | 13 XC95144XL-10-TQ100 63 | - | 14 62 | - | 15 61 | - | 16 60 | - | 17 59 | - | 18 58 | - | 19 57 | - | 20 56 | - | 21 55 | - | 22 54 | - | 23 53 | - | 24 52 | - | 25 51 | - | 27 29 31 33 35 37 39 41 43 45 47 49 | - \26 28 30 32 34 36 38 40 42 44 46 48 50 / - -------------------------------------------------- - - -Pin Signal Pin Signal -No. Name No. Name - 1 KPR 51 VCC - 2 A_FSB<5> 52 RA<7> - 3 A_FSB<6> 53 RA<0> - 4 A_FSB<7> 54 RA<8> - 5 VCC 55 RA<10> - 6 A_FSB<8> 56 RA<9> - 7 A_FSB<9> 57 VCC - 8 A_FSB<10> 58 C25MEN - 9 A_FSB<11> 59 KPR - 10 A_FSB<12> 60 KPR - 11 A_FSB<13> 61 KPR - 12 A_FSB<14> 62 GND - 13 A_FSB<15> 63 RA<11> - 14 A_FSB<16> 64 nRAS - 15 A_FSB<17> 65 nRAMLWE - 16 A_FSB<18> 66 nRAMUWE - 17 A_FSB<19> 67 KPR - 18 A_FSB<20> 68 KPR - 19 A_FSB<21> 69 GND - 20 A_FSB<22> 70 nBERR_FSB - 21 GND 71 KPR - 22 C16M 72 nBR_IOB - 23 C8M 73 KPR - 24 A_FSB<23> 74 nVMA_IOB - 25 E 75 GND - 26 VCC 76 nBERR_IOB - 27 FCLK 77 nVPA_IOB - 28 nDTACK_FSB 78 nDTACK_IOB - 29 nWE_FSB 79 nLDS_IOB - 30 nLDS_FSB 80 nUDS_IOB - 31 GND 81 nAS_IOB - 32 nAS_FSB 82 nADoutLE1 - 33 nUDS_FSB 83 TDO - 34 nROMWE 84 GND - 35 nROMCS 85 nADoutLE0 - 36 nCAS 86 nDinLE - 37 nOE 87 nAoutOE - 38 VCC 88 VCC - 39 KPR 89 nDoutOE - 40 RA<4> 90 nDinOE - 41 RA<3> 91 nRES - 42 RA<5> 92 nIPL2 - 43 RA<2> 93 nVPA_FSB - 44 GND 94 A_FSB<1> - 45 TDI 95 A_FSB<2> - 46 RA<6> 96 A_FSB<3> - 47 TMS 97 A_FSB<4> - 48 TCK 98 VCC - 49 KPR 99 KPR - 50 RA<1> 100 GND - - -Legend : NC = Not Connected, unbonded pin - PGND = Unused I/O configured as additional Ground pin - TIE = Unused I/O floating -- must tie to VCC, GND or other signal - KPR = Unused I/O with weak keeper (leave unconnected) - VCC = Dedicated Power Pin - GND = Dedicated Ground Pin - TDI = Test Data In, JTAG pin - TDO = Test Data Out, JTAG pin - TCK = Test Clock, JTAG pin - TMS = Test Mode Select, JTAG pin - PROHIBITED = User reserved pin - **************************** Compiler Options **************************** - -Following is a list of all global compiler options used by the fitter run. - -Device(s) Specified : xc95144xl-10-TQ100 -Optimization Method : SPEED -Multi-Level Logic Optimization : ON -Ignore Timing Specifications : OFF -Default Register Power Up Value : LOW -Keep User Location Constraints : ON -What-You-See-Is-What-You-Get : OFF -Exhaustive Fitting : OFF -Keep Unused Inputs : OFF -Slew Rate : FAST -Power Mode : STD -Ground on Unused IOs : OFF -Set I/O Pin Termination : KEEPER -Global Clock Optimization : ON -Global Set/Reset Optimization : ON -Global Ouput Enable Optimization : ON -Input Limit : 54 -Pterm Limit : 25 diff --git a/cpld/XC95144XL/WarpSE.syr b/cpld/XC95144XL/WarpSE.syr index c97d57b..d6ae995 100644 --- a/cpld/XC95144XL/WarpSE.syr +++ b/cpld/XC95144XL/WarpSE.syr @@ -3,14 +3,14 @@ Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. --> Parameter TMPDIR set to xst/projnav.tmp -Total REAL time to Xst completion: 1.00 secs -Total CPU time to Xst completion: 0.31 secs +Total REAL time to Xst completion: 0.00 secs +Total CPU time to Xst completion: 0.09 secs --> Parameter xsthdpdir set to xst -Total REAL time to Xst completion: 1.00 secs -Total CPU time to Xst completion: 0.33 secs +Total REAL time to Xst completion: 0.00 secs +Total CPU time to Xst completion: 0.09 secs --> Reading design: WarpSE.prj @@ -144,18 +144,20 @@ Performing bidirectional port resolution... Synthesizing Unit . Related source file is "../CS.v". +WARNING:Xst:646 - Signal is assigned but never used. This unconnected signal will be trimmed during the optimization process. Found 1-bit register for signal . Unit synthesized. Synthesizing Unit . Related source file is "../RAM.v". +WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Found finite state machine for signal . ----------------------------------------------------------------------- | States | 8 | | Transitions | 14 | | Inputs | 6 | - | Outputs | 8 | + | Outputs | 12 | | Clock | CLK (rising_edge) | | Power Up State | 000 | | Encoding | automatic | @@ -164,16 +166,17 @@ Synthesizing Unit . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . - Found 1-bit register for signal . Found 1-bit register for signal . + Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . - Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . Summary: inferred 1 Finite State Machine(s). - inferred 9 D-type flip-flop(s). + inferred 10 D-type flip-flop(s). Unit synthesized. @@ -193,11 +196,11 @@ Synthesizing Unit . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . - Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . + Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . @@ -256,42 +259,42 @@ Synthesizing Unit . | States | 4 | | Transitions | 8 | | Inputs | 2 | - | Outputs | 5 | + | Outputs | 4 | | Clock | CLK (rising_edge) | | Power Up State | 00 | | Encoding | automatic | | Implementation | automatic | ----------------------------------------------------------------------- - Found 1-bit register for signal . - Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . - Found 2-bit adder for signal <$add0000> created at line 67. - Found 12-bit adder for signal <$add0001> created at line 68. + Found 2-bit register for signal . + Found 7-bit updown counter for signal . Found 2-bit register for signal . - Found 12-bit register for signal . + Found 12-bit up counter for signal . Found 1-bit register for signal . Found 1-bit register for signal . + Found 1-bit register for signal . + Found 2-bit register for signal . Found 4-bit up counter for signal . Found 1-bit register for signal . - Found 4-bit up counter for signal . Summary: inferred 1 Finite State Machine(s). - inferred 2 Counter(s). - inferred 11 D-type flip-flop(s). - inferred 2 Adder/Subtractor(s). + inferred 3 Counter(s). + inferred 7 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "../FSB.v". Found 1-bit register for signal . + Found 3-bit register for signal . Found 1-bit register for signal . + Found 1-bit register for signal . Found 1-bit register for signal . Summary: - inferred 3 D-type flip-flop(s). + inferred 4 D-type flip-flop(s). Unit synthesized. @@ -300,6 +303,7 @@ Synthesizing Unit . WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +WARNING:Xst:1780 - Signal is never used or assigned. This unconnected signal will be trimmed during the optimization process. Found 1-bit tristate buffer for signal . Found 1-bit tristate buffer for signal . Found 1-bit tristate buffer for signal . @@ -314,14 +318,14 @@ Unit synthesized. HDL Synthesis Report Macro Statistics -# Adders/Subtractors : 2 - 12-bit adder : 1 - 2-bit adder : 1 -# Counters : 3 - 4-bit up counter : 3 -# Registers : 68 - 1-bit register : 67 - 2-bit register : 1 +# Counters : 4 + 12-bit up counter : 1 + 4-bit up counter : 2 + 7-bit updown counter : 1 +# Registers : 60 + 1-bit register : 56 + 2-bit register : 3 + 3-bit register : 1 # Tristates : 5 1-bit tristate buffer : 5 @@ -378,25 +382,26 @@ Optimizing FSM on signal with one-hot encoding. 110 | 01000000 111 | 10000000 ------------------- +WARNING:Xst:1710 - FF/Latch <0> (without init value) has a constant value of 0 in block <0>. This FF/Latch will be trimmed during the optimization process. ========================================================================= Advanced HDL Synthesis Report Macro Statistics # FSMs : 4 -# Adders/Subtractors : 2 - 12-bit adder : 1 - 2-bit adder : 1 -# Counters : 3 - 4-bit up counter : 3 -# Registers : 48 - Flip-Flops : 48 +# Counters : 4 + 12-bit up counter : 1 + 4-bit up counter : 2 + 7-bit updown counter : 1 +# Registers : 46 + Flip-Flops : 46 ========================================================================= ========================================================================= * Low Level Synthesis * ========================================================================= +WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. Optimizing unit ... @@ -404,10 +409,11 @@ Optimizing unit ... implementation constraint: INIT=r : nOverlay Optimizing unit ... - implementation constraint: INIT=s : RS_FSM_FFd8 + implementation constraint: INIT=r : RASrf implementation constraint: INIT=r : RASEL implementation constraint: INIT=r : RASrr implementation constraint: INIT=r : RASEN + implementation constraint: INIT=s : RS_FSM_FFd8 implementation constraint: INIT=r : RS_FSM_FFd1 implementation constraint: INIT=r : RS_FSM_FFd2 implementation constraint: INIT=r : RS_FSM_FFd3 @@ -415,13 +421,12 @@ Optimizing unit ... implementation constraint: INIT=r : RS_FSM_FFd5 implementation constraint: INIT=r : RS_FSM_FFd6 implementation constraint: INIT=r : RS_FSM_FFd7 - implementation constraint: INIT=r : RASrf Optimizing unit ... implementation constraint: INIT=r : IOACTr - implementation constraint: INIT=r : TS_FSM_FFd2 - implementation constraint: INIT=r : Sent implementation constraint: INIT=r : TS_FSM_FFd1 + implementation constraint: INIT=r : Sent + implementation constraint: INIT=r : TS_FSM_FFd2 Optimizing unit ... implementation constraint: INIT=r : ASrf @@ -437,16 +442,12 @@ Optimizing unit ... implementation constraint: INIT=r : IOS_FSM_FFd5 Optimizing unit ... - implementation constraint: INIT=r : Timer_1 - implementation constraint: INIT=r : IS_FSM_FFd2 implementation constraint: INIT=r : IS_FSM_FFd1 - implementation constraint: INIT=r : Timer_2 - implementation constraint: INIT=r : Timer_0 - implementation constraint: INIT=r : WS_3 - implementation constraint: INIT=r : WS_0 - implementation constraint: INIT=r : WS_1 - implementation constraint: INIT=r : WS_2 implementation constraint: INIT=r : Timer_3 + implementation constraint: INIT=r : IS_FSM_FFd2 + implementation constraint: INIT=r : Timer_0 + implementation constraint: INIT=r : Timer_1 + implementation constraint: INIT=r : Timer_2 ========================================================================= * Partition Report * @@ -478,24 +479,24 @@ Design Statistics # IOs : 75 Cell Usage : -# BELS : 672 -# AND2 : 211 -# AND3 : 29 -# AND4 : 12 +# BELS : 659 +# AND2 : 205 +# AND3 : 25 +# AND4 : 13 # AND5 : 2 -# AND7 : 2 -# AND8 : 4 +# AND7 : 1 +# AND8 : 2 # GND : 6 -# INV : 262 -# OR2 : 105 -# OR3 : 14 -# OR4 : 4 +# INV : 250 +# OR2 : 104 +# OR3 : 18 +# OR4 : 3 # VCC : 1 -# XOR2 : 20 -# FlipFlops/Latches : 100 -# FD : 68 +# XOR2 : 29 +# FlipFlops/Latches : 110 +# FD : 69 # FDC : 2 -# FDCE : 29 +# FDCE : 38 # FDP : 1 # IO Buffers : 70 # IBUF : 35 @@ -505,14 +506,14 @@ Cell Usage : ========================================================================= -Total REAL time to Xst completion: 6.00 secs -Total CPU time to Xst completion: 5.44 secs +Total REAL time to Xst completion: 5.00 secs +Total CPU time to Xst completion: 5.10 secs --> -Total memory usage is 266948 kilobytes +Total memory usage is 266244 kilobytes Number of errors : 0 ( 0 filtered) -Number of warnings : 3 ( 0 filtered) +Number of warnings : 8 ( 0 filtered) Number of infos : 0 ( 0 filtered) diff --git a/cpld/XC95144XL/WarpSE.vm6 b/cpld/XC95144XL/WarpSE.vm6 index 01289a3..0938b0b 100644 --- a/cpld/XC95144XL/WarpSE.vm6 +++ b/cpld/XC95144XL/WarpSE.vm6 @@ -8,471 +8,471 @@ NETWORK | WarpSE | 0 | 0 | 16391 INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_23_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<23> | 10909 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<23> | 11056 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_22_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<22> | 10910 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<22> | 11057 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_21_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<21> | 10911 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<21> | 11058 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_20_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<20> | 10912 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<20> | 11059 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_19_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<19> | 10913 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<19> | 11060 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_18_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<18> | 10914 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<18> | 11061 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_17_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<17> | 10915 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<17> | 11062 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_16_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<16> | 10916 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<16> | 11063 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_15_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<15> | 10917 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<15> | 11064 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_15_IBUF | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 10852 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_13_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<13> | 10918 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<13> | 11065 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_12_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<12> | 10919 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<12> | 11066 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_12_IBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 10854 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | C8M_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 3 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | C8M | 10920 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | C8M | 11067 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | C8M_IBUF | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 10855 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 3 | 5 | II_FCLK -NODE | C8M_IBUF/FCLK | 10708 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 3 | 5 | II_FCLK +NODE | C8M_IBUF/FCLK | 10856 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV -NODE | C8M_IBUF/FCLK- | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10857 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV MACROCELL_INSTANCE | PrldLow+Tff+PinTrst+OptxMapped | nVMA_IOBout | WarpSE_COPY_0_COPY_0 | 2155893760 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOBout | 10711 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM +NODE | nVMA_IOBout | 10859 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 10779 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 10927 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 10781 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 10930 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 10787 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 10935 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 10788 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 10936 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 10792 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +NODE | IOACT | 10943 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/VPAr | 10823 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPAr.Q | iobm/VPAr | 1 | 0 | MC_UIM +NODE | iobm/VPAr | 10971 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPAr.Q | iobm/VPAr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 11012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK | 10708 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 3 | 5 | II_FCLK +NODE | C8M_IBUF/FCLK | 10856 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nVMA_IOBout$Q | 10710 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 0 | 0 | MC_Q +NODE | nVMA_IOBout$Q | 10858 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nVMA_IOBout | 10711 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM +NODE | nVMA_IOBout | 10859 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | nVMA_IOBout$OE | 10712 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.BUFOE.OUT | nVMA_IOBout | 2 | 0 | MC_OE +NODE | nVMA_IOBout$OE | 10860 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.BUFOE.OUT | nVMA_IOBout | 2 | 0 | MC_OE SIGNAL_INSTANCE | nVMA_IOBout.SI | nVMA_IOBout | 0 | 8 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOBout | 10711 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM +NODE | nVMA_IOBout | 10859 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 10779 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 10927 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 10781 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 10930 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 10787 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 10935 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 10788 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 10936 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 10792 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +NODE | IOACT | 10943 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/VPAr | 10823 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPAr.Q | iobm/VPAr | 1 | 0 | MC_UIM +NODE | iobm/VPAr | 10971 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPAr.Q | iobm/VPAr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 11012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nVMA_IOBout.D1 | 10945 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nVMA_IOBout.D1 | 11092 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nVMA_IOBout.D2 | 10946 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nVMA_IOBout.D2 | 11093 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 2 | 9 | MC_SI_D2 SPPTERM | 5 | IV_FALSE | nVMA_IOBout | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<3> SPPTERM | 7 | IV_TRUE | nVMA_IOBout | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<2> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<3> | IV_TRUE | IOACT | IV_TRUE | iobm/VPAr OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | nVMA_IOBout.TRST | 10948 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nVMA_IOBout.TRST | 11095 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE SRFF_INSTANCE | nVMA_IOBout.REG | nVMA_IOBout | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nVMA_IOBout.D | 10944 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.XOR | 0 | 7 | ALU_F +NODE | nVMA_IOBout.D | 11091 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK | 10708 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 3 | 5 | II_FCLK +NODE | C8M_IBUF/FCLK | 10856 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nVMA_IOBout.Q | 10949 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.REG | 0 | 8 | SRFF_Q +NODE | nVMA_IOBout.Q | 11096 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | nVMA_IOBout.BUFOE | nVMA_IOBout | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | nVMA_IOBout.TRST | 10948 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nVMA_IOBout.TRST | 11095 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | nVMA_IOBout.BUFOE.OUT | 10947 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.BUFOE | 0 | 10 | BUF_OUT +NODE | nVMA_IOBout.BUFOE.OUT | 11094 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.BUFOE | 0 | 10 | BUF_OUT INPUT_INSTANCE | 0 | 0 | NULL | C16M_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 2 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | C16M | 10921 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | C16M | 11068 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 3 | 5 | II_FCLK -NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV -NODE | C16M_IBUF/FCLK- | 10714 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 10862 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV MACROCELL_INSTANCE | PrldLow+PinTrst+OptxMapped+Ce | nAS_IOBout | WarpSE_COPY_0_COPY_0 | 2424325120 | 10 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10777 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10925 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10778 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10926 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10780 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10928 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10782 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10931 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10783 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10932 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10776 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10924 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10806 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10955 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10821 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10969 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 11012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 10714 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 10862 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nAS_IOBout$Q | 10715 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 0 | 0 | MC_Q +NODE | nAS_IOBout$Q | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nAS_IOBout | 10716 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM +NODE | nAS_IOBout | 10864 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | nAS_IOBout$OE | 10717 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.BUFOE.OUT | nAS_IOBout | 2 | 0 | MC_OE +NODE | nAS_IOBout$OE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.BUFOE.OUT | nAS_IOBout | 2 | 0 | MC_OE SIGNAL_INSTANCE | nAS_IOBout.SI | nAS_IOBout | 0 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10777 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10925 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10778 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10926 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10780 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10928 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10782 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10931 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10783 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10932 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10776 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10924 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10806 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10955 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10821 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10969 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 11012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nAS_IOBout.D1 | 10951 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nAS_IOBout.D1 | 11098 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nAS_IOBout.D2 | 10952 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nAS_IOBout.D2 | 11099 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 2 | 9 | MC_SI_D2 SPPTERM | 5 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 SPPTERM | 5 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/C8Mr | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_FALSE | iobm/IORDREQr | IV_FALSE | iobm/IOWRREQr OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | nAS_IOBout.TRST | 10954 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nAS_IOBout.TRST | 11101 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE SRFF_INSTANCE | nAS_IOBout.REG | nAS_IOBout | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nAS_IOBout.D | 10950 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.XOR | 0 | 7 | ALU_F +NODE | nAS_IOBout.D | 11097 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 10714 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 10862 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nAS_IOBout.Q | 10955 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.REG | 0 | 8 | SRFF_Q +NODE | nAS_IOBout.Q | 11102 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | nAS_IOBout.BUFOE | nAS_IOBout | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | nAS_IOBout.TRST | 10954 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nAS_IOBout.TRST | 11101 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | nAS_IOBout.BUFOE.OUT | 10953 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.BUFOE | 0 | 10 | BUF_OUT +NODE | nAS_IOBout.BUFOE.OUT | 11100 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.BUFOE | 0 | 10 | BUF_OUT MACROCELL_INSTANCE | Inv+PrldLow+PinTrst+OptxMapped+Ce | nLDS_IOBout | WarpSE_COPY_0_COPY_0 | 2424325376 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10782 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10931 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 10802 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 10951 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10780 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10928 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10777 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10925 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_IOBout | 10719 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 1 | 0 | MC_UIM +NODE | nLDS_IOBout | 10867 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10783 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10932 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DoutOE.EXP | 11533 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.EXP | iobm/DoutOE | 4 | 0 | MC_EXPORT +NODE | iobm/DoutOE.EXP | 11678 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.EXP | iobm/DoutOE | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 11012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 10714 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 10862 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nLDS_IOBout$Q | 10718 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 0 | 0 | MC_Q +NODE | nLDS_IOBout$Q | 10866 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nLDS_IOBout | 10719 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 1 | 0 | MC_UIM +NODE | nLDS_IOBout | 10867 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | nLDS_IOBout$OE | 10720 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.BUFOE.OUT | nLDS_IOBout | 2 | 0 | MC_OE +NODE | nLDS_IOBout$OE | 10868 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.BUFOE.OUT | nLDS_IOBout | 2 | 0 | MC_OE SIGNAL_INSTANCE | nLDS_IOBout.SI | nLDS_IOBout | 0 | 8 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10782 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10931 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 10802 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 10951 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10780 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10928 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10777 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10925 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_IOBout | 10719 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 1 | 0 | MC_UIM +NODE | nLDS_IOBout | 10867 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10783 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10932 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DoutOE.EXP | 11533 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.EXP | iobm/DoutOE | 4 | 0 | MC_EXPORT +NODE | iobm/DoutOE.EXP | 11678 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.EXP | iobm/DoutOE | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 11012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nLDS_IOBout.D1 | 10957 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nLDS_IOBout.D1 | 11104 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nLDS_IOBout.D2 | 10958 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nLDS_IOBout.D2 | 11105 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/DoutOE.EXP SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | IOL0 SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd4 | IV_TRUE | IOL0 SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd5 | IV_TRUE | IOL0 SPPTERM | 3 | IV_FALSE | nLDS_IOBout | IV_TRUE | iobm/IOS_FSM_FFd6 | IV_TRUE | IOL0 OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | nLDS_IOBout.TRST | 10960 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nLDS_IOBout.TRST | 11107 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE SRFF_INSTANCE | nLDS_IOBout.REG | nLDS_IOBout | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nLDS_IOBout.D | 10956 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.XOR | 0 | 7 | ALU_F +NODE | nLDS_IOBout.D | 11103 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 10714 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 10862 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nLDS_IOBout.Q | 10961 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.REG | 0 | 8 | SRFF_Q +NODE | nLDS_IOBout.Q | 11108 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | nLDS_IOBout.BUFOE | nLDS_IOBout | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | nLDS_IOBout.TRST | 10960 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nLDS_IOBout.TRST | 11107 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | nLDS_IOBout.BUFOE.OUT | 10959 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.BUFOE | 0 | 10 | BUF_OUT +NODE | nLDS_IOBout.BUFOE.OUT | 11106 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.BUFOE | 0 | 10 | BUF_OUT MACROCELL_INSTANCE | Inv+PrldLow+PinTrst+OptxMapped+Ce | nUDS_IOBout | WarpSE_COPY_0_COPY_0 | 2424325376 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10777 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10925 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 10803 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | IOU0 | 10952 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10782 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10931 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10780 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10928 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_IOBout | 10722 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 1 | 0 | MC_UIM +NODE | nUDS_IOBout | 10870 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10783 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10932 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE.EXP | 11534 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.EXP | IODONE | 4 | 0 | MC_EXPORT +NODE | IODONE.EXP | 11679 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.EXP | IODONE | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 11012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 10714 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 10862 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nUDS_IOBout$Q | 10721 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 0 | 0 | MC_Q +NODE | nUDS_IOBout$Q | 10869 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nUDS_IOBout | 10722 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 1 | 0 | MC_UIM +NODE | nUDS_IOBout | 10870 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | nUDS_IOBout$OE | 10723 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.BUFOE.OUT | nUDS_IOBout | 2 | 0 | MC_OE +NODE | nUDS_IOBout$OE | 10871 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.BUFOE.OUT | nUDS_IOBout | 2 | 0 | MC_OE SIGNAL_INSTANCE | nUDS_IOBout.SI | nUDS_IOBout | 0 | 8 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10777 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10925 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 10803 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | IOU0 | 10952 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10782 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10931 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10780 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10928 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_IOBout | 10722 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 1 | 0 | MC_UIM +NODE | nUDS_IOBout | 10870 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10783 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10932 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE.EXP | 11534 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.EXP | IODONE | 4 | 0 | MC_EXPORT +NODE | IODONE.EXP | 11679 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.EXP | IODONE | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 11012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nUDS_IOBout.D1 | 10963 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nUDS_IOBout.D1 | 11110 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nUDS_IOBout.D2 | 10964 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nUDS_IOBout.D2 | 11111 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | IODONE.EXP SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | IOU0 SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd4 | IV_TRUE | IOU0 SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd5 | IV_TRUE | IOU0 SPPTERM | 3 | IV_FALSE | nUDS_IOBout | IV_TRUE | iobm/IOS_FSM_FFd6 | IV_TRUE | IOU0 OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | nUDS_IOBout.TRST | 10966 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nUDS_IOBout.TRST | 11113 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE SRFF_INSTANCE | nUDS_IOBout.REG | nUDS_IOBout | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nUDS_IOBout.D | 10962 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.XOR | 0 | 7 | ALU_F +NODE | nUDS_IOBout.D | 11109 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 10714 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 10862 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nUDS_IOBout.Q | 10967 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.REG | 0 | 8 | SRFF_Q +NODE | nUDS_IOBout.Q | 11114 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | nUDS_IOBout.BUFOE | nUDS_IOBout | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | nUDS_IOBout.TRST | 10966 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nUDS_IOBout.TRST | 11113 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | nUDS_IOBout.BUFOE.OUT | 10965 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.BUFOE | 0 | 10 | BUF_OUT +NODE | nUDS_IOBout.BUFOE.OUT | 11112 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.BUFOE | 0 | 10 | BUF_OUT INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_14_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<14> | 10922 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<14> | 11069 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_11_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<11> | 10923 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<11> | 11070 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_11_IBUF | 10725 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 10873 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_10_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<10> | 10924 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<10> | 11071 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_10_IBUF | 10726 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 10874 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | FCLK_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 2 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | FCLK | 10925 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | FCLK | 11072 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 3 | 5 | II_FCLK -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV -NODE | FCLK_IBUF/FCLK- | 10728 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK- | 10876 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV INPUT_INSTANCE | 0 | 0 | NULL | nWE_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nWE_FSB | 10928 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nWE_FSB | 11075 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | nAS_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nAS_FSB | 10931 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nAS_FSB | 11078 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_8_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<8> | 10936 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<8> | 11083 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_8_IBUF | 10826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 10975 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_9_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<9> | 10935 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<9> | 11082 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_9_IBUF | 10825 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 10974 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/LTimer<0> | WarpSE_COPY_0_COPY_0 | 2155873280 | 9 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10907 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 11054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10890 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP12_.EXP | 11495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT +NODE | EXP13_.EXP | 11642 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP15_.EXP | 11501 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT +NODE | EXP16_.EXP | 11648 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<0>.SI | cnt/LTimer<0> | 0 | 8 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10907 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 11054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10890 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP12_.EXP | 11495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT +NODE | EXP13_.EXP | 11642 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP15_.EXP | 11501 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT +NODE | EXP16_.EXP | 11648 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<0>.D1 | 10969 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<0>.D1 | 11116 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<0>.D2 | 10970 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP12_.EXP -SPPTERM | 1 | IV_TRUE | EXP15_.EXP +SIGNAL | NODE | cnt/LTimer<0>.D2 | 11117 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP13_.EXP +SPPTERM | 1 | IV_TRUE | EXP16_.EXP SPPTERM | 2 | IV_TRUE | cnt/LTimer<0> | IV_FALSE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM @@ -481,350 +481,350 @@ SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/IS_FSM_FFd2 | IV_TRUE | c SRFF_INSTANCE | cnt/LTimer<0>.REG | cnt/LTimer<0> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<0>.D | 10968 | ? | 0 | 0 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<0>.D | 11115 | ? | 0 | 0 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<0>.Q | 10971 | ? | 0 | 0 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<0>.Q | 11118 | ? | 0 | 0 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | cnt/LTimer<1> | WarpSE_COPY_0_COPY_0 | 2155873536 | 25 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10907 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 11054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 10852 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 10854 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10725 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 10873 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10726 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 10874 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10825 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 10974 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 10975 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP14_.EXP | 11499 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT +NODE | EXP15_.EXP | 11646 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/LTimer<1>.EXP | 11500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.EXP | cnt/LTimer<1> | 4 | 0 | MC_EXPORT +NODE | cnt/LTimer<1>.EXP | 11647 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.EXP | cnt/LTimer<1> | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | cnt/LTimer<1>.SI | cnt/LTimer<1> | 0 | 24 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10907 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 11054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 10852 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 10854 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10725 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 10873 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10726 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 10874 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10825 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 10974 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 10975 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP14_.EXP | 11499 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT +NODE | EXP15_.EXP | 11646 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<1>.D1 | 10973 | ? | 0 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<1>.D1 | 11120 | ? | 0 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<1>.D2 | 10974 | ? | 0 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP14_.EXP +SIGNAL | NODE | cnt/LTimer<1>.D2 | 11121 | ? | 0 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP15_.EXP SPPTERM | 2 | IV_FALSE | cnt/LTimer<0> | IV_FALSE | cnt/LTimer<1> SPPTERM | 2 | IV_FALSE | cnt/LTimer<1> | IV_FALSE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM SPPTERM | 3 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/LTimer<1>.EXP | 11493 | ? | 0 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | cnt/LTimer<1>.EXP | 11640 | ? | 0 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM SRFF_INSTANCE | cnt/LTimer<1>.REG | cnt/LTimer<1> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<1>.D | 10972 | ? | 0 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<1>.D | 11119 | ? | 0 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<1>.Q | 10975 | ? | 0 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<1>.Q | 11122 | ? | 0 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | cnt/Timer<0> | WarpSE_COPY_0_COPY_0 | 2155877632 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 10731 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 10879 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/Timer<0> | 10731 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 10879 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/Timer<0>.SI | cnt/Timer<0> | 0 | 4 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 10731 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 10879 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/Timer<0>.D1 | 10977 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Timer<0>.D1 | 11124 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/Timer<0>.D2 | 10978 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Timer<0>.D2 | 11125 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 4 | IV_FALSE | cnt/Timer<0> | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/Timer<0>.CE | 10979 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<0>.CE | 11126 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/Timer<0>.REG | cnt/Timer<0> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/Timer<0>.D | 10976 | ? | 0 | 0 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.XOR | 0 | 7 | ALU_F +NODE | cnt/Timer<0>.D | 11123 | ? | 0 | 0 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/Timer<0>.CE | 10979 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<0>.CE | 11126 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/Timer<0>.Q | 10980 | ? | 0 | 0 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.REG | 0 | 8 | SRFF_Q +NODE | cnt/Timer<0>.Q | 11127 | ? | 0 | 0 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | cnt/Timer<1> | WarpSE_COPY_0_COPY_0 | 2155873536 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 10731 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 10879 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 10732 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 10880 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/Timer<1> | 10732 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 10880 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/Timer<1>.SI | cnt/Timer<1> | 0 | 5 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 10731 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 10879 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 10732 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 10880 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/Timer<1>.D1 | 10982 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Timer<1>.D1 | 11129 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/Timer<1>.D2 | 10983 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Timer<1>.D2 | 11130 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> SPPTERM | 2 | IV_FALSE | cnt/Timer<0> | IV_FALSE | cnt/Timer<1> SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/Timer<1>.CE | 10984 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<1>.CE | 11131 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/Timer<1>.REG | cnt/Timer<1> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/Timer<1>.D | 10981 | ? | 0 | 0 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.XOR | 0 | 7 | ALU_F +NODE | cnt/Timer<1>.D | 11128 | ? | 0 | 0 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/Timer<1>.CE | 10984 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<1>.CE | 11131 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/Timer<1>.Q | 10985 | ? | 0 | 0 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.REG | 0 | 8 | SRFF_Q +NODE | cnt/Timer<1>.Q | 11132 | ? | 0 | 0 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | cnt/Timer<2> | WarpSE_COPY_0_COPY_0 | 2155873536 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 10731 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 10879 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 10733 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 10881 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 10732 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 10880 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/Timer<2> | 10733 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 10881 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/Timer<2>.SI | cnt/Timer<2> | 0 | 6 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 10731 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 10879 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 10733 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 10881 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 10732 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 10880 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/Timer<2>.D1 | 10987 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Timer<2>.D1 | 11134 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/Timer<2>.D2 | 10988 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Timer<2>.D2 | 11135 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | cnt/Timer<0> | IV_FALSE | cnt/Timer<2> SPPTERM | 2 | IV_FALSE | cnt/Timer<1> | IV_FALSE | cnt/Timer<2> SPPTERM | 3 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> | IV_TRUE | cnt/Timer<2> SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/Timer<2>.CE | 10989 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<2>.CE | 11136 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/Timer<2>.REG | cnt/Timer<2> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/Timer<2>.D | 10986 | ? | 0 | 0 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.XOR | 0 | 7 | ALU_F +NODE | cnt/Timer<2>.D | 11133 | ? | 0 | 0 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/Timer<2>.CE | 10989 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<2>.CE | 11136 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/Timer<2>.Q | 10990 | ? | 0 | 0 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.REG | 0 | 8 | SRFF_Q +NODE | cnt/Timer<2>.Q | 11137 | ? | 0 | 0 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/Sent | WarpSE_COPY_0_COPY_0 | 2155877376 | 13 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORDREQ.EXP | 11485 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.EXP | IORDREQ | 4 | 0 | MC_EXPORT +NODE | IORDREQ.EXP | 11631 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.EXP | IORDREQ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nROMWE_OBUF.EXP | 11486 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT +NODE | EXP11_.EXP | 11632 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/Sent.SI | iobs/Sent | 0 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORDREQ.EXP | 11485 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.EXP | IORDREQ | 4 | 0 | MC_EXPORT +NODE | IORDREQ.EXP | 11631 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.EXP | IORDREQ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nROMWE_OBUF.EXP | 11486 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT +NODE | EXP11_.EXP | 11632 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/Sent.D1 | 10992 | ? | 0 | 4096 | iobs/Sent | NULL | NULL | iobs/Sent.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/Sent.D1 | 11139 | ? | 0 | 4096 | iobs/Sent | NULL | NULL | iobs/Sent.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/Sent.D2 | 10993 | ? | 0 | 4096 | iobs/Sent | NULL | NULL | iobs/Sent.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/Sent.D2 | 11140 | ? | 0 | 4096 | iobs/Sent | NULL | NULL | iobs/Sent.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | IORDREQ.EXP -SPPTERM | 1 | IV_TRUE | nROMWE_OBUF.EXP +SPPTERM | 1 | IV_TRUE | EXP11_.EXP SPPTERM | 3 | IV_TRUE | iobs/Sent | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 @@ -833,1918 +833,1893 @@ SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iob SRFF_INSTANCE | iobs/Sent.REG | iobs/Sent | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/Sent.D | 10991 | ? | 0 | 0 | iobs/Sent | NULL | NULL | iobs/Sent.XOR | 0 | 7 | ALU_F +NODE | iobs/Sent.D | 11138 | ? | 0 | 0 | iobs/Sent | NULL | NULL | iobs/Sent.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/Sent.Q | 10994 | ? | 0 | 0 | iobs/Sent | NULL | NULL | iobs/Sent.REG | 0 | 8 | SRFF_Q +NODE | iobs/Sent.Q | 11141 | ? | 0 | 0 | iobs/Sent | NULL | NULL | iobs/Sent.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | nBERR_IOB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nBERR_IOB | 10926 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nBERR_IOB | 11073 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nBERR_IOB_IBUF | 10735 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 10883 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | IOBERR | WarpSE_COPY_0_COPY_0 | 2424308736 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 10735 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 10883 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_IOBout | 10716 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM +NODE | nAS_IOBout | 10864 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10857 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOBERR | 10736 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 10884 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM SIGNAL_INSTANCE | IOBERR.SI | IOBERR | 0 | 2 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 10735 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 10883 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_IOBout | 10716 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM +NODE | nAS_IOBout | 10864 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOBERR.D1 | 10996 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOBERR.D1 | 11143 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOBERR.D2 | 10997 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOBERR.D2 | 11144 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nBERR_IOB_IBUF OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | IOBERR.RSTF | 10998 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | IOBERR.RSTF | 11145 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_TRUE | nAS_IOBout SRFF_INSTANCE | IOBERR.REG | IOBERR | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOBERR.D | 10995 | ? | 0 | 0 | IOBERR | NULL | NULL | IOBERR.XOR | 0 | 7 | ALU_F +NODE | IOBERR.D | 11142 | ? | 0 | 0 | IOBERR | NULL | NULL | IOBERR.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10857 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | IOBERR.RSTF | 10998 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | IOBERR.RSTF | 11145 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_TRUE | nAS_IOBout OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOBERR.Q | 10999 | ? | 0 | 0 | IOBERR | NULL | NULL | IOBERR.REG | 0 | 8 | SRFF_Q +NODE | IOBERR.Q | 11146 | ? | 0 | 0 | IOBERR | NULL | NULL | IOBERR.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | N01 | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nRES | 10897 | PIPO | 0 | 64 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES | 0 | 6 | OI_OUT +NODE | nRES | 11044 | PIPO | 0 | 64 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES | 0 | 6 | OI_OUT OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | N01 | 10737 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX +NODE | N01 | 10885 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | nDTACK_IOB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nDTACK_IOB | 10927 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nDTACK_IOB | 11074 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nDTACK_IOB_IBUF | 10738 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nDTACK_IOB_IBUF | 10886 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | IODONE | WarpSE_COPY_0_COPY_0 | 2424308736 | 13 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N01 | 10737 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX +NODE | N01 | 10885 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_IOB_IBUF | 10738 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nDTACK_IOB_IBUF | 10886 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOBout | 10711 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM +NODE | nVMA_IOBout | 10859 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 10779 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 10927 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 10781 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 10930 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 10787 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 10935 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 10788 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 10936 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_IOBout | 10716 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM +NODE | nAS_IOBout | 10864 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10857 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10776 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10924 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10778 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10926 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 10803 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | IOU0 | 10952 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10806 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10955 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IODONE | 10739 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +NODE | IODONE | 10887 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | IODONE.EXP | 11534 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.EXP | IODONE | 4 | 0 | MC_EXPORT +NODE | IODONE.EXP | 11679 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.EXP | IODONE | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | IODONE.SI | IODONE | 0 | 12 | 4 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N01 | 10737 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX +NODE | N01 | 10885 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_IOB_IBUF | 10738 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nDTACK_IOB_IBUF | 10886 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOBout | 10711 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM +NODE | nVMA_IOBout | 10859 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 10779 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 10927 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 10781 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 10930 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 10787 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 10935 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 10788 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 10936 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_IOBout | 10716 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM +NODE | nAS_IOBout | 10864 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10776 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10924 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10778 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10926 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 10803 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | IOU0 | 10952 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10806 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10955 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IODONE.D1 | 11001 | ? | 0 | 4096 | IODONE | NULL | NULL | IODONE.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IODONE.D1 | 11148 | ? | 0 | 4096 | IODONE | NULL | NULL | IODONE.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IODONE.D2 | 11002 | ? | 0 | 4096 | IODONE | NULL | NULL | IODONE.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IODONE.D2 | 11149 | ? | 0 | 4096 | IODONE | NULL | NULL | IODONE.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | N01 SPPTERM | 1 | IV_FALSE | nDTACK_IOB_IBUF SPPTERM | 5 | IV_FALSE | nVMA_IOBout | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<1> | IV_TRUE | iobm/ES<3> OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | IODONE.RSTF | 11003 | ? | 0 | 4096 | IODONE | NULL | NULL | IODONE.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | IODONE.RSTF | 11150 | ? | 0 | 4096 | IODONE | NULL | NULL | IODONE.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_TRUE | nAS_IOBout OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | IODONE.EXP | 11531 | ? | 0 | 0 | IODONE | NULL | NULL | IODONE.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | IODONE.EXP | 11676 | ? | 0 | 0 | IODONE | NULL | NULL | IODONE.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/C8Mr | IV_TRUE | IOU0 | IV_TRUE | iobm/IORDREQr SRFF_INSTANCE | IODONE.REG | IODONE | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IODONE.D | 11000 | ? | 0 | 0 | IODONE | NULL | NULL | IODONE.XOR | 0 | 7 | ALU_F +NODE | IODONE.D | 11147 | ? | 0 | 0 | IODONE | NULL | NULL | IODONE.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10857 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | IODONE.RSTF | 11003 | ? | 0 | 4096 | IODONE | NULL | NULL | IODONE.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | IODONE.RSTF | 11150 | ? | 0 | 4096 | IODONE | NULL | NULL | IODONE.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_TRUE | nAS_IOBout OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IODONE.Q | 11004 | ? | 0 | 0 | IODONE | NULL | NULL | IODONE.REG | 0 | 8 | SRFF_Q +NODE | IODONE.Q | 11151 | ? | 0 | 0 | IODONE | NULL | NULL | IODONE.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | RefUrg | WarpSE_COPY_0_COPY_0 | 2155873280 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 10732 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 10880 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 10733 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 10881 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<3> | 10750 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM +NODE | cnt/Timer<3> | 10898 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10888 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM SIGNAL_INSTANCE | RefUrg.SI | RefUrg | 0 | 5 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 10732 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 10880 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 10733 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 10881 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<3> | 10750 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM +NODE | cnt/Timer<3> | 10898 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RefUrg.D1 | 11006 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RefUrg.D1 | 11153 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RefUrg.D2 | 11007 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RefUrg.D2 | 11154 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_FALSE | cnt/Timer<1> | IV_FALSE | cnt/Timer<2> | IV_TRUE | cnt/Timer<3> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | RefUrg.CE | 11008 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | RefUrg.CE | 11155 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | RefUrg.REG | RefUrg | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RefUrg.D | 11005 | ? | 0 | 0 | RefUrg | NULL | NULL | RefUrg.XOR | 0 | 7 | ALU_F +NODE | RefUrg.D | 11152 | ? | 0 | 0 | RefUrg | NULL | NULL | RefUrg.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | RefUrg.CE | 11008 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | RefUrg.CE | 11155 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RefUrg.Q | 11009 | ? | 0 | 0 | RefUrg | NULL | NULL | RefUrg.REG | 0 | 8 | SRFF_Q +NODE | RefUrg.Q | 11156 | ? | 0 | 0 | RefUrg | NULL | NULL | RefUrg.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<10> | WarpSE_COPY_0_COPY_0 | 2155877376 | 36 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 10741 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 10889 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10890 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10891 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10892 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10893 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 10894 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10747 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 10895 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10748 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 10896 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 10749 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 10897 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 10852 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 10854 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10725 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 10873 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10726 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 10874 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 10975 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10825 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 10974 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<10> | 10741 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 10889 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/LTimer<10>.EXP | 11541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.EXP | cnt/LTimer<10> | 4 | 0 | MC_EXPORT +NODE | cnt/LTimer<10>.EXP | 11686 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.EXP | cnt/LTimer<10> | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | cnt/LTimer<10>.SI | cnt/LTimer<10> | 0 | 35 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 10741 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 10889 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10890 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10891 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10892 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10893 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 10894 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10747 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 10895 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10748 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 10896 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 10749 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 10897 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 10852 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 10854 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10725 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 10873 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10726 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 10874 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 10975 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10825 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 10974 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<10>.D1 | 11011 | ? | 0 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<10>.D1 | 11158 | ? | 0 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<10>.D2 | 11012 | ? | 0 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<10>.D2 | 11159 | ? | 0 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 SPPTERM | 14 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SPPTERM | 14 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/LTimer<10>.EXP | 11538 | ? | 0 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | cnt/LTimer<10>.EXP | 11683 | ? | 0 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 19 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | fsb/ASrf SPPTERM | 19 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF SRFF_INSTANCE | cnt/LTimer<10>.REG | cnt/LTimer<10> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<10>.D | 11010 | ? | 0 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<10>.D | 11157 | ? | 0 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<10>.Q | 11013 | ? | 0 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<10>.Q | 11160 | ? | 0 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<2> | WarpSE_COPY_0_COPY_0 | 2155877376 | 9 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10890 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10890 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<2>.SI | cnt/LTimer<2> | 0 | 8 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10890 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<2>.D1 | 11015 | ? | 0 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<2>.D1 | 11162 | ? | 0 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<2>.D2 | 11016 | ? | 0 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<2>.D2 | 11163 | ? | 0 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 SPPTERM | 6 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SPPTERM | 6 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<2>.REG | cnt/LTimer<2> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<2>.D | 11014 | ? | 0 | 0 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<2>.D | 11161 | ? | 0 | 0 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<2>.Q | 11017 | ? | 0 | 0 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<2>.Q | 11164 | ? | 0 | 0 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<3> | WarpSE_COPY_0_COPY_0 | 2155877376 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10891 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10890 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10891 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<3>.SI | cnt/LTimer<3> | 0 | 9 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10891 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10890 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<3>.D1 | 11019 | ? | 0 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<3>.D1 | 11166 | ? | 0 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<3>.D2 | 11020 | ? | 0 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<3>.D2 | 11167 | ? | 0 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 SPPTERM | 7 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SPPTERM | 7 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<3>.REG | cnt/LTimer<3> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<3>.D | 11018 | ? | 0 | 0 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<3>.D | 11165 | ? | 0 | 0 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<3>.Q | 11021 | ? | 0 | 0 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<3>.Q | 11168 | ? | 0 | 0 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<4> | WarpSE_COPY_0_COPY_0 | 2155877376 | 11 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10892 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10890 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10891 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10892 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<4>.SI | cnt/LTimer<4> | 0 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10892 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10890 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10891 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<4>.D1 | 11023 | ? | 0 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<4>.D1 | 11170 | ? | 0 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<4>.D2 | 11024 | ? | 0 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<4>.D2 | 11171 | ? | 0 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 SPPTERM | 8 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SPPTERM | 8 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<4>.REG | cnt/LTimer<4> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<4>.D | 11022 | ? | 0 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<4>.D | 11169 | ? | 0 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<4>.Q | 11025 | ? | 0 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<4>.Q | 11172 | ? | 0 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<5> | WarpSE_COPY_0_COPY_0 | 2155877376 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10893 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10890 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10891 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10892 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10893 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<5>.SI | cnt/LTimer<5> | 0 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10893 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10890 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10891 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10892 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<5>.D1 | 11027 | ? | 0 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<5>.D1 | 11174 | ? | 0 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<5>.D2 | 11028 | ? | 0 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<5>.D2 | 11175 | ? | 0 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 SPPTERM | 9 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SPPTERM | 9 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<5>.REG | cnt/LTimer<5> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<5>.D | 11026 | ? | 0 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<5>.D | 11173 | ? | 0 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<5>.Q | 11029 | ? | 0 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<5>.Q | 11176 | ? | 0 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<6> | WarpSE_COPY_0_COPY_0 | 2155877376 | 13 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 10894 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10890 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10891 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10892 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10893 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<6> | 10746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 10894 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<6>.SI | cnt/LTimer<6> | 0 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 10894 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10890 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10891 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10892 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10893 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<6>.D1 | 11031 | ? | 0 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<6>.D1 | 11178 | ? | 0 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<6>.D2 | 11032 | ? | 0 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<6>.D2 | 11179 | ? | 0 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 SPPTERM | 10 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SPPTERM | 10 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<6>.REG | cnt/LTimer<6> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<6>.D | 11030 | ? | 0 | 0 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<6>.D | 11177 | ? | 0 | 0 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<6>.Q | 11033 | ? | 0 | 0 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<6>.Q | 11180 | ? | 0 | 0 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<7> | WarpSE_COPY_0_COPY_0 | 2155877376 | 14 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10747 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 10895 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10890 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10891 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10892 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10893 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 10894 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<7> | 10747 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 10895 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<7>.SI | cnt/LTimer<7> | 0 | 13 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10747 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 10895 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10890 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10891 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10892 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10893 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 10894 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<7>.D1 | 11035 | ? | 0 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<7>.D1 | 11182 | ? | 0 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<7>.D2 | 11036 | ? | 0 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<7>.D2 | 11183 | ? | 0 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 SPPTERM | 11 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SPPTERM | 11 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<7>.REG | cnt/LTimer<7> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<7>.D | 11034 | ? | 0 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<7>.D | 11181 | ? | 0 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<7>.Q | 11037 | ? | 0 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<7>.Q | 11184 | ? | 0 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<8> | WarpSE_COPY_0_COPY_0 | 2155877376 | 15 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10748 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 10896 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10890 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10891 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10892 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10893 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 10894 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10747 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 10895 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<8> | 10748 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 10896 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<8>.SI | cnt/LTimer<8> | 0 | 14 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10748 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 10896 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10890 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10891 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10892 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10893 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 10894 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10747 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 10895 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<8>.D1 | 11039 | ? | 0 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<8>.D1 | 11186 | ? | 0 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<8>.D2 | 11040 | ? | 0 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<8>.D2 | 11187 | ? | 0 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 SPPTERM | 12 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SPPTERM | 12 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<8>.REG | cnt/LTimer<8> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<8>.D | 11038 | ? | 0 | 0 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<8>.D | 11185 | ? | 0 | 0 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<8>.Q | 11041 | ? | 0 | 0 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<8>.Q | 11188 | ? | 0 | 0 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<9> | WarpSE_COPY_0_COPY_0 | 2155877376 | 35 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 10749 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 10897 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10890 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10891 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10892 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10893 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 10894 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10747 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 10895 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10748 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 10896 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 10852 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 10854 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10725 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 10873 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10726 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 10874 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10825 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 10974 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 10975 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_1_OBUF.EXP | 11540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.EXP | RA_1_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_1_OBUF.EXP | 11685 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.EXP | RA_1_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<9> | 10749 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 10897 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/LTimer<9>.EXP | 11539 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.EXP | cnt/LTimer<9> | 4 | 0 | MC_EXPORT +NODE | cnt/LTimer<9>.EXP | 11684 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.EXP | cnt/LTimer<9> | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | cnt/LTimer<9>.SI | cnt/LTimer<9> | 0 | 34 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 10749 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 10897 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10890 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10891 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10892 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10893 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 10894 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10747 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 10895 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10748 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 10896 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 10852 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 10854 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10725 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 10873 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10726 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 10874 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10825 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 10974 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 10975 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_1_OBUF.EXP | 11540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.EXP | RA_1_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_1_OBUF.EXP | 11685 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.EXP | RA_1_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<9>.D1 | 11043 | ? | 0 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<9>.D1 | 11190 | ? | 0 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<9>.D2 | 11044 | ? | 0 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<9>.D2 | 11191 | ? | 0 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | RA_1_OBUF.EXP SPPTERM | 3 | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 SPPTERM | 13 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/LTimer<9>.EXP | 11536 | ? | 0 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | cnt/LTimer<9>.EXP | 11681 | ? | 0 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 19 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | fsb/ASrf SPPTERM | 19 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | fsb/ASrf SPPTERM | 19 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | fsb/ASrf SRFF_INSTANCE | cnt/LTimer<9>.REG | cnt/LTimer<9> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<9>.D | 11042 | ? | 0 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<9>.D | 11189 | ? | 0 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<9>.Q | 11045 | ? | 0 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<9>.Q | 11192 | ? | 0 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/Timer<3> | WarpSE_COPY_0_COPY_0 | 2155877376 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 10731 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 10879 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 10732 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 10880 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 10733 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 10881 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<3> | 10750 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM +NODE | cnt/Timer<3> | 10898 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/Timer<3> | 10750 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM +NODE | cnt/Timer<3> | 10898 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/Timer<3>.SI | cnt/Timer<3> | 0 | 7 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 10731 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 10879 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 10732 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 10880 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 10733 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 10881 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<3> | 10750 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM +NODE | cnt/Timer<3> | 10898 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/Timer<3>.D1 | 11047 | ? | 0 | 4096 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Timer<3>.D1 | 11194 | ? | 0 | 4096 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/Timer<3>.D2 | 11048 | ? | 0 | 4096 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Timer<3>.D2 | 11195 | ? | 0 | 4096 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 4 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> | IV_TRUE | cnt/Timer<2> | IV_FALSE | cnt/TimerTC SPPTERM | 4 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> | IV_TRUE | cnt/Timer<2> | IV_TRUE | cnt/Er<0> SPPTERM | 4 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> | IV_TRUE | cnt/Timer<2> | IV_FALSE | cnt/Er<1> SPPTERM | 4 | IV_TRUE | cnt/Timer<3> | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/Timer<3>.CE | 11049 | ? | 0 | 4096 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<3>.CE | 11196 | ? | 0 | 4096 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/Timer<3>.REG | cnt/Timer<3> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/Timer<3>.D | 11046 | ? | 0 | 0 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.XOR | 0 | 7 | ALU_F +NODE | cnt/Timer<3>.D | 11193 | ? | 0 | 0 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/Timer<3>.CE | 11049 | ? | 0 | 4096 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<3>.CE | 11196 | ? | 0 | 4096 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/Timer<3>.Q | 11050 | ? | 0 | 0 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.REG | 0 | 8 | SRFF_Q +NODE | cnt/Timer<3>.Q | 11197 | ? | 0 | 0 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | RefReq | WarpSE_COPY_0_COPY_0 | 2155873536 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 10731 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 10879 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 10732 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 10880 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 10733 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 10881 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<3> | 10750 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM +NODE | cnt/Timer<3> | 10898 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | RefReq | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | RefReq | 10899 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM SIGNAL_INSTANCE | RefReq.SI | RefReq | 0 | 6 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 10731 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 10879 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 10732 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 10880 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 10733 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 10881 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<3> | 10750 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM +NODE | cnt/Timer<3> | 10898 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RefReq.D1 | 11052 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RefReq.D1 | 11199 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RefReq.D2 | 11053 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RefReq.D2 | 11200 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 2 | 9 | MC_SI_D2 SPPTERM | 4 | IV_FALSE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> | IV_FALSE | cnt/Timer<2> | IV_TRUE | cnt/Timer<3> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | RefReq.CE | 11054 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | RefReq.CE | 11201 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | RefReq.REG | RefReq | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RefReq.D | 11051 | ? | 0 | 0 | RefReq | NULL | NULL | RefReq.XOR | 0 | 7 | ALU_F +NODE | RefReq.D | 11198 | ? | 0 | 0 | RefReq | NULL | NULL | RefReq.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | RefReq.CE | 11054 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | RefReq.CE | 11201 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RefReq.Q | 11055 | ? | 0 | 0 | RefReq | NULL | NULL | RefReq.REG | 0 | 8 | SRFF_Q +NODE | RefReq.Q | 11202 | ? | 0 | 0 | RefReq | NULL | NULL | RefReq.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<11> | WarpSE_COPY_0_COPY_0 | 2155877376 | 18 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 10752 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<11> | 10900 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 10741 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 10889 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10890 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10891 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10892 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10893 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 10894 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10747 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 10895 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10748 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 10896 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 10749 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 10897 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<11> | 10752 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<11> | 10900 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<11>.SI | cnt/LTimer<11> | 0 | 17 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 10752 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<11> | 10900 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 10741 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 10889 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10890 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10891 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10892 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10893 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 10894 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10747 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 10895 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10748 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 10896 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 10749 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 10897 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<11>.D1 | 11057 | ? | 0 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<11>.D1 | 11204 | ? | 0 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<11>.D2 | 11058 | ? | 0 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<11>.D2 | 11205 | ? | 0 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | cnt/LTimer<11> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 SPPTERM | 15 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SPPTERM | 15 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<11>.REG | cnt/LTimer<11> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<11>.D | 11056 | ? | 0 | 0 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<11>.D | 11203 | ? | 0 | 0 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<11>.Q | 11059 | ? | 0 | 0 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<11>.Q | 11206 | ? | 0 | 0 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/TimerTC | WarpSE_COPY_0_COPY_0 | 2155873280 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 10731 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 10879 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 10732 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 10880 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 10733 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 10881 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<3> | 10750 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM +NODE | cnt/Timer<3> | 10898 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/TimerTC.SI | cnt/TimerTC | 0 | 6 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 10731 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 10879 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 10732 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 10880 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 10733 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 10881 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<3> | 10750 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM +NODE | cnt/Timer<3> | 10898 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/TimerTC.D1 | 11061 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/TimerTC.D1 | 11208 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/TimerTC.D2 | 11062 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/TimerTC.D2 | 11209 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 2 | 9 | MC_SI_D2 SPPTERM | 4 | IV_TRUE | cnt/Timer<0> | IV_FALSE | cnt/Timer<1> | IV_FALSE | cnt/Timer<2> | IV_TRUE | cnt/Timer<3> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/TimerTC.CE | 11063 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/TimerTC.CE | 11210 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/TimerTC.REG | cnt/TimerTC | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/TimerTC.D | 11060 | ? | 0 | 0 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.XOR | 0 | 7 | ALU_F +NODE | cnt/TimerTC.D | 11207 | ? | 0 | 0 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/TimerTC.CE | 11063 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/TimerTC.CE | 11210 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/TimerTC.Q | 11064 | ? | 0 | 0 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.REG | 0 | 8 | SRFF_Q +NODE | cnt/TimerTC.Q | 11211 | ? | 0 | 0 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cs/nOverlay | WarpSE_COPY_0_COPY_0 | 2155877376 | 20 | 2 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cs/nOverlay | WarpSE_COPY_0_COPY_0 | 2155877376 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N01 | 10737 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX +NODE | N01 | 10885 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 10758 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RAMReady | 10828 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.Q | RAMReady | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | cnt/WS<0> | 10944 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | cnt/WS<1> | 10953 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL.EXP | 11479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.EXP | ram/RASEL | 4 | 0 | MC_EXPORT +NODE | cnt/WS<2> | 10954 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.Q | cnt/WS<2> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cs/nOverlay.EXP | 11480 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.EXP | cs/nOverlay | 4 | 0 | MC_EXPORT +NODE | cs/nOverlay.EXP | 11623 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.EXP | cs/nOverlay | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | cs/nOverlay.SI | cs/nOverlay | 0 | 19 | 3 +SIGNAL_INSTANCE | cs/nOverlay.SI | cs/nOverlay | 0 | 11 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N01 | 10737 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX +NODE | N01 | 10885 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 10758 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RAMReady | 10828 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.Q | RAMReady | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | cnt/WS<0> | 10944 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | cnt/WS<1> | 10953 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL.EXP | 11479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.EXP | ram/RASEL | 4 | 0 | MC_EXPORT +NODE | cnt/WS<2> | 10954 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.Q | cnt/WS<2> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cs/nOverlay.D1 | 11066 | ? | 0 | 4096 | cs/nOverlay | NULL | NULL | cs/nOverlay.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cs/nOverlay.D1 | 11213 | ? | 0 | 4096 | cs/nOverlay | NULL | NULL | cs/nOverlay.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cs/nOverlay.D2 | 11067 | ? | 0 | 4096 | cs/nOverlay | NULL | NULL | cs/nOverlay.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | ram/RASEL.EXP +SIGNAL | NODE | cs/nOverlay.D2 | 11214 | ? | 0 | 4096 | cs/nOverlay | NULL | NULL | cs/nOverlay.SI | 2 | 9 | MC_SI_D2 SPPTERM | 4 | IV_FALSE | N01 | IV_TRUE | cs/nOverlay | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay | IV_TRUE | fsb/ASrf OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cs/nOverlay.EXP | 11468 | ? | 0 | 0 | cs/nOverlay | NULL | NULL | cs/nOverlay.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | IONPReady | IV_FALSE | RAMReady -SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF -SPPTERM | 10 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | IONPReady | IV_FALSE | ALE1 -SPPTERM | 10 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | IONPReady | IV_FALSE | ALE1 +SIGNAL | NODE | cs/nOverlay.EXP | 11609 | ? | 0 | 0 | cs/nOverlay | NULL | NULL | cs/nOverlay.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/WS<0> | IV_TRUE | cnt/WS<1> | IV_TRUE | cnt/WS<2> +SPPTERM | 4 | IV_TRUE | cnt/WS<0> | IV_TRUE | cnt/WS<1> | IV_TRUE | cnt/WS<2> | IV_TRUE | fsb/ASrf SRFF_INSTANCE | cs/nOverlay.REG | cs/nOverlay | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cs/nOverlay.D | 11065 | ? | 0 | 0 | cs/nOverlay | NULL | NULL | cs/nOverlay.XOR | 0 | 7 | ALU_F +NODE | cs/nOverlay.D | 11212 | ? | 0 | 0 | cs/nOverlay | NULL | NULL | cs/nOverlay.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cs/nOverlay.Q | 11068 | ? | 0 | 0 | cs/nOverlay | NULL | NULL | cs/nOverlay.REG | 0 | 8 | SRFF_Q +NODE | cs/nOverlay.Q | 11215 | ? | 0 | 0 | cs/nOverlay | NULL | NULL | cs/nOverlay.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/IORW1 | WarpSE_COPY_0_COPY_0 | 2155877376 | 19 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 10756 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 10904 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1.EXP | 11569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.EXP | cnt/IS_FSM_FFd1 | 4 | 0 | MC_EXPORT +NODE | cnt/IS_FSM_FFd1.EXP | 11714 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.EXP | cnt/IS_FSM_FFd1 | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IORW1 | 10756 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 10904 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobs/IORW1.EXP | 11570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.EXP | iobs/IORW1 | 4 | 0 | MC_EXPORT +NODE | iobs/IORW1.EXP | 11715 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.EXP | iobs/IORW1 | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | iobs/IORW1.SI | iobs/IORW1 | 0 | 18 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 10756 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 10904 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1.EXP | 11569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.EXP | cnt/IS_FSM_FFd1 | 4 | 0 | MC_EXPORT +NODE | cnt/IS_FSM_FFd1.EXP | 11714 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.EXP | cnt/IS_FSM_FFd1 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IORW1.D1 | 11070 | ? | 0 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IORW1.D1 | 11217 | ? | 0 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IORW1.D2 | 11071 | ? | 0 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IORW1.D2 | 11218 | ? | 0 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | cnt/IS_FSM_FFd1.EXP SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | ALE1 SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | ALE1 SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobs/IORW1.EXP | 11555 | ? | 0 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | iobs/IORW1.EXP | 11700 | ? | 0 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SRFF_INSTANCE | iobs/IORW1.REG | iobs/IORW1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IORW1.D | 11069 | ? | 0 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.XOR | 0 | 7 | ALU_F +NODE | iobs/IORW1.D | 11216 | ? | 0 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IORW1.Q | 11072 | ? | 0 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.REG | 0 | 8 | SRFF_Q +NODE | iobs/IORW1.Q | 11219 | ? | 0 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/RefDone | WarpSE_COPY_0_COPY_0 | 2155873536 | 8 | 1 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/RefDone | WarpSE_COPY_0_COPY_0 | 2155873536 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10905 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 10791 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 10939 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 10801 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd6 | 10942 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 10807 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 10950 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 10808 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | RefUrg | 10888 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefReq | 10899 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10905 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | ram/RefDone.SI | ram/RefDone | 0 | 7 | 2 +SIGNAL_INSTANCE | ram/RefDone.SI | ram/RefDone | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10905 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 10791 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 10939 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 10801 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd6 | 10942 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 10807 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 10950 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 10808 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | RefUrg | 10888 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | RefReq | 10899 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RefDone.D1 | 11074 | ? | 0 | 4096 | ram/RefDone | NULL | NULL | ram/RefDone.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RefDone.D1 | 11221 | ? | 0 | 4096 | ram/RefDone | NULL | NULL | ram/RefDone.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RefDone.D2 | 11075 | ? | 0 | 4096 | ram/RefDone | NULL | NULL | ram/RefDone.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RefDone.D2 | 11222 | ? | 0 | 4096 | ram/RefDone | NULL | NULL | ram/RefDone.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | RefUrg | IV_FALSE | RefReq -SPPTERM | 5 | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd7 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 4 | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd6 | IV_FALSE | ram/RS_FSM_FFd2 SRFF_INSTANCE | ram/RefDone.REG | ram/RefDone | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RefDone.D | 11073 | ? | 0 | 0 | ram/RefDone | NULL | NULL | ram/RefDone.XOR | 0 | 7 | ALU_F +NODE | ram/RefDone.D | 11220 | ? | 0 | 0 | ram/RefDone | NULL | NULL | ram/RefDone.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RefDone.Q | 11076 | ? | 0 | 0 | ram/RefDone | NULL | NULL | ram/RefDone.REG | 0 | 8 | SRFF_Q +NODE | ram/RefDone.Q | 11223 | ? | 0 | 0 | ram/RefDone | NULL | NULL | ram/RefDone.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | IONPReady | WarpSE_COPY_0_COPY_0 | 2155873536 | 12 | 2 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | IONPReady | WarpSE_COPY_0_COPY_0 | 2155873536 | 15 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 10824 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_6_OBUF.EXP | 11526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT +NODE | IONPReady | 10906 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | $OpTx$$OpTx$FX_DC$346_INV$533.UIM | 11055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$346_INV$533.Q | $OpTx$$OpTx$FX_DC$346_INV$533 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Clear1.EXP | 11622 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IONPReady | 10758 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +NODE | IONPReady | 10906 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | IONPReady.EXP | 11527 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.EXP | IONPReady | 4 | 0 | MC_EXPORT +NODE | IONPReady.EXP | 11621 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.EXP | IONPReady | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | IONPReady.SI | IONPReady | 0 | 11 | 3 +SIGNAL_INSTANCE | IONPReady.SI | IONPReady | 0 | 14 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 10824 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_6_OBUF.EXP | 11526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT +NODE | IONPReady | 10906 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$$OpTx$FX_DC$346_INV$533.UIM | 11055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$346_INV$533.Q | $OpTx$$OpTx$FX_DC$346_INV$533 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Clear1.EXP | 11622 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IONPReady.D1 | 11078 | ? | 0 | 4096 | IONPReady | NULL | NULL | IONPReady.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IONPReady.D1 | 11225 | ? | 0 | 4096 | IONPReady | NULL | NULL | IONPReady.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IONPReady.D2 | 11079 | ? | 0 | 4096 | IONPReady | NULL | NULL | IONPReady.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | RA_6_OBUF.EXP +SIGNAL | NODE | IONPReady.D2 | 11226 | ? | 0 | 4096 | IONPReady | NULL | NULL | IONPReady.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/Clear1.EXP +SPPTERM | 11 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | IONPReady OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | IONPReady.EXP | 11513 | ? | 0 | 0 | IONPReady | NULL | NULL | IONPReady.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | ram/BACTr -SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | ram/RASEN | IV_TRUE | fsb/ASrf -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | ram/BACTr -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SIGNAL | NODE | IONPReady.EXP | 11607 | ? | 0 | 0 | IONPReady | NULL | NULL | IONPReady.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$346_INV$533.UIM +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$346_INV$533.UIM +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$346_INV$533.UIM +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$346_INV$533.UIM SRFF_INSTANCE | IONPReady.REG | IONPReady | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IONPReady.D | 11077 | ? | 0 | 0 | IONPReady | NULL | NULL | IONPReady.XOR | 0 | 7 | ALU_F +NODE | IONPReady.D | 11224 | ? | 0 | 0 | IONPReady | NULL | NULL | IONPReady.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IONPReady.Q | 11080 | ? | 0 | 0 | IONPReady | NULL | NULL | IONPReady.REG | 0 | 8 | SRFF_Q +NODE | IONPReady.Q | 11227 | ? | 0 | 0 | IONPReady | NULL | NULL | IONPReady.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | nLDS_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nLDS_FSB | 10929 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nLDS_FSB | 11076 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nLDS_FSB_IBUF | 10759 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 10907 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOL1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 10759 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 10907 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 10797 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 10948 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOL1 | 10760 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +NODE | iobs/IOL1 | 10908 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/IOL1.SI | iobs/IOL1 | 0 | 2 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 10759 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 10907 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 10797 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 10948 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOL1.D1 | 11082 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOL1.D1 | 11229 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOL1.D2 | 11083 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOL1.D2 | 11230 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nLDS_FSB_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | iobs/IOL1.CE | 11084 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOL1.CE | 11231 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | iobs/Load1 SRFF_INSTANCE | iobs/IOL1.REG | iobs/IOL1 | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOL1.D | 11081 | ? | 0 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.XOR | 0 | 7 | ALU_F +NODE | iobs/IOL1.D | 11228 | ? | 0 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | iobs/IOL1.CE | 11084 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOL1.CE | 11231 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | iobs/Load1 OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOL1.Q | 11085 | ? | 0 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.REG | 0 | 8 | SRFF_Q +NODE | iobs/IOL1.Q | 11232 | ? | 0 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | nUDS_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nUDS_FSB | 10930 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nUDS_FSB | 11077 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nUDS_FSB_IBUF | 10761 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 10909 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOU1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 10761 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 10909 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 10797 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 10948 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOU1 | 10762 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +NODE | iobs/IOU1 | 10910 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/IOU1.SI | iobs/IOU1 | 0 | 2 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 10761 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 10909 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 10797 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 10948 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOU1.D1 | 11087 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOU1.D1 | 11234 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOU1.D2 | 11088 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOU1.D2 | 11235 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nUDS_FSB_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | iobs/IOU1.CE | 11089 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOU1.CE | 11236 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | iobs/Load1 SRFF_INSTANCE | iobs/IOU1.REG | iobs/IOU1 | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOU1.D | 11086 | ? | 0 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.XOR | 0 | 7 | ALU_F +NODE | iobs/IOU1.D | 11233 | ? | 0 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | iobs/IOU1.CE | 11089 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOU1.CE | 11236 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | iobs/Load1 OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOU1.Q | 11090 | ? | 0 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.REG | 0 | 8 | SRFF_Q +NODE | iobs/IOU1.Q | 11237 | ? | 0 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | nBERR_FSB_OBUF | WarpSE_COPY_0_COPY_0 | 2155873280 | 16 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_FSB_OBUF.UIM | 10765 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM +NODE | nBERR_FSB_OBUF.UIM | 10913 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP23_.EXP | 11565 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT +NODE | EXP25_.EXP | 11710 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nBERR_FSB_OBUF | 10764 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q +NODE | nBERR_FSB_OBUF | 10912 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nBERR_FSB_OBUF.UIM | 10765 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM +NODE | nBERR_FSB_OBUF.UIM | 10913 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nBERR_FSB_OBUF.EXP | 11566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT +NODE | nBERR_FSB_OBUF.EXP | 11711 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | nBERR_FSB_OBUF.SI | nBERR_FSB_OBUF | 0 | 15 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_FSB_OBUF.UIM | 10765 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM +NODE | nBERR_FSB_OBUF.UIM | 10913 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP23_.EXP | 11565 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT +NODE | EXP25_.EXP | 11710 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nBERR_FSB_OBUF.D1 | 11092 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nBERR_FSB_OBUF.D1 | 11239 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nBERR_FSB_OBUF.D2 | 11093 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP23_.EXP +SIGNAL | NODE | nBERR_FSB_OBUF.D2 | 11240 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP25_.EXP SPPTERM | 2 | IV_FALSE | iobs/Sent | IV_TRUE | nBERR_FSB_OBUF.UIM SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nBERR_FSB_OBUF.EXP | 11551 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nBERR_FSB_OBUF.EXP | 11696 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SRFF_INSTANCE | nBERR_FSB_OBUF.REG | nBERR_FSB_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nBERR_FSB_OBUF.D | 11091 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.XOR | 0 | 7 | ALU_F +NODE | nBERR_FSB_OBUF.D | 11238 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nBERR_FSB_OBUF.Q | 11094 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nBERR_FSB_OBUF.Q | 11241 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | nVPA_FSB_OBUF | WarpSE_COPY_0_COPY_0 | 2155873536 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 10758 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +NODE | IONPReady | 10906 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nVPA_FSB_OBUF | 10766 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q +NODE | nVPA_FSB_OBUF | 10914 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | nVPA_FSB_OBUF.SI | nVPA_FSB_OBUF | 0 | 11 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 10758 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +NODE | IONPReady | 10906 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nVPA_FSB_OBUF.D1 | 11096 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nVPA_FSB_OBUF.D1 | 11243 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nVPA_FSB_OBUF.D2 | 11097 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nVPA_FSB_OBUF.D2 | 11244 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 10 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | IONPReady | IV_FALSE | nAS_FSB_IBUF SPPTERM | 10 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | IONPReady | IV_TRUE | fsb/ASrf OUTPUT_NODE_TYPE | 5 | 9 | MC_SI_SETF -SIGNAL | NODE | nVPA_FSB_OBUF.SETF | 11098 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 5 | 9 | MC_SI_SETF +SIGNAL | NODE | nVPA_FSB_OBUF.SETF | 11245 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 5 | 9 | MC_SI_SETF SPPTERM | 1 | IV_TRUE | nAS_FSB_IBUF SRFF_INSTANCE | nVPA_FSB_OBUF.REG | nVPA_FSB_OBUF | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nVPA_FSB_OBUF.D | 11095 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.XOR | 0 | 7 | ALU_F +NODE | nVPA_FSB_OBUF.D | 11242 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 2 | 8 | SRFF_S -SIGNAL | NODE | nVPA_FSB_OBUF.SETF | 11098 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 5 | 9 | MC_SI_SETF +SIGNAL | NODE | nVPA_FSB_OBUF.SETF | 11245 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 5 | 9 | MC_SI_SETF SPPTERM | 1 | IV_TRUE | nAS_FSB_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nVPA_FSB_OBUF.Q | 11099 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nVPA_FSB_OBUF.Q | 11246 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+OptxMapped | nRAS_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 13 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAMLWE_OBUF.EXP | 11561 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT +NODE | nRAMLWE_OBUF.EXP | 11706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nRAS_OBUF | 10767 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q +NODE | nRAS_OBUF | 10915 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nRAS_OBUF.EXP | 11560 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT +NODE | nRAS_OBUF.EXP | 11705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | nRAS_OBUF.SI | nRAS_OBUF | 0 | 13 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAMLWE_OBUF.EXP | 11561 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT +NODE | nRAMLWE_OBUF.EXP | 11706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRAS_OBUF.D1 | 11101 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRAS_OBUF.D1 | 11248 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRAS_OBUF.D2 | 11102 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nRAS_OBUF.D2 | 11249 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | nRAMLWE_OBUF.EXP OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nRAS_OBUF.EXP | 11545 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nRAS_OBUF.EXP | 11690 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 @@ -2753,864 +2728,851 @@ SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A SRFF_INSTANCE | nRAS_OBUF.REG | nRAS_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRAS_OBUF.D | 11100 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.XOR | 0 | 7 | ALU_F +NODE | nRAS_OBUF.D | 11247 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRAS_OBUF.Q | 11103 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nRAS_OBUF.Q | 11250 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | nBR_IOB_OBUF | WarpSE_COPY_0_COPY_0 | 2155877376 | 12 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBR_IOB_OBUF | 10769 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM +NODE | nBR_IOB_OBUF | 10917 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/nIPL2r | 10817 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM +NODE | cnt/nIPL2r | 10965 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nBR_IOB_OBUF$Q | 10768 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 0 | 0 | MC_Q +NODE | nBR_IOB_OBUF$Q | 10916 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nBR_IOB_OBUF | 10769 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM +NODE | nBR_IOB_OBUF | 10917 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nBR_IOB_OBUF.EXP | 11568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.EXP | nBR_IOB_OBUF | 4 | 0 | MC_EXPORT +NODE | nBR_IOB_OBUF.EXP | 11713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.EXP | nBR_IOB_OBUF | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | nBR_IOB_OBUF.SI | nBR_IOB_OBUF | 0 | 11 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBR_IOB_OBUF | 10769 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM +NODE | nBR_IOB_OBUF | 10917 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/nIPL2r | 10817 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM +NODE | cnt/nIPL2r | 10965 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nBR_IOB_OBUF.D1 | 11105 | ? | 0 | 4096 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nBR_IOB_OBUF.D1 | 11252 | ? | 0 | 4096 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nBR_IOB_OBUF.D2 | 11106 | ? | 0 | 4096 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nBR_IOB_OBUF.D2 | 11253 | ? | 0 | 4096 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | nBR_IOB_OBUF | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 SPPTERM | 4 | IV_FALSE | nBR_IOB_OBUF | IV_FALSE | cnt/IS_FSM_FFd1 | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/nIPL2r OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nBR_IOB_OBUF.EXP | 11553 | ? | 0 | 0 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nBR_IOB_OBUF.EXP | 11698 | ? | 0 | 0 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SRFF_INSTANCE | nBR_IOB_OBUF.REG | nBR_IOB_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nBR_IOB_OBUF.D | 11104 | ? | 0 | 0 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.XOR | 0 | 7 | ALU_F +NODE | nBR_IOB_OBUF.D | 11251 | ? | 0 | 0 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nBR_IOB_OBUF.Q | 11107 | ? | 0 | 0 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nBR_IOB_OBUF.Q | 11254 | ? | 0 | 0 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | OptxMapped | RA_11_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 5 | 2 +MACROCELL_INSTANCE | OptxMapped | RA_11_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_4_IBUF | 10841 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_11_OBUF$Q | 10770 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF.Q | RA_11_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_11_OBUF.EXP | 11523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF.EXP | RA_11_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_11_OBUF$Q | 10918 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF.Q | RA_11_OBUF | 0 | 0 | MC_Q -SIGNAL_INSTANCE | RA_11_OBUF.SI | RA_11_OBUF | 0 | 5 | 3 +SIGNAL_INSTANCE | RA_11_OBUF.SI | RA_11_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_4_IBUF | 10841 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_11_OBUF.D1 | 11109 | ? | 0 | 4096 | RA_11_OBUF | NULL | NULL | RA_11_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_11_OBUF.D1 | 11256 | ? | 0 | 4096 | RA_11_OBUF | NULL | NULL | RA_11_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_11_OBUF.D2 | 11110 | ? | 0 | 4096 | RA_11_OBUF | NULL | NULL | RA_11_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_11_OBUF.D2 | 11257 | ? | 0 | 4096 | RA_11_OBUF | NULL | NULL | RA_11_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | ram/RASEL SPPTERM | 2 | IV_TRUE | A_FSB_19_IBUF | IV_FALSE | ram/RASEL -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_11_OBUF.EXP | 11509 | ? | 0 | 0 | RA_11_OBUF | NULL | NULL | RA_11_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_TRUE | A_FSB_12_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_4_IBUF SRFF_INSTANCE | RA_11_OBUF.REG | RA_11_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_11_OBUF.D | 11108 | ? | 0 | 0 | RA_11_OBUF | NULL | NULL | RA_11_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_11_OBUF.D | 11255 | ? | 0 | 0 | RA_11_OBUF | NULL | NULL | RA_11_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_11_OBUF.Q | 11111 | ? | 0 | 0 | RA_11_OBUF | NULL | NULL | RA_11_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_11_OBUF.Q | 11258 | ? | 0 | 0 | RA_11_OBUF | NULL | NULL | RA_11_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | nDTACK_FSB_OBUF | WarpSE_COPY_0_COPY_0 | 2155873280 | 10 | 2 +MACROCELL_INSTANCE | PrldLow+OptxMapped | nDTACK_FSB_OBUF | WarpSE_COPY_0_COPY_0 | 2155873280 | 7 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 10758 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +NODE | cnt/WS<2> | 10954 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.Q | cnt/WS<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | QoSReady | 10812 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | QoSReady.Q | QoSReady | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | cnt/WS<0> | 10944 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | cnt/WS<1> | 10953 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | cnt/WS<2>.EXP | 11625 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.EXP | cnt/WS<2> | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay.EXP | 11480 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.EXP | cs/nOverlay | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDTACK_FSB_OBUF$Q | 10771 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q +NODE | nDTACK_FSB_OBUF$Q | 10919 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nDTACK_FSB_OBUF | 10772 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +NODE | nDTACK_FSB_OBUF | 10920 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nDTACK_FSB_OBUF.EXP | 11626 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.EXP | nDTACK_FSB_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | nDTACK_FSB_OBUF.SI | nDTACK_FSB_OBUF | 0 | 9 | 2 +SIGNAL_INSTANCE | nDTACK_FSB_OBUF.SI | nDTACK_FSB_OBUF | 0 | 6 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 10758 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +NODE | cnt/WS<2> | 10954 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.Q | cnt/WS<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | QoSReady | 10812 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | QoSReady.Q | QoSReady | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | cnt/WS<0> | 10944 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | cnt/WS<1> | 10953 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay.EXP | 11480 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.EXP | cs/nOverlay | 4 | 0 | MC_EXPORT +NODE | cnt/WS<2>.EXP | 11625 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.EXP | cnt/WS<2> | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDTACK_FSB_OBUF.D1 | 11113 | ? | 0 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDTACK_FSB_OBUF.D1 | 11260 | ? | 0 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDTACK_FSB_OBUF.D2 | 11114 | ? | 0 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | cs/nOverlay.EXP -SPPTERM | 2 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | IONPReady -SPPTERM | 2 | IV_FALSE | IONPReady | IV_FALSE | QoSReady -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | IONPReady -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | IONPReady +SIGNAL | NODE | nDTACK_FSB_OBUF.D2 | 11261 | ? | 0 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cnt/WS<2>.EXP +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nDTACK_FSB_OBUF.EXP | 11612 | ? | 0 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | cnt/WS<2> | IV_FALSE | fsb/ASrf +SPPTERM | 3 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/WS<0> | IV_TRUE | cnt/WS<1> +SPPTERM | 3 | IV_TRUE | cnt/WS<0> | IV_TRUE | cnt/WS<1> | IV_TRUE | fsb/ASrf SRFF_INSTANCE | nDTACK_FSB_OBUF.REG | nDTACK_FSB_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDTACK_FSB_OBUF.D | 11112 | ? | 0 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.XOR | 0 | 7 | ALU_F +NODE | nDTACK_FSB_OBUF.D | 11259 | ? | 0 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDTACK_FSB_OBUF.Q | 11115 | ? | 0 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nDTACK_FSB_OBUF.Q | 11262 | ? | 0 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RASEL | WarpSE_COPY_0_COPY_0 | 2155873280 | 11 | 2 +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RASEL | WarpSE_COPY_0_COPY_0 | 2155873280 | 15 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 10800 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd3 | 10937 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 10939 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd4 | 10940 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd6 | 10942 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 10950 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd5 | 10941 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEN | 10938 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | ram/RASEL.EXP | 11479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.EXP | ram/RASEL | 4 | 0 | MC_EXPORT +NODE | ram/RASEL | 10921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | ram/RASEL.SI | ram/RASEL | 0 | 10 | 3 +SIGNAL_INSTANCE | ram/RASEL.SI | ram/RASEL | 0 | 14 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 10800 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd3 | 10937 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 10939 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd4 | 10940 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd6 | 10942 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 10950 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd5 | 10941 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEN | 10938 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RASEL.D1 | 11117 | ? | 0 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RASEL.D1 | 11264 | ? | 0 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RASEL.D2 | 11118 | ? | 0 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd6 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | ram/RASEN -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | ram/RASEN | IV_TRUE | fsb/ASrf -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | ram/RASEL.EXP | 11467 | ? | 0 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay | IV_TRUE | fsb/ASrf +SIGNAL | NODE | ram/RASEL.D2 | 11265 | ? | 0 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd5 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd7 | IV_TRUE | ram/RASEN +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_TRUE | ram/RS_FSM_FFd7 | IV_TRUE | ram/RASEN | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_TRUE | ram/RASEL | IV_FALSE | ram/RS_FSM_FFd7 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd6 | IV_FALSE | ram/RS_FSM_FFd2 SRFF_INSTANCE | ram/RASEL.REG | ram/RASEL | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RASEL.D | 11116 | ? | 0 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.XOR | 0 | 7 | ALU_F +NODE | ram/RASEL.D | 11263 | ? | 0 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RASEL.Q | 11119 | ? | 0 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.REG | 0 | 8 | SRFF_Q +NODE | ram/RASEL.Q | 11266 | ? | 0 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/TS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 10796 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 10947 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/TS_FSM_FFd1.SI | iobs/TS_FSM_FFd1 | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 10796 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 10947 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/TS_FSM_FFd1.D1 | 11121 | ? | 0 | 4096 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/TS_FSM_FFd1.D1 | 11268 | ? | 0 | 4096 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/TS_FSM_FFd1.D2 | 11122 | ? | 0 | 4096 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/TS_FSM_FFd1.D2 | 11269 | ? | 0 | 4096 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobs/TS_FSM_FFd2 SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | iobs/IOACTr SRFF_INSTANCE | iobs/TS_FSM_FFd1.REG | iobs/TS_FSM_FFd1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/TS_FSM_FFd1.D | 11120 | ? | 0 | 0 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.XOR | 0 | 7 | ALU_F +NODE | iobs/TS_FSM_FFd1.D | 11267 | ? | 0 | 0 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/TS_FSM_FFd1.Q | 11123 | ? | 0 | 0 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.REG | 0 | 8 | SRFF_Q +NODE | iobs/TS_FSM_FFd1.Q | 11270 | ? | 0 | 0 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/TS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155873536 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 10796 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 10947 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP20_.EXP | 11559 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT +NODE | EXP22_.EXP | 11704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobs/TS_FSM_FFd2.EXP | 11558 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.EXP | iobs/TS_FSM_FFd2 | 4 | 0 | MC_EXPORT +NODE | iobs/TS_FSM_FFd2.EXP | 11703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.EXP | iobs/TS_FSM_FFd2 | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | iobs/TS_FSM_FFd2.SI | iobs/TS_FSM_FFd2 | 0 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 10796 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 10947 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP20_.EXP | 11559 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT +NODE | EXP22_.EXP | 11704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/TS_FSM_FFd2.D1 | 11125 | ? | 0 | 4096 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/TS_FSM_FFd2.D1 | 11272 | ? | 0 | 4096 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/TS_FSM_FFd2.D2 | 11126 | ? | 0 | 4096 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP20_.EXP +SIGNAL | NODE | iobs/TS_FSM_FFd2.D2 | 11273 | ? | 0 | 4096 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP22_.EXP SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | iobs/IOACTr SPPTERM | 3 | IV_TRUE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobs/TS_FSM_FFd2.EXP | 11543 | ? | 0 | 0 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | iobs/TS_FSM_FFd2.EXP | 11688 | ? | 0 | 0 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 2 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | ram/RASEL SPPTERM | 2 | IV_TRUE | A_FSB_19_IBUF | IV_FALSE | ram/RASEL SRFF_INSTANCE | iobs/TS_FSM_FFd2.REG | iobs/TS_FSM_FFd2 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/TS_FSM_FFd2.D | 11124 | ? | 0 | 0 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.XOR | 0 | 7 | ALU_F +NODE | iobs/TS_FSM_FFd2.D | 11271 | ? | 0 | 0 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/TS_FSM_FFd2.Q | 11127 | ? | 0 | 0 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.REG | 0 | 8 | SRFF_Q +NODE | iobs/TS_FSM_FFd2.Q | 11274 | ? | 0 | 0 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | iobm/IOS_FSM_FFd7 | WarpSE_COPY_0_COPY_0 | 2155873024 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10776 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10924 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 10794 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 10945 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10778 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10926 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10806 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10955 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 11012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10821 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10969 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd7 | 10776 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10924 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd7.SI | iobm/IOS_FSM_FFd7 | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10776 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10924 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 10794 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 10945 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10778 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10926 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10806 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10955 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 11012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10821 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10969 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd7.D1 | 11129 | ? | 0 | 4096 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd7.D1 | 11276 | ? | 0 | 4096 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd7.D2 | 11130 | ? | 0 | 4096 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd7.D2 | 11277 | ? | 0 | 4096 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd1 SPPTERM | 4 | IV_FALSE | iobm/C8Mr | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IORDREQr | IV_FALSE | AoutOE SPPTERM | 4 | IV_FALSE | iobm/C8Mr | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOWRREQr | IV_FALSE | AoutOE SRFF_INSTANCE | iobm/IOS_FSM_FFd7.REG | iobm/IOS_FSM_FFd7 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd7.D | 11128 | ? | 0 | 0 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd7.D | 11275 | ? | 0 | 0 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd7.Q | 11131 | ? | 0 | 0 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd7.Q | 11278 | ? | 0 | 0 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd3 | WarpSE_COPY_0_COPY_0 | 2155873280 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10780 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10928 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10777 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10925 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10778 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10926 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 10736 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 10884 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE | 10739 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +NODE | IODONE | 10887 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd3 | 10777 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10925 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd3.SI | iobm/IOS_FSM_FFd3 | 0 | 5 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10780 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10928 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10777 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10925 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10778 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10926 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 10736 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 10884 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE | 10739 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +NODE | IODONE | 10887 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd3.D1 | 11133 | ? | 0 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd3.D1 | 11280 | ? | 0 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd3.D2 | 11134 | ? | 0 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd3.D2 | 11281 | ? | 0 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd4 SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/C8Mr SPPTERM | 3 | IV_FALSE | IOBERR | IV_FALSE | IODONE | IV_TRUE | iobm/IOS_FSM_FFd3 SRFF_INSTANCE | iobm/IOS_FSM_FFd3.REG | iobm/IOS_FSM_FFd3 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd3.D | 11132 | ? | 0 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd3.D | 11279 | ? | 0 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd3.Q | 11135 | ? | 0 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd3.Q | 11282 | ? | 0 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/C8Mr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 10855 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/C8Mr | 10778 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10926 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/C8Mr.SI | iobm/C8Mr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 10855 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/C8Mr.D1 | 11137 | ? | 0 | 4096 | iobm/C8Mr | NULL | NULL | iobm/C8Mr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/C8Mr.D1 | 11284 | ? | 0 | 4096 | iobm/C8Mr | NULL | NULL | iobm/C8Mr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/C8Mr.D2 | 11138 | ? | 0 | 4096 | iobm/C8Mr | NULL | NULL | iobm/C8Mr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/C8Mr.D2 | 11285 | ? | 0 | 4096 | iobm/C8Mr | NULL | NULL | iobm/C8Mr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | C8M_IBUF SRFF_INSTANCE | iobm/C8Mr.REG | iobm/C8Mr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/C8Mr.D | 11136 | ? | 0 | 0 | iobm/C8Mr | NULL | NULL | iobm/C8Mr.XOR | 0 | 7 | ALU_F +NODE | iobm/C8Mr.D | 11283 | ? | 0 | 0 | iobm/C8Mr | NULL | NULL | iobm/C8Mr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/C8Mr.Q | 11139 | ? | 0 | 0 | iobm/C8Mr | NULL | NULL | iobm/C8Mr.REG | 0 | 8 | SRFF_Q +NODE | iobm/C8Mr.Q | 11286 | ? | 0 | 0 | iobm/C8Mr | NULL | NULL | iobm/C8Mr.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | E_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | E | 10932 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | E | 11079 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | E_IBUF | 10813 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped+Ce | iobm/ES<0> | WarpSE_COPY_0_COPY_0 | 2424313088 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 10779 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 10927 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 10813 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 10819 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 10967 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 10781 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 10930 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 10787 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 10935 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 10788 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 10936 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10857 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<0> | 10779 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 10927 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ES<0>.SI | iobm/ES<0> | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 10779 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 10927 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 10813 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 10819 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 10967 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 10781 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 10930 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 10787 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 10935 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 10788 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 10936 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<0>.D1 | 11141 | ? | 0 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<0>.D1 | 11288 | ? | 0 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<0>.D2 | 11142 | ? | 0 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<0>.D2 | 11289 | ? | 0 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | iobm/ES<0> | IV_FALSE | E_IBUF | IV_TRUE | iobm/Er SPPTERM | 5 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<3> | IV_TRUE | E_IBUF SPPTERM | 5 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/Er SRFF_INSTANCE | iobm/ES<0>.REG | iobm/ES<0> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<0>.D | 11140 | ? | 0 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.XOR | 0 | 7 | ALU_F +NODE | iobm/ES<0>.D | 11287 | ? | 0 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10857 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<0>.Q | 11143 | ? | 0 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.REG | 0 | 8 | SRFF_Q +NODE | iobm/ES<0>.Q | 11290 | ? | 0 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd4 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10782 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10931 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd4 | 10780 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10928 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd4.SI | iobm/IOS_FSM_FFd4 | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10782 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10931 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd4.D1 | 11145 | ? | 0 | 4096 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd4.D1 | 11292 | ? | 0 | 4096 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd4.D2 | 11146 | ? | 0 | 4096 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd4.D2 | 11293 | ? | 0 | 4096 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd5 SRFF_INSTANCE | iobm/IOS_FSM_FFd4.REG | iobm/IOS_FSM_FFd4 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd4.D | 11144 | ? | 0 | 0 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd4.D | 11291 | ? | 0 | 0 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd4.Q | 11147 | ? | 0 | 0 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd4.Q | 11294 | ? | 0 | 0 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | ram/RS_FSM_FFd7 | WarpSE_COPY_0_COPY_0 | 2155873024 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 10888 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 10905 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 10939 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 10937 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEN | 10938 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nROMCS_OBUF.EXP | 11662 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RAMReady.EXP | 11674 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.EXP | RAMReady | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RS_FSM_FFd7.SI | ram/RS_FSM_FFd7 | 0 | 11 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 10888 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 10905 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 10939 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 10937 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEN | 10938 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nROMCS_OBUF.EXP | 11662 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RAMReady.EXP | 11674 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.EXP | RAMReady | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd7.D1 | 11296 | ? | 0 | 4096 | ram/RS_FSM_FFd7 | NULL | NULL | ram/RS_FSM_FFd7.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd7.D2 | 11297 | ? | 0 | 4096 | ram/RS_FSM_FFd7 | NULL | NULL | ram/RS_FSM_FFd7.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nROMCS_OBUF.EXP +SPPTERM | 1 | IV_TRUE | RAMReady.EXP +SPPTERM | 3 | IV_FALSE | ram/RS_FSM_FFd7 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RS_FSM_FFd1 +SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd1 +SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd1 +SPPTERM | 4 | IV_TRUE | RefUrg | IV_TRUE | cs/nOverlay | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd1 +SPPTERM | 4 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_FALSE | ram/RASEN | IV_FALSE | ram/RS_FSM_FFd1 + +SRFF_INSTANCE | ram/RS_FSM_FFd7.REG | ram/RS_FSM_FFd7 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RS_FSM_FFd7.D | 11295 | ? | 0 | 0 | ram/RS_FSM_FFd7 | NULL | NULL | ram/RS_FSM_FFd7.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd7.Q | 11298 | ? | 0 | 0 | ram/RS_FSM_FFd7 | NULL | NULL | ram/RS_FSM_FFd7.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | iobm/ES<2> | WarpSE_COPY_0_COPY_0 | 2424312832 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 10779 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 10927 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 10787 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 10935 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 10819 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 10967 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 10813 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 10781 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 10930 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10857 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<2> | 10781 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 10930 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ES<2>.SI | iobm/ES<2> | 0 | 5 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 10779 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 10927 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 10787 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 10935 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 10819 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 10967 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 10813 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 10781 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 10930 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<2>.D1 | 11149 | ? | 0 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<2>.D1 | 11300 | ? | 0 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<2>.D2 | 11150 | ? | 0 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<2>.D2 | 11301 | ? | 0 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | E_IBUF SPPTERM | 3 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_FALSE | iobm/Er SPPTERM | 3 | IV_TRUE | iobm/ES<2> | IV_FALSE | E_IBUF | IV_TRUE | iobm/Er SRFF_INSTANCE | iobm/ES<2>.REG | iobm/ES<2> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<2>.D | 11148 | ? | 0 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.XOR | 0 | 7 | ALU_F +NODE | iobm/ES<2>.D | 11299 | ? | 0 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10857 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<2>.Q | 11151 | ? | 0 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.REG | 0 | 8 | SRFF_Q +NODE | iobm/ES<2>.Q | 11302 | ? | 0 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd5 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10783 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10932 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd5 | 10782 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10931 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd5.SI | iobm/IOS_FSM_FFd5 | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10783 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10932 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd5.D1 | 11153 | ? | 0 | 4096 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd5.D1 | 11304 | ? | 0 | 4096 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd5.D2 | 11154 | ? | 0 | 4096 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd5.D2 | 11305 | ? | 0 | 4096 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd6 SRFF_INSTANCE | iobm/IOS_FSM_FFd5.REG | iobm/IOS_FSM_FFd5 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd5.D | 11152 | ? | 0 | 0 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd5.D | 11303 | ? | 0 | 0 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd5.Q | 11155 | ? | 0 | 0 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd5.Q | 11306 | ? | 0 | 0 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd6 | WarpSE_COPY_0_COPY_0 | 2155873280 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10776 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10924 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10778 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10926 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10806 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10955 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 11012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10821 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10969 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd6 | 10783 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10932 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd6.SI | iobm/IOS_FSM_FFd6 | 0 | 5 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10776 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10924 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10778 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10926 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10806 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10955 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 11012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10821 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10969 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd6.D1 | 11157 | ? | 0 | 4096 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd6.D1 | 11308 | ? | 0 | 4096 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd6.D2 | 11158 | ? | 0 | 4096 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd6.D2 | 11309 | ? | 0 | 4096 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.SI | 2 | 9 | MC_SI_D2 SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/C8Mr | IV_TRUE | iobm/IORDREQr | IV_FALSE | AoutOE SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/C8Mr | IV_TRUE | iobm/IOWRREQr | IV_FALSE | AoutOE SRFF_INSTANCE | iobm/IOS_FSM_FFd6.REG | iobm/IOS_FSM_FFd6 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd6.D | 11156 | ? | 0 | 0 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd6.D | 11307 | ? | 0 | 0 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd6.Q | 11159 | ? | 0 | 0 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | ram/RS_FSM_FFd8 | WarpSE_COPY_0_COPY_0 | 2155873024 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 10791 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 10789 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nROMCS_OBUF.EXP | 11516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASrr.EXP | 11529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrr.EXP | ram/RASrr | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ram/RS_FSM_FFd8.SI | ram/RS_FSM_FFd8 | 0 | 11 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 10791 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 10789 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nROMCS_OBUF.EXP | 11516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASrr.EXP | 11529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrr.EXP | ram/RASrr | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd8.D1 | 11161 | ? | 0 | 4096 | ram/RS_FSM_FFd8 | NULL | NULL | ram/RS_FSM_FFd8.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd8.D2 | 11162 | ? | 0 | 4096 | ram/RS_FSM_FFd8 | NULL | NULL | ram/RS_FSM_FFd8.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nROMCS_OBUF.EXP -SPPTERM | 1 | IV_TRUE | ram/RASrr.EXP -SPPTERM | 3 | IV_FALSE | ram/RS_FSM_FFd8 | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd1 -SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd1 -SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd1 -SPPTERM | 4 | IV_TRUE | RefUrg | IV_TRUE | cs/nOverlay | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd1 -SPPTERM | 4 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_FALSE | ram/RASEN | IV_FALSE | ram/RS_FSM_FFd1 - -SRFF_INSTANCE | ram/RS_FSM_FFd8.REG | ram/RS_FSM_FFd8 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd8.D | 11160 | ? | 0 | 0 | ram/RS_FSM_FFd8 | NULL | NULL | ram/RS_FSM_FFd8.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd8.Q | 11163 | ? | 0 | 0 | ram/RS_FSM_FFd8 | NULL | NULL | ram/RS_FSM_FFd8.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd6.Q | 11310 | ? | 0 | 0 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/IS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155877376 | 25 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/nIPL2r | 10817 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM +NODE | cnt/nIPL2r | 10965 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimerTC | 10830 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM +NODE | cnt/LTimerTC | 10978 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 10756 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 10904 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/IS_FSM_FFd1.EXP | 11569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.EXP | cnt/IS_FSM_FFd1 | 4 | 0 | MC_EXPORT +NODE | cnt/IS_FSM_FFd1.EXP | 11714 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.EXP | cnt/IS_FSM_FFd1 | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | cnt/IS_FSM_FFd1.SI | cnt/IS_FSM_FFd1 | 0 | 24 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/nIPL2r | 10817 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM +NODE | cnt/nIPL2r | 10965 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimerTC | 10830 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM +NODE | cnt/LTimerTC | 10978 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 10756 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 10904 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/IS_FSM_FFd1.D1 | 11165 | ? | 0 | 4096 | cnt/IS_FSM_FFd1 | NULL | NULL | cnt/IS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/IS_FSM_FFd1.D1 | 11312 | ? | 0 | 4096 | cnt/IS_FSM_FFd1 | NULL | NULL | cnt/IS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/IS_FSM_FFd1.D2 | 11166 | ? | 0 | 4096 | cnt/IS_FSM_FFd1 | NULL | NULL | cnt/IS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/IS_FSM_FFd1.D2 | 11313 | ? | 0 | 4096 | cnt/IS_FSM_FFd1 | NULL | NULL | cnt/IS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 7 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/IS_FSM_FFd1 | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/nIPL2r | IV_TRUE | cnt/Er<1> | IV_TRUE | cnt/LTimerTC OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/IS_FSM_FFd1.EXP | 11554 | ? | 0 | 0 | cnt/IS_FSM_FFd1 | NULL | NULL | cnt/IS_FSM_FFd1.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | cnt/IS_FSM_FFd1.EXP | 11699 | ? | 0 | 0 | cnt/IS_FSM_FFd1 | NULL | NULL | cnt/IS_FSM_FFd1.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 @@ -3618,95 +3580,95 @@ SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A SRFF_INSTANCE | cnt/IS_FSM_FFd1.REG | cnt/IS_FSM_FFd1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/IS_FSM_FFd1.D | 11164 | ? | 0 | 0 | cnt/IS_FSM_FFd1 | NULL | NULL | cnt/IS_FSM_FFd1.XOR | 0 | 7 | ALU_F +NODE | cnt/IS_FSM_FFd1.D | 11311 | ? | 0 | 0 | cnt/IS_FSM_FFd1 | NULL | NULL | cnt/IS_FSM_FFd1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/IS_FSM_FFd1.Q | 11167 | ? | 0 | 0 | cnt/IS_FSM_FFd1 | NULL | NULL | cnt/IS_FSM_FFd1.REG | 0 | 8 | SRFF_Q +NODE | cnt/IS_FSM_FFd1.Q | 11314 | ? | 0 | 0 | cnt/IS_FSM_FFd1 | NULL | NULL | cnt/IS_FSM_FFd1.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/IS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155877376 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimerTC | 10830 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM +NODE | cnt/LTimerTC | 10978 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/IS_FSM_FFd2.SI | cnt/IS_FSM_FFd2 | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimerTC | 10830 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM +NODE | cnt/LTimerTC | 10978 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/IS_FSM_FFd2.D1 | 11169 | ? | 0 | 4096 | cnt/IS_FSM_FFd2 | NULL | NULL | cnt/IS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/IS_FSM_FFd2.D1 | 11316 | ? | 0 | 4096 | cnt/IS_FSM_FFd2 | NULL | NULL | cnt/IS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/IS_FSM_FFd2.D2 | 11170 | ? | 0 | 4096 | cnt/IS_FSM_FFd2 | NULL | NULL | cnt/IS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/IS_FSM_FFd2.D2 | 11317 | ? | 0 | 4096 | cnt/IS_FSM_FFd2 | NULL | NULL | cnt/IS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 SPPTERM | 6 | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/IS_FSM_FFd1 | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> | IV_TRUE | cnt/LTimerTC SPPTERM | 6 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> | IV_TRUE | cnt/LTimerTC SRFF_INSTANCE | cnt/IS_FSM_FFd2.REG | cnt/IS_FSM_FFd2 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/IS_FSM_FFd2.D | 11168 | ? | 0 | 0 | cnt/IS_FSM_FFd2 | NULL | NULL | cnt/IS_FSM_FFd2.XOR | 0 | 7 | ALU_F +NODE | cnt/IS_FSM_FFd2.D | 11315 | ? | 0 | 0 | cnt/IS_FSM_FFd2 | NULL | NULL | cnt/IS_FSM_FFd2.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/IS_FSM_FFd2.Q | 11171 | ? | 0 | 0 | cnt/IS_FSM_FFd2 | NULL | NULL | cnt/IS_FSM_FFd2.REG | 0 | 8 | SRFF_Q +NODE | cnt/IS_FSM_FFd2.Q | 11318 | ? | 0 | 0 | cnt/IS_FSM_FFd2 | NULL | NULL | cnt/IS_FSM_FFd2.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped+Ce | iobm/ES<1> | WarpSE_COPY_0_COPY_0 | 2424308992 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 10779 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 10927 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 10787 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 10935 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 10813 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 10819 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 10967 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 10781 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 10930 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 10788 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 10936 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10857 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<1> | 10787 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 10935 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ES<1>.SI | iobm/ES<1> | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 10779 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 10927 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 10787 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 10935 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 10813 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 10819 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 10967 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 10781 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 10930 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 10788 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 10936 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<1>.D1 | 11173 | ? | 0 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<1>.D1 | 11320 | ? | 0 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<1>.D2 | 11174 | ? | 0 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<1>.D2 | 11321 | ? | 0 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> SPPTERM | 2 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> SPPTERM | 2 | IV_FALSE | E_IBUF | IV_TRUE | iobm/Er @@ -3714,48 +3676,48 @@ SPPTERM | 3 | IV_TRUE | iobm/ES<0> | IV_FALSE | iobm/ES<2> | IV_TRUE | iobm/ES<3 SRFF_INSTANCE | iobm/ES<1>.REG | iobm/ES<1> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<1>.D | 11172 | ? | 0 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.XOR | 0 | 7 | ALU_F +NODE | iobm/ES<1>.D | 11319 | ? | 0 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10857 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<1>.Q | 11175 | ? | 0 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.REG | 0 | 8 | SRFF_Q +NODE | iobm/ES<1>.Q | 11322 | ? | 0 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | iobm/ES<3> | WarpSE_COPY_0_COPY_0 | 2424312832 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 10788 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 10936 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 10813 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 10819 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 10967 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 10779 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 10927 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 10781 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 10930 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 10787 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 10935 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10857 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<3> | 10788 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 10936 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ES<3>.SI | iobm/ES<3> | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 10788 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 10936 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 10813 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 10819 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 10967 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 10779 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 10927 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 10781 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 10930 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 10787 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 10935 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<3>.D1 | 11177 | ? | 0 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<3>.D1 | 11324 | ? | 0 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<3>.D2 | 11178 | ? | 0 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<3>.D2 | 11325 | ? | 0 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | iobm/ES<3> | IV_FALSE | E_IBUF | IV_TRUE | iobm/Er SPPTERM | 4 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/ES<1> | IV_TRUE | E_IBUF SPPTERM | 4 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/ES<1> | IV_FALSE | iobm/Er @@ -3763,227 +3725,448 @@ SPPTERM | 4 | IV_TRUE | iobm/ES<0> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES< SRFF_INSTANCE | iobm/ES<3>.REG | iobm/ES<3> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<3>.D | 11176 | ? | 0 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.XOR | 0 | 7 | ALU_F +NODE | iobm/ES<3>.D | 11323 | ? | 0 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10857 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<3>.Q | 11179 | ? | 0 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.REG | 0 | 8 | SRFF_Q +NODE | iobm/ES<3>.Q | 11326 | ? | 0 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd4 | WarpSE_COPY_0_COPY_0 | 2155873280 | 13 | 2 +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd3 | WarpSE_COPY_0_COPY_0 | 2155873280 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/DTACKr | 10798 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/DTACKr.Q | ram/DTACKr | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd4 | 10940 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 10799 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | ram/DTACKr | 10949 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/DTACKr.Q | ram/DTACKr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10725 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | RefReq | 10899 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM | 10908 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$350_INV$537.Q | $OpTx$$OpTx$FX_DC$350_INV$537 | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10905 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10726 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10825 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | ram/BACTr | 10972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<0> | 10793 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<1> | 10804 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<2> | 10805 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.Q | cnt/WS<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<3> | 10815 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.Q | cnt/WS<3> | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd4 | 10789 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 10937 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | ram/RS_FSM_FFd4.EXP | 11487 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.EXP | ram/RS_FSM_FFd4 | 4 | 0 | MC_EXPORT +NODE | ram/RS_FSM_FFd3.EXP | 11606 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.EXP | ram/RS_FSM_FFd3 | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | ram/RS_FSM_FFd4.SI | ram/RS_FSM_FFd4 | 0 | 12 | 3 +SIGNAL_INSTANCE | ram/RS_FSM_FFd3.SI | ram/RS_FSM_FFd3 | 0 | 10 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/DTACKr | 10798 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/DTACKr.Q | ram/DTACKr | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd4 | 10940 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 10799 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | ram/DTACKr | 10949 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/DTACKr.Q | ram/DTACKr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10725 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | RefReq | 10899 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM | 10908 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$350_INV$537.Q | $OpTx$$OpTx$FX_DC$350_INV$537 | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10905 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10726 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10825 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | ram/BACTr | 10972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<0> | 10793 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<1> | 10804 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<2> | 10805 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.Q | cnt/WS<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<3> | 10815 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.Q | cnt/WS<3> | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd4.D1 | 11181 | ? | 0 | 4096 | ram/RS_FSM_FFd4 | NULL | NULL | ram/RS_FSM_FFd4.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd3.D1 | 11328 | ? | 0 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd4.D2 | 11182 | ? | 0 | 4096 | ram/RS_FSM_FFd4 | NULL | NULL | ram/RS_FSM_FFd4.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | ram/DTACKr | IV_TRUE | ram/RS_FSM_FFd5 +SIGNAL | NODE | ram/RS_FSM_FFd3.D2 | 11329 | ? | 0 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd4 | IV_TRUE | ram/DTACKr OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | ram/RS_FSM_FFd4.EXP | 11475 | ? | 0 | 0 | ram/RS_FSM_FFd4 | NULL | NULL | ram/RS_FSM_FFd4.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM -SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_9_IBUF | IV_FALSE | A_FSB_8_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM -SPPTERM | 5 | IV_TRUE | cnt/WS<0> | IV_TRUE | cnt/WS<1> | IV_TRUE | cnt/WS<2> | IV_TRUE | cnt/WS<3> | IV_FALSE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM +SIGNAL | NODE | ram/RS_FSM_FFd3.EXP | 11605 | ? | 0 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd7 | IV_FALSE | ram/BACTr +SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd7 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd7 | IV_FALSE | ram/BACTr +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd7 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SRFF_INSTANCE | ram/RS_FSM_FFd4.REG | ram/RS_FSM_FFd4 | 0 | 2 | 1 +SRFF_INSTANCE | ram/RS_FSM_FFd3.REG | ram/RS_FSM_FFd3 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd4.D | 11180 | ? | 0 | 0 | ram/RS_FSM_FFd4 | NULL | NULL | ram/RS_FSM_FFd4.XOR | 0 | 7 | ALU_F +NODE | ram/RS_FSM_FFd3.D | 11327 | ? | 0 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd4.Q | 11183 | ? | 0 | 0 | ram/RS_FSM_FFd4 | NULL | NULL | ram/RS_FSM_FFd4.REG | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd3.Q | 11330 | ? | 0 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/RASEN | WarpSE_COPY_0_COPY_0 | 2155873536 | 10 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RASEN | WarpSE_COPY_0_COPY_0 | 2155873280 | 15 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 10789 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 10937 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 10791 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RASEN | 10938 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd4 | 10940 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd5 | 10941 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd6 | 10942 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd2 | 10950 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 10939 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_4_OBUF.EXP | 11522 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT +NODE | RefUrg | 10888 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/DTACKr | 10949 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/DTACKr.Q | ram/DTACKr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 10972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP19_.EXP | 11667 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +NODE | ram/RASEN | 10938 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | ram/RASEN.EXP | 11666 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.EXP | ram/RASEN | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | ram/RASEN.SI | ram/RASEN | 0 | 9 | 2 +SIGNAL_INSTANCE | ram/RASEN.SI | ram/RASEN | 0 | 14 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 10789 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 10937 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 10791 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RASEN | 10938 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd4 | 10940 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd5 | 10941 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd6 | 10942 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd2 | 10950 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 10939 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_4_OBUF.EXP | 11522 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT +NODE | RefUrg | 10888 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/DTACKr | 10949 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/DTACKr.Q | ram/DTACKr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 10972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP19_.EXP | 11667 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RASEN.D1 | 11185 | ? | 0 | 4096 | ram/RASEN | NULL | NULL | ram/RASEN.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RASEN.D1 | 11332 | ? | 0 | 4096 | ram/RASEN | NULL | NULL | ram/RASEN.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RASEN.D2 | 11186 | ? | 0 | 4096 | ram/RASEN | NULL | NULL | ram/RASEN.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | RA_4_OBUF.EXP -SPPTERM | 3 | IV_FALSE | ram/RS_FSM_FFd8 | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd1 -SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd1 -SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd1 -SPPTERM | 4 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd8 | IV_FALSE | ram/RS_FSM_FFd1 -SPPTERM | 4 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_FALSE | ram/RASEN | IV_FALSE | ram/RS_FSM_FFd1 +SIGNAL | NODE | ram/RASEN.D2 | 11333 | ? | 0 | 4096 | ram/RASEN | NULL | NULL | ram/RASEN.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd1 +SPPTERM | 1 | IV_TRUE | EXP19_.EXP +SPPTERM | 2 | IV_FALSE | RefUrg | IV_TRUE | ram/RS_FSM_FFd3 +SPPTERM | 7 | IV_FALSE | ram/RS_FSM_FFd7 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/RASEN | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RS_FSM_FFd6 | IV_FALSE | ram/RS_FSM_FFd2 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | ram/RASEN.EXP | 11653 | ? | 0 | 0 | ram/RASEN | NULL | NULL | ram/RASEN.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 2 | IV_TRUE | ram/DTACKr | IV_TRUE | ram/BACTr SRFF_INSTANCE | ram/RASEN.REG | ram/RASEN | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RASEN.D | 11184 | ? | 0 | 0 | ram/RASEN | NULL | NULL | ram/RASEN.XOR | 0 | 7 | ALU_F +NODE | ram/RASEN.D | 11331 | ? | 0 | 0 | ram/RASEN | NULL | NULL | ram/RASEN.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RASEN.Q | 11187 | ? | 0 | 0 | ram/RASEN | NULL | NULL | ram/RASEN.REG | 0 | 8 | SRFF_Q +NODE | ram/RASEN.Q | 11334 | ? | 0 | 0 | ram/RASEN | NULL | NULL | ram/RASEN.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 10807 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 10950 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd1 | 10791 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 10939 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ram/RS_FSM_FFd1.SI | ram/RS_FSM_FFd1 | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 10807 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 10950 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd1.D1 | 11189 | ? | 0 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd1.D1 | 11336 | ? | 0 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd1.D2 | 11190 | ? | 0 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd1.D2 | 11337 | ? | 0 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd2 SRFF_INSTANCE | ram/RS_FSM_FFd1.REG | ram/RS_FSM_FFd1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd1.D | 11188 | ? | 0 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.XOR | 0 | 7 | ALU_F +NODE | ram/RS_FSM_FFd1.D | 11335 | ? | 0 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd1.Q | 11191 | ? | 0 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.REG | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd1.Q | 11338 | ? | 0 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd4 | WarpSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd5 | 10941 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd4 | 10940 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/DTACKr | 10949 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/DTACKr.Q | ram/DTACKr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd4 | 10940 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RS_FSM_FFd4.SI | ram/RS_FSM_FFd4 | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd5 | 10941 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd4 | 10940 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/DTACKr | 10949 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/DTACKr.Q | ram/DTACKr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd4.D1 | 11340 | ? | 0 | 4096 | ram/RS_FSM_FFd4 | NULL | NULL | ram/RS_FSM_FFd4.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd4.D2 | 11341 | ? | 0 | 4096 | ram/RS_FSM_FFd4 | NULL | NULL | ram/RS_FSM_FFd4.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd5 +SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd4 | IV_FALSE | ram/DTACKr + +SRFF_INSTANCE | ram/RS_FSM_FFd4.REG | ram/RS_FSM_FFd4 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RS_FSM_FFd4.D | 11339 | ? | 0 | 0 | ram/RS_FSM_FFd4 | NULL | NULL | ram/RS_FSM_FFd4.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd4.Q | 11342 | ? | 0 | 0 | ram/RS_FSM_FFd4 | NULL | NULL | ram/RS_FSM_FFd4.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd5 | WarpSE_COPY_0_COPY_0 | 2155873280 | 19 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 10922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/WS<1>.EXP | 11627 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.EXP | cnt/WS<1> | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd5 | 10941 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | ram/RS_FSM_FFd5.EXP | 11628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.EXP | ram/RS_FSM_FFd5 | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | ram/RS_FSM_FFd5.SI | ram/RS_FSM_FFd5 | 0 | 18 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 10922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/WS<1>.EXP | 11627 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.EXP | cnt/WS<1> | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd5.D1 | 11344 | ? | 0 | 4096 | ram/RS_FSM_FFd5 | NULL | NULL | ram/RS_FSM_FFd5.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd5.D2 | 11345 | ? | 0 | 4096 | ram/RS_FSM_FFd5 | NULL | NULL | ram/RS_FSM_FFd5.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cnt/WS<1>.EXP +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | ram/RS_FSM_FFd5.EXP | 11614 | ? | 0 | 0 | ram/RS_FSM_FFd5 | NULL | NULL | ram/RS_FSM_FFd5.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 + +SRFF_INSTANCE | ram/RS_FSM_FFd5.REG | ram/RS_FSM_FFd5 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RS_FSM_FFd5.D | 11343 | ? | 0 | 0 | ram/RS_FSM_FFd5 | NULL | NULL | ram/RS_FSM_FFd5.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd5.Q | 11346 | ? | 0 | 0 | ram/RS_FSM_FFd5 | NULL | NULL | ram/RS_FSM_FFd5.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd6 | WarpSE_COPY_0_COPY_0 | 2155873280 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 10888 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 10905 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 10937 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEN | 10938 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3.EXP | 11606 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.EXP | ram/RS_FSM_FFd3 | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd6 | 10942 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RS_FSM_FFd6.SI | ram/RS_FSM_FFd6 | 0 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 10888 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 10905 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 10937 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEN | 10938 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3.EXP | 11606 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.EXP | ram/RS_FSM_FFd3 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd6.D1 | 11348 | ? | 0 | 4096 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd6.D2 | 11349 | ? | 0 | 4096 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd3.EXP +SPPTERM | 3 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd3 +SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd7 +SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd7 +SPPTERM | 4 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd7 | IV_FALSE | ram/RASEN +SPPTERM | 5 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd7 | IV_FALSE | fsb/ASrf + +SRFF_INSTANCE | ram/RS_FSM_FFd6.REG | ram/RS_FSM_FFd6 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RS_FSM_FFd6.D | 11347 | ? | 0 | 0 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd6.Q | 11350 | ? | 0 | 0 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | IOACT | WarpSE_COPY_0_COPY_0 | 2155873280 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10782 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10931 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10783 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10932 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10780 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10928 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10777 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10925 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10778 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10926 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10776 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10924 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10806 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10955 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 11012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDinLE_OBUF.EXP | 11535 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.EXP | nDinLE_OBUF | 4 | 0 | MC_EXPORT +NODE | nDinLE_OBUF.EXP | 11680 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.EXP | nDinLE_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOACT | 10792 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +NODE | IOACT | 10943 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM SIGNAL_INSTANCE | IOACT.SI | IOACT | 0 | 9 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10782 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10931 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10783 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10932 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10780 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10928 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10777 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10925 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10778 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10926 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10776 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10924 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10806 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10955 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 11012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDinLE_OBUF.EXP | 11535 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.EXP | nDinLE_OBUF | 4 | 0 | MC_EXPORT +NODE | nDinLE_OBUF.EXP | 11680 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.EXP | nDinLE_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOACT.D1 | 11193 | ? | 0 | 4096 | IOACT | NULL | NULL | IOACT.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOACT.D1 | 11352 | ? | 0 | 4096 | IOACT | NULL | NULL | IOACT.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOACT.D2 | 11194 | ? | 0 | 4096 | IOACT | NULL | NULL | IOACT.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOACT.D2 | 11353 | ? | 0 | 4096 | IOACT | NULL | NULL | IOACT.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd4 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd5 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd6 @@ -3993,502 +4176,347 @@ SPPTERM | 3 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_TRUE | iobm/IORDREQr | IV_FALSE | SRFF_INSTANCE | IOACT.REG | IOACT | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOACT.D | 11192 | ? | 0 | 0 | IOACT | NULL | NULL | IOACT.XOR | 0 | 7 | ALU_F +NODE | IOACT.D | 11351 | ? | 0 | 0 | IOACT | NULL | NULL | IOACT.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOACT.Q | 11195 | ? | 0 | 0 | IOACT | NULL | NULL | IOACT.REG | 0 | 8 | SRFF_Q +NODE | IOACT.Q | 11354 | ? | 0 | 0 | IOACT | NULL | NULL | IOACT.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | cnt/WS<0> | WarpSE_COPY_0_COPY_0 | 2155877632 | 7 | 2 +MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | cnt/WS<0> | WarpSE_COPY_0_COPY_0 | 2155877632 | 4 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<0> | 10793 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM +NODE | cnt/WS<0> | 10944 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10725 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_3_IBUF | 10840 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/WS<0> | 10793 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/WS<0>.EXP | 11521 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.EXP | cnt/WS<0> | 4 | 0 | MC_EXPORT +NODE | cnt/WS<0> | 10944 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | cnt/WS<0>.SI | cnt/WS<0> | 0 | 6 | 3 +SIGNAL_INSTANCE | cnt/WS<0>.SI | cnt/WS<0> | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<0> | 10793 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM +NODE | cnt/WS<0> | 10944 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10725 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_3_IBUF | 10840 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/WS<0>.D1 | 11197 | ? | 0 | 4096 | cnt/WS<0> | NULL | NULL | cnt/WS<0>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/WS<0>.D1 | 11356 | ? | 0 | 4096 | cnt/WS<0> | NULL | NULL | cnt/WS<0>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/WS<0>.D2 | 11198 | ? | 0 | 4096 | cnt/WS<0> | NULL | NULL | cnt/WS<0>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/WS<0>.D2 | 11357 | ? | 0 | 4096 | cnt/WS<0> | NULL | NULL | cnt/WS<0>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | cnt/WS<0> | IV_FALSE | fsb/ASrf -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/WS<0>.EXP | 11507 | ? | 0 | 0 | cnt/WS<0> | NULL | NULL | cnt/WS<0>.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_TRUE | A_FSB_11_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_3_IBUF SRFF_INSTANCE | cnt/WS<0>.REG | cnt/WS<0> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/WS<0>.D | 11196 | ? | 0 | 0 | cnt/WS<0> | NULL | NULL | cnt/WS<0>.XOR | 0 | 7 | ALU_F +NODE | cnt/WS<0>.D | 11355 | ? | 0 | 0 | cnt/WS<0> | NULL | NULL | cnt/WS<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/WS<0>.Q | 11199 | ? | 0 | 0 | cnt/WS<0> | NULL | NULL | cnt/WS<0>.REG | 0 | 8 | SRFF_Q +NODE | cnt/WS<0>.Q | 11358 | ? | 0 | 0 | cnt/WS<0> | NULL | NULL | cnt/WS<0>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 10795 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 10946 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd1 | 10794 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 10945 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd1.SI | iobm/IOS_FSM_FFd1 | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 10795 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 10946 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd1.D1 | 11201 | ? | 0 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd1.D1 | 11360 | ? | 0 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd1.D2 | 11202 | ? | 0 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd1.D2 | 11361 | ? | 0 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd2 SRFF_INSTANCE | iobm/IOS_FSM_FFd1.REG | iobm/IOS_FSM_FFd1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd1.D | 11200 | ? | 0 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd1.D | 11359 | ? | 0 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd1.Q | 11203 | ? | 0 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd1.Q | 11362 | ? | 0 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155873280 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE | 10739 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +NODE | IODONE | 10887 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10777 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10925 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10778 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10926 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 10736 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 10884 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd2 | 10795 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 10946 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd2.SI | iobm/IOS_FSM_FFd2 | 0 | 4 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE | 10739 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +NODE | IODONE | 10887 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10777 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10925 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10778 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10926 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 10736 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 10884 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd2.D1 | 11205 | ? | 0 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd2.D1 | 11364 | ? | 0 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd2.D2 | 11206 | ? | 0 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd2.D2 | 11365 | ? | 0 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/C8Mr SPPTERM | 3 | IV_TRUE | IODONE | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/C8Mr SRFF_INSTANCE | iobm/IOS_FSM_FFd2.REG | iobm/IOS_FSM_FFd2 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd2.D | 11204 | ? | 0 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd2.D | 11363 | ? | 0 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd2.Q | 11207 | ? | 0 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd2.Q | 11366 | ? | 0 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOACTr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 10792 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +NODE | IOACT | 10943 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOACTr | 10796 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 10947 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/IOACTr.SI | iobs/IOACTr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 10792 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +NODE | IOACT | 10943 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOACTr.D1 | 11209 | ? | 0 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOACTr.D1 | 11368 | ? | 0 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOACTr.D2 | 11210 | ? | 0 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOACTr.D2 | 11369 | ? | 0 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | IOACT SRFF_INSTANCE | iobs/IOACTr.REG | iobs/IOACTr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOACTr.D | 11208 | ? | 0 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.XOR | 0 | 7 | ALU_F +NODE | iobs/IOACTr.D | 11367 | ? | 0 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOACTr.Q | 11211 | ? | 0 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.REG | 0 | 8 | SRFF_Q +NODE | iobs/IOACTr.Q | 11370 | ? | 0 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/Load1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 19 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/Load1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 20 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<1>.EXP | 11482 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.EXP | cnt/WS<1> | 4 | 0 | MC_EXPORT +NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd5.EXP | 11628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.EXP | ram/RS_FSM_FFd5 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/Load1 | 10797 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 10948 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | iobs/Load1.EXP | 11629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.EXP | iobs/Load1 | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | iobs/Load1.SI | iobs/Load1 | 0 | 18 | 2 +SIGNAL_INSTANCE | iobs/Load1.SI | iobs/Load1 | 0 | 19 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<1>.EXP | 11482 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.EXP | cnt/WS<1> | 4 | 0 | MC_EXPORT +NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd5.EXP | 11628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.EXP | ram/RS_FSM_FFd5 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/Load1.D1 | 11213 | ? | 0 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/Load1.D1 | 11372 | ? | 0 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/Load1.D2 | 11214 | ? | 0 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | cnt/WS<1>.EXP -SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | ALE1 +SIGNAL | NODE | iobs/Load1.D2 | 11373 | ? | 0 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd5.EXP SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | ALE1 SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | iobs/Load1.EXP | 11615 | ? | 0 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SRFF_INSTANCE | iobs/Load1.REG | iobs/Load1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/Load1.D | 11212 | ? | 0 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.XOR | 0 | 7 | ALU_F +NODE | iobs/Load1.D | 11371 | ? | 0 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/Load1.Q | 11215 | ? | 0 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.REG | 0 | 8 | SRFF_Q +NODE | iobs/Load1.Q | 11374 | ? | 0 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/DTACKr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF | 10772 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +NODE | nDTACK_FSB_OBUF | 10920 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/DTACKr | 10798 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/DTACKr.Q | ram/DTACKr | 1 | 0 | MC_UIM +NODE | ram/DTACKr | 10949 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/DTACKr.Q | ram/DTACKr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ram/DTACKr.SI | ram/DTACKr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF | 10772 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +NODE | nDTACK_FSB_OBUF | 10920 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/DTACKr.D1 | 11217 | ? | 0 | 4096 | ram/DTACKr | NULL | NULL | ram/DTACKr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/DTACKr.D1 | 11376 | ? | 0 | 4096 | ram/DTACKr | NULL | NULL | ram/DTACKr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/DTACKr.D2 | 11218 | ? | 0 | 4096 | ram/DTACKr | NULL | NULL | ram/DTACKr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/DTACKr.D2 | 11377 | ? | 0 | 4096 | ram/DTACKr | NULL | NULL | ram/DTACKr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nDTACK_FSB_OBUF SRFF_INSTANCE | ram/DTACKr.REG | ram/DTACKr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/DTACKr.D | 11216 | ? | 0 | 0 | ram/DTACKr | NULL | NULL | ram/DTACKr.XOR | 0 | 7 | ALU_F +NODE | ram/DTACKr.D | 11375 | ? | 0 | 0 | ram/DTACKr | NULL | NULL | ram/DTACKr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/DTACKr.Q | 11219 | ? | 0 | 0 | ram/DTACKr | NULL | NULL | ram/DTACKr.REG | 0 | 8 | SRFF_Q +NODE | ram/DTACKr.Q | 11378 | ? | 0 | 0 | ram/DTACKr | NULL | NULL | ram/DTACKr.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd5 | WarpSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 10800 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd6 | 10942 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/DTACKr | 10798 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/DTACKr.Q | ram/DTACKr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 10799 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd5 | 10799 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 10950 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | ram/RS_FSM_FFd5.SI | ram/RS_FSM_FFd5 | 0 | 3 | 2 +SIGNAL_INSTANCE | ram/RS_FSM_FFd2.SI | ram/RS_FSM_FFd2 | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 10800 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/DTACKr | 10798 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/DTACKr.Q | ram/DTACKr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 10799 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd6 | 10942 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd5.D1 | 11221 | ? | 0 | 4096 | ram/RS_FSM_FFd5 | NULL | NULL | ram/RS_FSM_FFd5.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd2.D1 | 11380 | ? | 0 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd5.D2 | 11222 | ? | 0 | 4096 | ram/RS_FSM_FFd5 | NULL | NULL | ram/RS_FSM_FFd5.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd2.D2 | 11381 | ? | 0 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd6 -SPPTERM | 2 | IV_FALSE | ram/DTACKr | IV_TRUE | ram/RS_FSM_FFd5 -SRFF_INSTANCE | ram/RS_FSM_FFd5.REG | ram/RS_FSM_FFd5 | 0 | 2 | 1 +SRFF_INSTANCE | ram/RS_FSM_FFd2.REG | ram/RS_FSM_FFd2 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd5.D | 11220 | ? | 0 | 0 | ram/RS_FSM_FFd5 | NULL | NULL | ram/RS_FSM_FFd5.XOR | 0 | 7 | ALU_F +NODE | ram/RS_FSM_FFd2.D | 11379 | ? | 0 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd5.Q | 11223 | ? | 0 | 0 | ram/RS_FSM_FFd5 | NULL | NULL | ram/RS_FSM_FFd5.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd6 | WarpSE_COPY_0_COPY_0 | 2155873280 | 11 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<2> | 10805 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.Q | cnt/WS<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<0> | 10793 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<1> | 10804 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd6 | 10800 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | ram/RS_FSM_FFd6.EXP | 11478 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.EXP | ram/RS_FSM_FFd6 | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | ram/RS_FSM_FFd6.SI | ram/RS_FSM_FFd6 | 0 | 10 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<2> | 10805 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.Q | cnt/WS<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<0> | 10793 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<1> | 10804 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd6.D1 | 11225 | ? | 0 | 4096 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd6.D2 | 11226 | ? | 0 | 4096 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | ram/RASEN -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | ram/RASEN | IV_TRUE | fsb/ASrf -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | ram/RS_FSM_FFd6.EXP | 11466 | ? | 0 | 0 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | cnt/WS<2> | IV_FALSE | fsb/ASrf -SPPTERM | 3 | IV_TRUE | cnt/WS<0> | IV_TRUE | cnt/WS<1> | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | ram/RS_FSM_FFd6.REG | ram/RS_FSM_FFd6 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd6.D | 11224 | ? | 0 | 0 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd6.Q | 11227 | ? | 0 | 0 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd7 | WarpSE_COPY_0_COPY_0 | 2155873280 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 10789 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3.EXP | 11463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.EXP | ram/RS_FSM_FFd3 | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd7 | 10801 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ram/RS_FSM_FFd7.SI | ram/RS_FSM_FFd7 | 0 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 10789 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3.EXP | 11463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.EXP | ram/RS_FSM_FFd3 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd7.D1 | 11229 | ? | 0 | 4096 | ram/RS_FSM_FFd7 | NULL | NULL | ram/RS_FSM_FFd7.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd7.D2 | 11230 | ? | 0 | 4096 | ram/RS_FSM_FFd7 | NULL | NULL | ram/RS_FSM_FFd7.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd3.EXP -SPPTERM | 3 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd4 -SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd8 -SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd8 -SPPTERM | 4 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | ram/RASEN -SPPTERM | 5 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | fsb/ASrf - -SRFF_INSTANCE | ram/RS_FSM_FFd7.REG | ram/RS_FSM_FFd7 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd7.D | 11228 | ? | 0 | 0 | ram/RS_FSM_FFd7 | NULL | NULL | ram/RS_FSM_FFd7.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd7.Q | 11231 | ? | 0 | 0 | ram/RS_FSM_FFd7 | NULL | NULL | ram/RS_FSM_FFd7.REG | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd2.Q | 11382 | ? | 0 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | IOL0 | WarpSE_COPY_0_COPY_0 | 2155877632 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 10759 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 10907 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 10802 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 10951 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOL1 | 10760 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +NODE | iobs/IOL1 | 10908 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_11_OBUF$BUF0.EXP | 11557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.EXP | RA_11_OBUF$BUF0 | 4 | 0 | MC_EXPORT +NODE | RA_11_OBUF$BUF0.EXP | 11702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.EXP | RA_11_OBUF$BUF0 | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP25_.EXP | 11571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT +NODE | EXP27_.EXP | 11716 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP27_.EXP | EXP27_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOL0 | 10802 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 10951 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | IOL0.SI | IOL0 | 0 | 9 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 10759 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 10907 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 10802 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 10951 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOL1 | 10760 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +NODE | iobs/IOL1 | 10908 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_11_OBUF$BUF0.EXP | 11557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.EXP | RA_11_OBUF$BUF0 | 4 | 0 | MC_EXPORT +NODE | RA_11_OBUF$BUF0.EXP | 11702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.EXP | RA_11_OBUF$BUF0 | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP25_.EXP | 11571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT +NODE | EXP27_.EXP | 11716 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP27_.EXP | EXP27_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOL0.D1 | 11233 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOL0.D1 | 11384 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOL0.D2 | 11234 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOL0.D2 | 11385 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobs/TS_FSM_FFd1 SPPTERM | 1 | IV_TRUE | RA_11_OBUF$BUF0.EXP -SPPTERM | 1 | IV_TRUE | EXP25_.EXP +SPPTERM | 1 | IV_TRUE | EXP27_.EXP SPPTERM | 3 | IV_TRUE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 3 | IV_TRUE | nLDS_FSB_IBUF | IV_FALSE | IOL0 | IV_TRUE | ALE1 SPPTERM | 3 | IV_FALSE | nLDS_FSB_IBUF | IV_TRUE | IOL0 | IV_TRUE | ALE1 @@ -4496,62 +4524,62 @@ SPPTERM | 3 | IV_TRUE | iobs/IOL1 | IV_TRUE | IOL0 | IV_FALSE | ALE1 SRFF_INSTANCE | IOL0.REG | IOL0 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOL0.D | 11232 | ? | 0 | 0 | IOL0 | NULL | NULL | IOL0.XOR | 0 | 7 | ALU_F +NODE | IOL0.D | 11383 | ? | 0 | 0 | IOL0 | NULL | NULL | IOL0.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOL0.Q | 11235 | ? | 0 | 0 | IOL0 | NULL | NULL | IOL0.REG | 0 | 8 | SRFF_Q +NODE | IOL0.Q | 11386 | ? | 0 | 0 | IOL0 | NULL | NULL | IOL0.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | IOU0 | WarpSE_COPY_0_COPY_0 | 2155877632 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 10761 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 10909 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 10803 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | IOU0 | 10952 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOU1 | 10762 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +NODE | iobs/IOU1 | 10910 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP24_.EXP | 11567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT +NODE | EXP26_.EXP | 11712 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBR_IOB_OBUF.EXP | 11568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.EXP | nBR_IOB_OBUF | 4 | 0 | MC_EXPORT +NODE | nBR_IOB_OBUF.EXP | 11713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.EXP | nBR_IOB_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOU0 | 10803 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | IOU0 | 10952 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | IOU0.SI | IOU0 | 0 | 9 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 10761 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 10909 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 10803 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | IOU0 | 10952 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOU1 | 10762 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +NODE | iobs/IOU1 | 10910 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP24_.EXP | 11567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT +NODE | EXP26_.EXP | 11712 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBR_IOB_OBUF.EXP | 11568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.EXP | nBR_IOB_OBUF | 4 | 0 | MC_EXPORT +NODE | nBR_IOB_OBUF.EXP | 11713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.EXP | nBR_IOB_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOU0.D1 | 11237 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOU0.D1 | 11388 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOU0.D2 | 11238 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOU0.D2 | 11389 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobs/TS_FSM_FFd1 -SPPTERM | 1 | IV_TRUE | EXP24_.EXP +SPPTERM | 1 | IV_TRUE | EXP26_.EXP SPPTERM | 1 | IV_TRUE | nBR_IOB_OBUF.EXP SPPTERM | 3 | IV_TRUE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 3 | IV_TRUE | nUDS_FSB_IBUF | IV_FALSE | IOU0 | IV_TRUE | ALE1 @@ -4560,364 +4588,232 @@ SPPTERM | 3 | IV_TRUE | iobs/IOU1 | IV_TRUE | IOU0 | IV_FALSE | ALE1 SRFF_INSTANCE | IOU0.REG | IOU0 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOU0.D | 11236 | ? | 0 | 0 | IOU0 | NULL | NULL | IOU0.XOR | 0 | 7 | ALU_F +NODE | IOU0.D | 11387 | ? | 0 | 0 | IOU0 | NULL | NULL | IOU0.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOU0.Q | 11239 | ? | 0 | 0 | IOU0 | NULL | NULL | IOU0.REG | 0 | 8 | SRFF_Q +NODE | IOU0.Q | 11390 | ? | 0 | 0 | IOU0 | NULL | NULL | IOU0.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | cnt/WS<1> | WarpSE_COPY_0_COPY_0 | 2155873536 | 20 | 2 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | cnt/WS<1> | WarpSE_COPY_0_COPY_0 | 2155873536 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<0> | 10793 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM +NODE | cnt/WS<0> | 10944 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<1> | 10804 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM +NODE | cnt/WS<1> | 10953 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<3>.EXP | 11481 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.EXP | cnt/WS<3> | 4 | 0 | MC_EXPORT +NODE | ram/RASEN | 10938 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/WS<1> | 10804 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM +NODE | cnt/WS<1> | 10953 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/WS<1>.EXP | 11482 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.EXP | cnt/WS<1> | 4 | 0 | MC_EXPORT +NODE | cnt/WS<1>.EXP | 11627 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.EXP | cnt/WS<1> | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | cnt/WS<1>.SI | cnt/WS<1> | 0 | 19 | 3 +SIGNAL_INSTANCE | cnt/WS<1>.SI | cnt/WS<1> | 0 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<0> | 10793 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM +NODE | cnt/WS<0> | 10944 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<1> | 10804 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM +NODE | cnt/WS<1> | 10953 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<3>.EXP | 11481 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.EXP | cnt/WS<3> | 4 | 0 | MC_EXPORT +NODE | ram/RASEN | 10938 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/WS<1>.D1 | 11241 | ? | 0 | 4096 | cnt/WS<1> | NULL | NULL | cnt/WS<1>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/WS<1>.D1 | 11392 | ? | 0 | 4096 | cnt/WS<1> | NULL | NULL | cnt/WS<1>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/WS<1>.D2 | 11242 | ? | 0 | 4096 | cnt/WS<1> | NULL | NULL | cnt/WS<1>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | cnt/WS<3>.EXP +SIGNAL | NODE | cnt/WS<1>.D2 | 11393 | ? | 0 | 4096 | cnt/WS<1> | NULL | NULL | cnt/WS<1>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf SPPTERM | 2 | IV_TRUE | cnt/WS<0> | IV_TRUE | cnt/WS<1> SPPTERM | 2 | IV_FALSE | cnt/WS<0> | IV_FALSE | cnt/WS<1> OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/WS<1>.EXP | 11470 | ? | 0 | 0 | cnt/WS<1> | NULL | NULL | cnt/WS<1>.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SIGNAL | NODE | cnt/WS<1>.EXP | 11613 | ? | 0 | 0 | cnt/WS<1> | NULL | NULL | cnt/WS<1>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd7 | IV_TRUE | ram/RASEN +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_TRUE | ram/RS_FSM_FFd7 | IV_TRUE | ram/RASEN | IV_TRUE | fsb/ASrf SRFF_INSTANCE | cnt/WS<1>.REG | cnt/WS<1> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/WS<1>.D | 11240 | ? | 0 | 0 | cnt/WS<1> | NULL | NULL | cnt/WS<1>.XOR | 0 | 7 | ALU_F +NODE | cnt/WS<1>.D | 11391 | ? | 0 | 0 | cnt/WS<1> | NULL | NULL | cnt/WS<1>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/WS<1>.Q | 11243 | ? | 0 | 0 | cnt/WS<1> | NULL | NULL | cnt/WS<1>.REG | 0 | 8 | SRFF_Q +NODE | cnt/WS<1>.Q | 11394 | ? | 0 | 0 | cnt/WS<1> | NULL | NULL | cnt/WS<1>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/WS<2> | WarpSE_COPY_0_COPY_0 | 2155877376 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<0> | 10793 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM +NODE | IONPReady | 10906 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<1> | 10804 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM +NODE | QoSReady | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | QoSReady.Q | QoSReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM | 10908 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$350_INV$537.Q | $OpTx$$OpTx$FX_DC$350_INV$537 | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | cnt/WS<3>.EXP | 11624 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.EXP | cnt/WS<3> | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6.EXP | 11478 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.EXP | ram/RS_FSM_FFd6 | 4 | 0 | MC_EXPORT +NODE | nDTACK_FSB_OBUF.EXP | 11626 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.EXP | nDTACK_FSB_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/WS<2> | 10805 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.Q | cnt/WS<2> | 1 | 0 | MC_UIM +NODE | cnt/WS<2> | 10954 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.Q | cnt/WS<2> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/WS<2>.EXP | 11477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.EXP | cnt/WS<2> | 4 | 0 | MC_EXPORT +NODE | cnt/WS<2>.EXP | 11625 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.EXP | cnt/WS<2> | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | cnt/WS<2>.SI | cnt/WS<2> | 0 | 10 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<0> | 10793 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM +NODE | IONPReady | 10906 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<1> | 10804 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM +NODE | QoSReady | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | QoSReady.Q | QoSReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM | 10908 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$350_INV$537.Q | $OpTx$$OpTx$FX_DC$350_INV$537 | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | cnt/WS<3>.EXP | 11624 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.EXP | cnt/WS<3> | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6.EXP | 11478 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.EXP | ram/RS_FSM_FFd6 | 4 | 0 | MC_EXPORT +NODE | nDTACK_FSB_OBUF.EXP | 11626 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.EXP | nDTACK_FSB_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/WS<2>.D1 | 11245 | ? | 0 | 4096 | cnt/WS<2> | NULL | NULL | cnt/WS<2>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/WS<2>.D1 | 11396 | ? | 0 | 4096 | cnt/WS<2> | NULL | NULL | cnt/WS<2>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/WS<2>.D2 | 11246 | ? | 0 | 4096 | cnt/WS<2> | NULL | NULL | cnt/WS<2>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd6.EXP -SPPTERM | 3 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/WS<0> | IV_TRUE | cnt/WS<1> +SIGNAL | NODE | cnt/WS<2>.D2 | 11397 | ? | 0 | 4096 | cnt/WS<2> | NULL | NULL | cnt/WS<2>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nDTACK_FSB_OBUF.EXP OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/WS<2>.EXP | 11465 | ? | 0 | 0 | cnt/WS<2> | NULL | NULL | cnt/WS<2>.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM +SIGNAL | NODE | cnt/WS<2>.EXP | 11611 | ? | 0 | 0 | cnt/WS<2> | NULL | NULL | cnt/WS<2>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | cnt/WS<3>.EXP +SPPTERM | 2 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | IONPReady +SPPTERM | 2 | IV_FALSE | IONPReady | IV_FALSE | QoSReady +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | IONPReady +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | IONPReady SRFF_INSTANCE | cnt/WS<2>.REG | cnt/WS<2> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/WS<2>.D | 11244 | ? | 0 | 0 | cnt/WS<2> | NULL | NULL | cnt/WS<2>.XOR | 0 | 7 | ALU_F +NODE | cnt/WS<2>.D | 11395 | ? | 0 | 0 | cnt/WS<2> | NULL | NULL | cnt/WS<2>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/WS<2>.Q | 11247 | ? | 0 | 0 | cnt/WS<2> | NULL | NULL | cnt/WS<2>.REG | 0 | 8 | SRFF_Q +NODE | cnt/WS<2>.Q | 11398 | ? | 0 | 0 | cnt/WS<2> | NULL | NULL | cnt/WS<2>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IORDREQr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORDREQ | 10810 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM +NODE | IORDREQ | 10957 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IORDREQr | 10806 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10955 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IORDREQr.SI | iobm/IORDREQr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORDREQ | 10810 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM +NODE | IORDREQ | 10957 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IORDREQr.D1 | 11249 | ? | 0 | 4096 | iobm/IORDREQr | NULL | NULL | iobm/IORDREQr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IORDREQr.D1 | 11400 | ? | 0 | 4096 | iobm/IORDREQr | NULL | NULL | iobm/IORDREQr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IORDREQr.D2 | 11250 | ? | 0 | 4096 | iobm/IORDREQr | NULL | NULL | iobm/IORDREQr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IORDREQr.D2 | 11401 | ? | 0 | 4096 | iobm/IORDREQr | NULL | NULL | iobm/IORDREQr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | IORDREQ SRFF_INSTANCE | iobm/IORDREQr.REG | iobm/IORDREQr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IORDREQr.D | 11248 | ? | 0 | 0 | iobm/IORDREQr | NULL | NULL | iobm/IORDREQr.XOR | 0 | 7 | ALU_F +NODE | iobm/IORDREQr.D | 11399 | ? | 0 | 0 | iobm/IORDREQr | NULL | NULL | iobm/IORDREQr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IORDREQr.Q | 11251 | ? | 0 | 0 | iobm/IORDREQr | NULL | NULL | iobm/IORDREQr.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 10808 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd2 | 10807 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ram/RS_FSM_FFd2.SI | ram/RS_FSM_FFd2 | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 10808 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd2.D1 | 11253 | ? | 0 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd2.D2 | 11254 | ? | 0 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd3 - -SRFF_INSTANCE | ram/RS_FSM_FFd2.REG | ram/RS_FSM_FFd2 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd2.D | 11252 | ? | 0 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd2.Q | 11255 | ? | 0 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd3 | WarpSE_COPY_0_COPY_0 | 2155873280 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 10801 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 10824 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd3 | 10808 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | ram/RS_FSM_FFd3.EXP | 11463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.EXP | ram/RS_FSM_FFd3 | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | ram/RS_FSM_FFd3.SI | ram/RS_FSM_FFd3 | 0 | 9 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 10801 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 10824 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd3.D1 | 11257 | ? | 0 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd3.D2 | 11258 | ? | 0 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd7 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | ram/RS_FSM_FFd3.EXP | 11462 | ? | 0 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | ram/BACTr -SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | ram/BACTr -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | ram/RS_FSM_FFd3.REG | ram/RS_FSM_FFd3 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd3.D | 11256 | ? | 0 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd3.Q | 11259 | ? | 0 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.REG | 0 | 8 | SRFF_Q +NODE | iobm/IORDREQr.Q | 11402 | ? | 0 | 0 | iobm/IORDREQr | NULL | NULL | iobm/IORDREQr.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ALE0M | WarpSE_COPY_0_COPY_0 | 2155873536 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10776 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10924 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10777 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10925 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10780 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10928 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10782 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10931 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10783 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10932 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 10794 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 10945 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 10795 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 10946 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0M | 10809 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM +NODE | ALE0M | 10956 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 11012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10806 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10955 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10821 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10969 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ALE0M | 10809 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM +NODE | ALE0M | 10956 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ALE0M.SI | ALE0M | 0 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10776 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10924 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10777 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10925 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10780 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10928 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10782 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10931 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10783 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10932 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 10794 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 10945 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 10795 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 10946 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0M | 10809 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM +NODE | ALE0M | 10956 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 11012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10806 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10955 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10821 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10969 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ALE0M.D1 | 11261 | ? | 0 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ALE0M.D1 | 11404 | ? | 0 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ALE0M.D2 | 11262 | ? | 0 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ALE0M.D2 | 11405 | ? | 0 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 2 | 9 | MC_SI_D2 SPPTERM | 6 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | AoutOE SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | iobm/IOS_FSM_FFd1 SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | iobm/IOS_FSM_FFd2 @@ -4926,153 +4822,157 @@ SPPTERM | 7 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FA SRFF_INSTANCE | ALE0M.REG | ALE0M | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ALE0M.D | 11260 | ? | 0 | 0 | ALE0M | NULL | NULL | ALE0M.XOR | 0 | 7 | ALU_F +NODE | ALE0M.D | 11403 | ? | 0 | 0 | ALE0M | NULL | NULL | ALE0M.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ALE0M.Q | 11263 | ? | 0 | 0 | ALE0M | NULL | NULL | ALE0M.REG | 0 | 8 | SRFF_Q +NODE | ALE0M.Q | 11406 | ? | 0 | 0 | ALE0M | NULL | NULL | ALE0M.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | IORDREQ | WarpSE_COPY_0_COPY_0 | 2155873536 | 12 | 2 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | IORDREQ | WarpSE_COPY_0_COPY_0 | 2155873536 | 13 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | iobs/IOACTr | 10947 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP11_.EXP | 11484 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | EXP10_.EXP | 11630 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IORDREQ | 10810 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM +NODE | IORDREQ | 10957 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | IORDREQ.EXP | 11485 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.EXP | IORDREQ | 4 | 0 | MC_EXPORT +NODE | IORDREQ.EXP | 11631 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.EXP | IORDREQ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | IORDREQ.SI | IORDREQ | 0 | 11 | 3 +SIGNAL_INSTANCE | IORDREQ.SI | IORDREQ | 0 | 12 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | iobs/IOACTr | 10947 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP11_.EXP | 11484 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP10_.EXP | 11630 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IORDREQ.D1 | 11265 | ? | 0 | 4096 | IORDREQ | NULL | NULL | IORDREQ.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IORDREQ.D1 | 11408 | ? | 0 | 4096 | IORDREQ | NULL | NULL | IORDREQ.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IORDREQ.D2 | 11266 | ? | 0 | 4096 | IORDREQ | NULL | NULL | IORDREQ.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP11_.EXP +SIGNAL | NODE | IORDREQ.D2 | 11409 | ? | 0 | 4096 | IORDREQ | NULL | NULL | IORDREQ.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP10_.EXP SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 +SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | iobs/IOACTr OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | IORDREQ.EXP | 11473 | ? | 0 | 0 | IORDREQ | NULL | NULL | IORDREQ.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | IORDREQ.EXP | 11617 | ? | 0 | 0 | IORDREQ | NULL | NULL | IORDREQ.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 SRFF_INSTANCE | IORDREQ.REG | IORDREQ | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IORDREQ.D | 11264 | ? | 0 | 0 | IORDREQ | NULL | NULL | IORDREQ.XOR | 0 | 7 | ALU_F +NODE | IORDREQ.D | 11407 | ? | 0 | 0 | IORDREQ | NULL | NULL | IORDREQ.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IORDREQ.Q | 11267 | ? | 0 | 0 | IORDREQ | NULL | NULL | IORDREQ.REG | 0 | 8 | SRFF_Q +NODE | IORDREQ.Q | 11410 | ? | 0 | 0 | IORDREQ | NULL | NULL | IORDREQ.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | IOWRREQ | WarpSE_COPY_0_COPY_0 | 2155873280 | 14 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOWRREQ | 10811 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM +NODE | IOWRREQ | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 10796 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 10947 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 10756 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 10904 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAMUWE_OBUF.EXP | 11563 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT +NODE | nRAMUWE_OBUF.EXP | 11708 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP22_.EXP | 11564 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT +NODE | EXP24_.EXP | 11709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOWRREQ | 10811 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM +NODE | IOWRREQ | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM SIGNAL_INSTANCE | IOWRREQ.SI | IOWRREQ | 0 | 13 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOWRREQ | 10811 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM +NODE | IOWRREQ | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 10796 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 10947 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 10756 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 10904 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAMUWE_OBUF.EXP | 11563 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT +NODE | nRAMUWE_OBUF.EXP | 11708 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP22_.EXP | 11564 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT +NODE | EXP24_.EXP | 11709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOWRREQ.D1 | 11269 | ? | 0 | 4096 | IOWRREQ | NULL | NULL | IOWRREQ.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOWRREQ.D1 | 11412 | ? | 0 | 4096 | IOWRREQ | NULL | NULL | IOWRREQ.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOWRREQ.D2 | 11270 | ? | 0 | 4096 | IOWRREQ | NULL | NULL | IOWRREQ.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOWRREQ.D2 | 11413 | ? | 0 | 4096 | IOWRREQ | NULL | NULL | IOWRREQ.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | nRAMUWE_OBUF.EXP -SPPTERM | 1 | IV_TRUE | EXP22_.EXP +SPPTERM | 1 | IV_TRUE | EXP24_.EXP SPPTERM | 3 | IV_FALSE | iobs/TS_FSM_FFd1 | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | IOWRREQ SPPTERM | 3 | IV_TRUE | iobs/TS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | IOWRREQ SPPTERM | 4 | IV_FALSE | iobs/IORW1 | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | ALE1 @@ -5081,347 +4981,492 @@ SPPTERM | 7 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FS SRFF_INSTANCE | IOWRREQ.REG | IOWRREQ | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOWRREQ.D | 11268 | ? | 0 | 0 | IOWRREQ | NULL | NULL | IOWRREQ.XOR | 0 | 7 | ALU_F +NODE | IOWRREQ.D | 11411 | ? | 0 | 0 | IOWRREQ | NULL | NULL | IOWRREQ.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOWRREQ.Q | 11271 | ? | 0 | 0 | IOWRREQ | NULL | NULL | IOWRREQ.REG | 0 | 8 | SRFF_Q +NODE | IOWRREQ.Q | 11414 | ? | 0 | 0 | IOWRREQ | NULL | NULL | IOWRREQ.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | QoSReady | WarpSE_COPY_0_COPY_0 | 2155873280 | 11 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM | 10908 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$350_INV$537.Q | $OpTx$$OpTx$FX_DC$350_INV$537 | 1 | 0 | MC_UIM +NODE | $OpTx$$OpTx$FX_DC$346_INV$533.UIM | 11055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$346_INV$533.Q | $OpTx$$OpTx$FX_DC$346_INV$533 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | QoSReady | 10812 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | QoSReady.Q | QoSReady | 1 | 0 | MC_UIM +NODE | QoSReady | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | QoSReady.Q | QoSReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP10_.EXP | 11476 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT +NODE | IONPReady.EXP | 11621 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.EXP | IONPReady | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<2>.EXP | 11477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.EXP | cnt/WS<2> | 4 | 0 | MC_EXPORT +NODE | EXP12_.EXP | 11634 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | QoSReady | 10812 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | QoSReady.Q | QoSReady | 1 | 0 | MC_UIM +NODE | QoSReady | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | QoSReady.Q | QoSReady | 1 | 0 | MC_UIM SIGNAL_INSTANCE | QoSReady.SI | QoSReady | 0 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM | 10908 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$350_INV$537.Q | $OpTx$$OpTx$FX_DC$350_INV$537 | 1 | 0 | MC_UIM +NODE | $OpTx$$OpTx$FX_DC$346_INV$533.UIM | 11055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$346_INV$533.Q | $OpTx$$OpTx$FX_DC$346_INV$533 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | QoSReady | 10812 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | QoSReady.Q | QoSReady | 1 | 0 | MC_UIM +NODE | QoSReady | 10959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | QoSReady.Q | QoSReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP10_.EXP | 11476 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT +NODE | IONPReady.EXP | 11621 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.EXP | IONPReady | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<2>.EXP | 11477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.EXP | cnt/WS<2> | 4 | 0 | MC_EXPORT +NODE | EXP12_.EXP | 11634 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | QoSReady.D1 | 11273 | ? | 0 | 4096 | QoSReady | NULL | NULL | QoSReady.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | QoSReady.D1 | 11416 | ? | 0 | 4096 | QoSReady | NULL | NULL | QoSReady.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | QoSReady.D2 | 11274 | ? | 0 | 4096 | QoSReady | NULL | NULL | QoSReady.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP10_.EXP -SPPTERM | 1 | IV_TRUE | cnt/WS<2>.EXP -SPPTERM | 2 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM +SIGNAL | NODE | QoSReady.D2 | 11417 | ? | 0 | 4096 | QoSReady | NULL | NULL | QoSReady.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | IONPReady.EXP +SPPTERM | 1 | IV_TRUE | EXP12_.EXP +SPPTERM | 2 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$346_INV$533.UIM SPPTERM | 2 | IV_FALSE | cnt/LTimer<0> | IV_FALSE | cnt/LTimer<1> -SPPTERM | 2 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM -SPPTERM | 2 | IV_TRUE | QoSReady | IV_FALSE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM +SPPTERM | 2 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$346_INV$533.UIM +SPPTERM | 2 | IV_TRUE | QoSReady | IV_FALSE | $OpTx$$OpTx$FX_DC$346_INV$533.UIM +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$346_INV$533.UIM SRFF_INSTANCE | QoSReady.REG | QoSReady | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | QoSReady.D | 11272 | ? | 0 | 0 | QoSReady | NULL | NULL | QoSReady.XOR | 0 | 7 | ALU_F +NODE | QoSReady.D | 11415 | ? | 0 | 0 | QoSReady | NULL | NULL | QoSReady.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | QoSReady.Q | 11275 | ? | 0 | 0 | QoSReady | NULL | NULL | QoSReady.REG | 0 | 8 | SRFF_Q +NODE | QoSReady.Q | 11418 | ? | 0 | 0 | QoSReady | NULL | NULL | QoSReady.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | RAMReady | WarpSE_COPY_0_COPY_0 | 2155873536 | 17 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 10937 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 10939 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd4 | 10940 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd5 | 10941 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd6 | 10942 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 10950 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 10888 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 10905 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEN | 10938 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP21_.EXP | 11673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | RAMReady | 10960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.Q | RAMReady | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | RAMReady.EXP | 11674 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.EXP | RAMReady | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | RAMReady.SI | RAMReady | 0 | 16 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 10937 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 10939 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd4 | 10940 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd5 | 10941 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd6 | 10942 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 10950 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 10888 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 10905 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEN | 10938 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP21_.EXP | 11673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RAMReady.D1 | 11420 | ? | 0 | 4096 | RAMReady | NULL | NULL | RAMReady.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RAMReady.D2 | 11421 | ? | 0 | 4096 | RAMReady | NULL | NULL | RAMReady.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP21_.EXP +SPPTERM | 6 | IV_FALSE | ram/RS_FSM_FFd7 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd5 | IV_TRUE | ram/RS_FSM_FFd6 +SPPTERM | 6 | IV_FALSE | ram/RS_FSM_FFd7 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd5 | IV_TRUE | ram/RS_FSM_FFd2 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RAMReady.EXP | 11661 | ? | 0 | 0 | RAMReady | NULL | NULL | RAMReady.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd7 | IV_FALSE | ram/RS_FSM_FFd1 +SPPTERM | 5 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | fsb/ASrf +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/RASEN | IV_FALSE | ram/RS_FSM_FFd1 + +SRFF_INSTANCE | RAMReady.REG | RAMReady | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RAMReady.D | 11419 | ? | 0 | 0 | RAMReady | NULL | NULL | RAMReady.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RAMReady.Q | 11422 | ? | 0 | 0 | RAMReady | NULL | NULL | RAMReady.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/Er<0> | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 10813 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/Er<0>.SI | cnt/Er<0> | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 10813 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/Er<0>.D1 | 11277 | ? | 0 | 4096 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Er<0>.D1 | 11424 | ? | 0 | 4096 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/Er<0>.D2 | 11278 | ? | 0 | 4096 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Er<0>.D2 | 11425 | ? | 0 | 4096 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | E_IBUF SRFF_INSTANCE | cnt/Er<0>.REG | cnt/Er<0> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/Er<0>.D | 11276 | ? | 0 | 0 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.XOR | 0 | 7 | ALU_F +NODE | cnt/Er<0>.D | 11423 | ? | 0 | 0 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/Er<0>.Q | 11279 | ? | 0 | 0 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.REG | 0 | 8 | SRFF_Q +NODE | cnt/Er<0>.Q | 11426 | ? | 0 | 0 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/WS<3> | WarpSE_COPY_0_COPY_0 | 2155877376 | 7 | 2 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/WS<3> | WarpSE_COPY_0_COPY_0 | 2155877376 | 19 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<3> | 10815 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.Q | cnt/WS<3> | 1 | 0 | MC_UIM +NODE | cnt/WS<3> | 10963 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.Q | cnt/WS<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<0> | 10793 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<1> | 10804 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM +NODE | IONPReady | 10906 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<2> | 10805 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.Q | cnt/WS<2> | 1 | 0 | MC_UIM +NODE | RAMReady | 10960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.Q | RAMReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay.EXP | 11623 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.EXP | cs/nOverlay | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/WS<3> | 10815 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.Q | cnt/WS<3> | 1 | 0 | MC_UIM +NODE | cnt/WS<3> | 10963 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.Q | cnt/WS<3> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/WS<3>.EXP | 11481 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.EXP | cnt/WS<3> | 4 | 0 | MC_EXPORT +NODE | cnt/WS<3>.EXP | 11624 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.EXP | cnt/WS<3> | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | cnt/WS<3>.SI | cnt/WS<3> | 0 | 6 | 3 +SIGNAL_INSTANCE | cnt/WS<3>.SI | cnt/WS<3> | 0 | 18 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<3> | 10815 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.Q | cnt/WS<3> | 1 | 0 | MC_UIM +NODE | cnt/WS<3> | 10963 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.Q | cnt/WS<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<0> | 10793 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<1> | 10804 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM +NODE | IONPReady | 10906 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<2> | 10805 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.Q | cnt/WS<2> | 1 | 0 | MC_UIM +NODE | RAMReady | 10960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.Q | RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay.EXP | 11623 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.EXP | cs/nOverlay | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/WS<3>.D1 | 11281 | ? | 0 | 4096 | cnt/WS<3> | NULL | NULL | cnt/WS<3>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/WS<3>.D1 | 11428 | ? | 0 | 4096 | cnt/WS<3> | NULL | NULL | cnt/WS<3>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/WS<3>.D2 | 11282 | ? | 0 | 4096 | cnt/WS<3> | NULL | NULL | cnt/WS<3>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/WS<3>.D2 | 11429 | ? | 0 | 4096 | cnt/WS<3> | NULL | NULL | cnt/WS<3>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cs/nOverlay.EXP SPPTERM | 3 | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | cnt/WS<3> | IV_FALSE | fsb/ASrf -SPPTERM | 4 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/WS<0> | IV_TRUE | cnt/WS<1> | IV_TRUE | cnt/WS<2> -SPPTERM | 4 | IV_TRUE | cnt/WS<0> | IV_TRUE | cnt/WS<1> | IV_TRUE | cnt/WS<2> | IV_TRUE | fsb/ASrf OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/WS<3>.EXP | 11469 | ? | 0 | 0 | cnt/WS<3> | NULL | NULL | cnt/WS<3>.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SIGNAL | NODE | cnt/WS<3>.EXP | 11610 | ? | 0 | 0 | cnt/WS<3> | NULL | NULL | cnt/WS<3>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | IONPReady | IV_FALSE | RAMReady +SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF +SPPTERM | 10 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | IONPReady | IV_FALSE | ALE1 +SPPTERM | 10 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | IONPReady | IV_FALSE | ALE1 SRFF_INSTANCE | cnt/WS<3>.REG | cnt/WS<3> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/WS<3>.D | 11280 | ? | 0 | 0 | cnt/WS<3> | NULL | NULL | cnt/WS<3>.XOR | 0 | 7 | ALU_F +NODE | cnt/WS<3>.D | 11427 | ? | 0 | 0 | cnt/WS<3> | NULL | NULL | cnt/WS<3>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/WS<3>.Q | 11283 | ? | 0 | 0 | cnt/WS<3> | NULL | NULL | cnt/WS<3>.REG | 0 | 8 | SRFF_Q +NODE | cnt/WS<3>.Q | 11430 | ? | 0 | 0 | cnt/WS<3> | NULL | NULL | cnt/WS<3>.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | nIPL2_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nIPL2 | 10933 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nIPL2 | 11080 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nIPL2_IBUF | 10816 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX +NODE | nIPL2_IBUF | 10964 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/nIPL2r | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nIPL2_IBUF | 10816 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX +NODE | nIPL2_IBUF | 10964 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/nIPL2r | 10817 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM +NODE | cnt/nIPL2r | 10965 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/nIPL2r.SI | cnt/nIPL2r | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nIPL2_IBUF | 10816 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX +NODE | nIPL2_IBUF | 10964 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/nIPL2r.D1 | 11285 | ? | 0 | 4096 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/nIPL2r.D1 | 11432 | ? | 0 | 4096 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/nIPL2r.D2 | 11286 | ? | 0 | 4096 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/nIPL2r.D2 | 11433 | ? | 0 | 4096 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | nIPL2_IBUF SRFF_INSTANCE | cnt/nIPL2r.REG | cnt/nIPL2r | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/nIPL2r.D | 11284 | ? | 0 | 0 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.XOR | 0 | 7 | ALU_F +NODE | cnt/nIPL2r.D | 11431 | ? | 0 | 0 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/nIPL2r.Q | 11287 | ? | 0 | 0 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.REG | 0 | 8 | SRFF_Q +NODE | cnt/nIPL2r.Q | 11434 | ? | 0 | 0 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobm/DoutOE | WarpSE_COPY_0_COPY_0 | 2155877376 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10776 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10924 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10778 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10926 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DoutOE | 10818 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM +NODE | iobm/DoutOE | 10966 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10821 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10969 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10777 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10925 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10780 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10928 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10782 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10931 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10783 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10932 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 10802 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 10951 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10806 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10955 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/DoutOE | 10818 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM +NODE | iobm/DoutOE | 10966 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobm/DoutOE.EXP | 11533 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.EXP | iobm/DoutOE | 4 | 0 | MC_EXPORT +NODE | iobm/DoutOE.EXP | 11678 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.EXP | iobm/DoutOE | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | iobm/DoutOE.SI | iobm/DoutOE | 0 | 10 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10776 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10924 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10778 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10926 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DoutOE | 10818 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM +NODE | iobm/DoutOE | 10966 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10821 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10969 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10777 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10925 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10780 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10928 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10782 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10931 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10783 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10932 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 10802 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 10951 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10806 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10955 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/DoutOE.D1 | 11289 | ? | 0 | 4096 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/DoutOE.D1 | 11436 | ? | 0 | 4096 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/DoutOE.D2 | 11290 | ? | 0 | 4096 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/DoutOE.D2 | 11437 | ? | 0 | 4096 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.SI | 2 | 9 | MC_SI_D2 SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/C8Mr | IV_FALSE | iobm/DoutOE | IV_TRUE | iobm/IOWRREQr SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | iobm/DoutOE SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/C8Mr | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | iobm/DoutOE SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | iobm/DoutOE | IV_FALSE | iobm/IOWRREQr OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobm/DoutOE.EXP | 11530 | ? | 0 | 0 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | iobm/DoutOE.EXP | 11675 | ? | 0 | 0 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/C8Mr | IV_TRUE | IOL0 | IV_TRUE | iobm/IORDREQr SRFF_INSTANCE | iobm/DoutOE.REG | iobm/DoutOE | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/DoutOE.D | 11288 | ? | 0 | 0 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.XOR | 0 | 7 | ALU_F +NODE | iobm/DoutOE.D | 11435 | ? | 0 | 0 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/DoutOE.Q | 11291 | ? | 0 | 0 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.REG | 0 | 8 | SRFF_Q +NODE | iobm/DoutOE.Q | 11438 | ? | 0 | 0 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/Er | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 10813 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10857 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/Er | 10819 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 10967 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/Er.SI | iobm/Er | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 10813 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/Er.D1 | 11293 | ? | 0 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/Er.D1 | 11440 | ? | 0 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/Er.D2 | 11294 | ? | 0 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/Er.D2 | 11441 | ? | 0 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | E_IBUF SRFF_INSTANCE | iobm/Er.REG | iobm/Er | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/Er.D | 11292 | ? | 0 | 0 | iobm/Er | NULL | NULL | iobm/Er.XOR | 0 | 7 | ALU_F +NODE | iobm/Er.D | 11439 | ? | 0 | 0 | iobm/Er | NULL | NULL | iobm/Er.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10857 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/Er.Q | 11295 | ? | 0 | 0 | iobm/Er | NULL | NULL | iobm/Er.REG | 0 | 8 | SRFF_Q +NODE | iobm/Er.Q | 11442 | ? | 0 | 0 | iobm/Er | NULL | NULL | iobm/Er.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS0 | WarpSE_COPY_0_COPY_0 | 2155873280 | 13 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 10794 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 10945 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10776 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10924 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10778 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10926 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 11012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10806 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10955 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10821 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10969 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10777 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10925 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10780 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10928 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10782 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10931 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10783 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10932 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 10795 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 10946 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS0 | 10820 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM +NODE | iobm/IOS0 | 10968 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS0 | 10820 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM +NODE | iobm/IOS0 | 10968 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS0.SI | iobm/IOS0 | 0 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 10794 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 10945 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10776 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10924 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10778 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10926 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 11012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10806 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10955 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10821 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10969 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10777 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10925 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10780 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10928 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10782 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10931 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10783 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10932 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 10795 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 10946 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS0 | 10820 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM +NODE | iobm/IOS0 | 10968 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS0.D1 | 11297 | ? | 0 | 4096 | iobm/IOS0 | NULL | NULL | iobm/IOS0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS0.D1 | 11444 | ? | 0 | 4096 | iobm/IOS0 | NULL | NULL | iobm/IOS0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS0.D2 | 11298 | ? | 0 | 4096 | iobm/IOS0 | NULL | NULL | iobm/IOS0.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS0.D2 | 11445 | ? | 0 | 4096 | iobm/IOS0 | NULL | NULL | iobm/IOS0.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd1 SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_TRUE | iobm/C8Mr SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_TRUE | AoutOE @@ -5430,1537 +5475,1465 @@ SPPTERM | 7 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_F SRFF_INSTANCE | iobm/IOS0.REG | iobm/IOS0 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS0.D | 11296 | ? | 0 | 0 | iobm/IOS0 | NULL | NULL | iobm/IOS0.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS0.D | 11443 | ? | 0 | 0 | iobm/IOS0 | NULL | NULL | iobm/IOS0.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS0.Q | 11299 | ? | 0 | 0 | iobm/IOS0 | NULL | NULL | iobm/IOS0.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS0.Q | 11446 | ? | 0 | 0 | iobm/IOS0 | NULL | NULL | iobm/IOS0.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOWRREQr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOWRREQ | 10811 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM +NODE | IOWRREQ | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOWRREQr | 10821 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10969 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOWRREQr.SI | iobm/IOWRREQr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOWRREQ | 10811 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM +NODE | IOWRREQ | 10958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOWRREQr.D1 | 11301 | ? | 0 | 4096 | iobm/IOWRREQr | NULL | NULL | iobm/IOWRREQr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOWRREQr.D1 | 11448 | ? | 0 | 4096 | iobm/IOWRREQr | NULL | NULL | iobm/IOWRREQr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOWRREQr.D2 | 11302 | ? | 0 | 4096 | iobm/IOWRREQr | NULL | NULL | iobm/IOWRREQr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOWRREQr.D2 | 11449 | ? | 0 | 4096 | iobm/IOWRREQr | NULL | NULL | iobm/IOWRREQr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | IOWRREQ SRFF_INSTANCE | iobm/IOWRREQr.REG | iobm/IOWRREQr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOWRREQr.D | 11300 | ? | 0 | 0 | iobm/IOWRREQr | NULL | NULL | iobm/IOWRREQr.XOR | 0 | 7 | ALU_F +NODE | iobm/IOWRREQr.D | 11447 | ? | 0 | 0 | iobm/IOWRREQr | NULL | NULL | iobm/IOWRREQr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOWRREQr.Q | 11303 | ? | 0 | 0 | iobm/IOWRREQr | NULL | NULL | iobm/IOWRREQr.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOWRREQr.Q | 11450 | ? | 0 | 0 | iobm/IOWRREQr | NULL | NULL | iobm/IOWRREQr.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | nVPA_IOB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nVPA_IOB | 10934 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nVPA_IOB | 11081 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nVPA_IOB_IBUF | 10822 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nVPA_IOB_IBUF | 10970 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/VPAr | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_IOB_IBUF | 10822 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nVPA_IOB_IBUF | 10970 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10857 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/VPAr | 10823 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPAr.Q | iobm/VPAr | 1 | 0 | MC_UIM +NODE | iobm/VPAr | 10971 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPAr.Q | iobm/VPAr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/VPAr.SI | iobm/VPAr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_IOB_IBUF | 10822 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nVPA_IOB_IBUF | 10970 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/VPAr.D1 | 11305 | ? | 0 | 4096 | iobm/VPAr | NULL | NULL | iobm/VPAr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/VPAr.D1 | 11452 | ? | 0 | 4096 | iobm/VPAr | NULL | NULL | iobm/VPAr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/VPAr.D2 | 11306 | ? | 0 | 4096 | iobm/VPAr | NULL | NULL | iobm/VPAr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/VPAr.D2 | 11453 | ? | 0 | 4096 | iobm/VPAr | NULL | NULL | iobm/VPAr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nVPA_IOB_IBUF SRFF_INSTANCE | iobm/VPAr.REG | iobm/VPAr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/VPAr.D | 11304 | ? | 0 | 0 | iobm/VPAr | NULL | NULL | iobm/VPAr.XOR | 0 | 7 | ALU_F +NODE | iobm/VPAr.D | 11451 | ? | 0 | 0 | iobm/VPAr | NULL | NULL | iobm/VPAr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10857 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/VPAr.Q | 11307 | ? | 0 | 0 | iobm/VPAr | NULL | NULL | iobm/VPAr.REG | 0 | 8 | SRFF_Q +NODE | iobm/VPAr.Q | 11454 | ? | 0 | 0 | iobm/VPAr | NULL | NULL | iobm/VPAr.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/BACTr | WarpSE_COPY_0_COPY_0 | 2155873536 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/BACTr | 10824 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | ram/BACTr | 10972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ram/BACTr.SI | ram/BACTr | 0 | 2 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/BACTr.D1 | 11309 | ? | 0 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/BACTr.D1 | 11456 | ? | 0 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/BACTr.D2 | 11310 | ? | 0 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/BACTr.D2 | 11457 | ? | 0 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf SRFF_INSTANCE | ram/BACTr.REG | ram/BACTr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/BACTr.D | 11308 | ? | 0 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.XOR | 0 | 7 | ALU_F +NODE | ram/BACTr.D | 11455 | ? | 0 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/BACTr.Q | 11311 | ? | 0 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.REG | 0 | 8 | SRFF_Q +NODE | ram/BACTr.Q | 11458 | ? | 0 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RASrr | WarpSE_COPY_0_COPY_0 | 2155873280 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 10888 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 10905 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 10937 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEN | 10938 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_5_OBUF.EXP | 11669 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.EXP | RA_5_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_2_OBUF.EXP | 11670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RASrr | 10973 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrr.Q | ram/RASrr | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RASrr.SI | ram/RASrr | 0 | 11 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 10888 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 10905 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 10937 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEN | 10938 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_5_OBUF.EXP | 11669 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.EXP | RA_5_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_2_OBUF.EXP | 11670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RASrr.D1 | 11460 | ? | 0 | 4096 | ram/RASrr | NULL | NULL | ram/RASrr.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RASrr.D2 | 11461 | ? | 0 | 4096 | ram/RASrr | NULL | NULL | ram/RASrr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | RA_5_OBUF.EXP +SPPTERM | 1 | IV_TRUE | RA_2_OBUF.EXP +SPPTERM | 3 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd3 +SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd7 +SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd7 +SPPTERM | 4 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd7 | IV_FALSE | ram/RASEN +SPPTERM | 5 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd7 | IV_FALSE | fsb/ASrf + +SRFF_INSTANCE | ram/RASrr.REG | ram/RASrr | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RASrr.D | 11459 | ? | 0 | 0 | ram/RASrr | NULL | NULL | ram/RASrr.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RASrr.Q | 11462 | ? | 0 | 0 | ram/RASrr | NULL | NULL | ram/RASrr.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | ALE0S | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ALE0S | 10827 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM +NODE | ALE0S | 10976 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ALE0S.SI | ALE0S | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ALE0S.D1 | 11313 | ? | 0 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ALE0S.D1 | 11464 | ? | 0 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ALE0S.D2 | 11314 | ? | 0 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ALE0S.D2 | 11465 | ? | 0 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobs/TS_FSM_FFd2 SRFF_INSTANCE | ALE0S.REG | ALE0S | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ALE0S.D | 11312 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.XOR | 0 | 7 | ALU_F +NODE | ALE0S.D | 11463 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ALE0S.Q | 11315 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | RAMReady | WarpSE_COPY_0_COPY_0 | 2155873536 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 10789 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 10791 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 10799 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 10800 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_5_OBUF.EXP | 11524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.EXP | RA_5_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | RAMReady | 10828 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.Q | RAMReady | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | RAMReady.SI | RAMReady | 0 | 11 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 10789 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 10791 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 10799 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 10800 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_5_OBUF.EXP | 11524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.EXP | RA_5_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RAMReady.D1 | 11317 | ? | 0 | 4096 | RAMReady | NULL | NULL | RAMReady.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RAMReady.D2 | 11318 | ? | 0 | 4096 | RAMReady | NULL | NULL | RAMReady.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | RA_5_OBUF.EXP -SPPTERM | 5 | IV_FALSE | ram/RS_FSM_FFd8 | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RS_FSM_FFd6 -SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RS_FSM_FFd6 -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RS_FSM_FFd6 -SPPTERM | 6 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd8 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RS_FSM_FFd6 -SPPTERM | 6 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_FALSE | ram/RASEN | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RS_FSM_FFd6 - -SRFF_INSTANCE | RAMReady.REG | RAMReady | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RAMReady.D | 11316 | ? | 0 | 0 | RAMReady | NULL | NULL | RAMReady.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RAMReady.Q | 11319 | ? | 0 | 0 | RAMReady | NULL | NULL | RAMReady.REG | 0 | 8 | SRFF_Q +NODE | ALE0S.Q | 11466 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/Er<1> | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/Er<1>.SI | cnt/Er<1> | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/Er<1>.D1 | 11321 | ? | 0 | 4096 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Er<1>.D1 | 11468 | ? | 0 | 4096 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/Er<1>.D2 | 11322 | ? | 0 | 4096 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Er<1>.D2 | 11469 | ? | 0 | 4096 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | cnt/Er<0> SRFF_INSTANCE | cnt/Er<1>.REG | cnt/Er<1> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/Er<1>.D | 11320 | ? | 0 | 0 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.XOR | 0 | 7 | ALU_F +NODE | cnt/Er<1>.D | 11467 | ? | 0 | 0 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/Er<1>.Q | 11323 | ? | 0 | 0 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.REG | 0 | 8 | SRFF_Q +NODE | cnt/Er<1>.Q | 11470 | ? | 0 | 0 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/LTimerTC | WarpSE_COPY_0_COPY_0 | 2155873280 | 13 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 10741 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 10889 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10890 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10891 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10892 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10893 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 10894 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10747 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 10895 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10748 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 10896 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 10749 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 10897 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 10752 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<11> | 10900 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimerTC | 10830 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM +NODE | cnt/LTimerTC | 10978 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimerTC.SI | cnt/LTimerTC | 0 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 10741 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 10889 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10890 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10891 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10892 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10893 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 10894 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10747 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 10895 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10748 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 10896 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 10749 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 10897 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 10752 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<11> | 10900 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimerTC.D1 | 11325 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimerTC.D1 | 11472 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimerTC.D2 | 11326 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimerTC.D2 | 11473 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 2 | 9 | MC_SI_D2 SPPTERM | 12 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/LTimer<11> SRFF_INSTANCE | cnt/LTimerTC.REG | cnt/LTimerTC | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimerTC.D | 11324 | ? | 0 | 0 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimerTC.D | 11471 | ? | 0 | 0 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimerTC.Q | 11327 | ? | 0 | 0 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimerTC.Q | 11474 | ? | 0 | 0 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | fsb/ASrf | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK- | 10728 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK- | 10876 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM SIGNAL_INSTANCE | fsb/ASrf.SI | fsb/ASrf | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/ASrf.D1 | 11329 | ? | 0 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/ASrf.D1 | 11476 | ? | 0 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/ASrf.D2 | 11330 | ? | 0 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/ASrf.D2 | 11477 | ? | 0 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nAS_FSB_IBUF SRFF_INSTANCE | fsb/ASrf.REG | fsb/ASrf | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/ASrf.D | 11328 | ? | 0 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.XOR | 0 | 7 | ALU_F +NODE | fsb/ASrf.D | 11475 | ? | 0 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK- | 10728 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK- | 10876 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/ASrf.Q | 11331 | ? | 0 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.REG | 0 | 8 | SRFF_Q +NODE | fsb/ASrf.Q | 11478 | ? | 0 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/Clear1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 11 | 2 +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/Clear1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 18 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | IONPReady | 10906 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | iobs/IODONEr | 10981 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODONEr.Q | iobs/IODONEr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/Clear1 | 10832 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM +NODE | iobs/Clear1 | 10980 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobs/Clear1.EXP | 11483 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT +NODE | iobs/Clear1.EXP | 11622 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | iobs/Clear1.SI | iobs/Clear1 | 0 | 10 | 3 +SIGNAL_INSTANCE | iobs/Clear1.SI | iobs/Clear1 | 0 | 17 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | IONPReady | 10906 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | iobs/IODONEr | 10981 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODONEr.Q | iobs/IODONEr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/Clear1.D1 | 11333 | ? | 0 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/Clear1.D1 | 11480 | ? | 0 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/Clear1.D2 | 11334 | ? | 0 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/Clear1.D2 | 11481 | ? | 0 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | iobs/TS_FSM_FFd1 | IV_TRUE | iobs/TS_FSM_FFd2 OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobs/Clear1.EXP | 11471 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SIGNAL | NODE | iobs/Clear1.EXP | 11608 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_FALSE | iobs/Sent | IV_FALSE | IONPReady +SPPTERM | 2 | IV_FALSE | IONPReady | IV_FALSE | iobs/IODONEr +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 11 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | IONPReady SRFF_INSTANCE | iobs/Clear1.REG | iobs/Clear1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/Clear1.D | 11332 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.XOR | 0 | 7 | ALU_F +NODE | iobs/Clear1.D | 11479 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/Clear1.Q | 11335 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.REG | 0 | 8 | SRFF_Q +NODE | iobs/Clear1.Q | 11482 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IODONEr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE | 10739 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +NODE | IODONE | 10887 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IODONEr | 10833 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODONEr.Q | iobs/IODONEr | 1 | 0 | MC_UIM +NODE | iobs/IODONEr | 10981 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODONEr.Q | iobs/IODONEr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/IODONEr.SI | iobs/IODONEr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE | 10739 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +NODE | IODONE | 10887 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IODONEr.D1 | 11337 | ? | 0 | 4096 | iobs/IODONEr | NULL | NULL | iobs/IODONEr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IODONEr.D1 | 11484 | ? | 0 | 4096 | iobs/IODONEr | NULL | NULL | iobs/IODONEr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IODONEr.D2 | 11338 | ? | 0 | 4096 | iobs/IODONEr | NULL | NULL | iobs/IODONEr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IODONEr.D2 | 11485 | ? | 0 | 4096 | iobs/IODONEr | NULL | NULL | iobs/IODONEr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | IODONE SRFF_INSTANCE | iobs/IODONEr.REG | iobs/IODONEr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IODONEr.D | 11336 | ? | 0 | 0 | iobs/IODONEr | NULL | NULL | iobs/IODONEr.XOR | 0 | 7 | ALU_F +NODE | iobs/IODONEr.D | 11483 | ? | 0 | 0 | iobs/IODONEr | NULL | NULL | iobs/IODONEr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IODONEr.Q | 11339 | ? | 0 | 0 | iobs/IODONEr | NULL | NULL | iobs/IODONEr.REG | 0 | 8 | SRFF_Q +NODE | iobs/IODONEr.Q | 11486 | ? | 0 | 0 | iobs/IODONEr | NULL | NULL | iobs/IODONEr.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | nRESout | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nRESout | 10834 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.Q | nRESout | 1 | 0 | MC_UIM +NODE | nRESout | 10982 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.Q | nRESout | 1 | 0 | MC_UIM SIGNAL_INSTANCE | nRESout.SI | nRESout | 0 | 2 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRESout.D1 | 11341 | ? | 0 | 4096 | nRESout | NULL | NULL | nRESout.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRESout.D1 | 11488 | ? | 0 | 4096 | nRESout | NULL | NULL | nRESout.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRESout.D2 | 11342 | ? | 0 | 4096 | nRESout | NULL | NULL | nRESout.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nRESout.D2 | 11489 | ? | 0 | 4096 | nRESout | NULL | NULL | nRESout.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 SRFF_INSTANCE | nRESout.REG | nRESout | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRESout.D | 11340 | ? | 0 | 0 | nRESout | NULL | NULL | nRESout.XOR | 0 | 7 | ALU_F +NODE | nRESout.D | 11487 | ? | 0 | 0 | nRESout | NULL | NULL | nRESout.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRESout.Q | 11343 | ? | 0 | 0 | nRESout | NULL | NULL | nRESout.REG | 0 | 8 | SRFF_Q +NODE | nRESout.Q | 11490 | ? | 0 | 0 | nRESout | NULL | NULL | nRESout.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | ram/RASrf | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped+Ce | ram/RASrf | WarpSE_COPY_0_COPY_0 | 2424308992 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 10800 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd5 | 10941 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK- | 10728 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +NODE | ram/RS_FSM_FFd6 | 10942 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK- | 10876 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RASrf | 10835 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrf.Q | ram/RASrf | 1 | 0 | MC_UIM +NODE | ram/RASrf | 10983 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrf.Q | ram/RASrf | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | ram/RASrf.SI | ram/RASrf | 0 | 1 | 2 +SIGNAL_INSTANCE | ram/RASrf.SI | ram/RASrf | 0 | 2 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 10800 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd5 | 10941 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd6 | 10942 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RASrf.D1 | 11345 | ? | 0 | 4096 | ram/RASrf | NULL | NULL | ram/RASrf.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RASrf.D1 | 11492 | ? | 0 | 4096 | ram/RASrf | NULL | NULL | ram/RASrf.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RASrf.D2 | 11346 | ? | 0 | 4096 | ram/RASrf | NULL | NULL | ram/RASrf.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd6 +SIGNAL | NODE | ram/RASrf.D2 | 11493 | ? | 0 | 4096 | ram/RASrf | NULL | NULL | ram/RASrf.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RS_FSM_FFd6 SRFF_INSTANCE | ram/RASrf.REG | ram/RASrf | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RASrf.D | 11344 | ? | 0 | 0 | ram/RASrf | NULL | NULL | ram/RASrf.XOR | 0 | 7 | ALU_F +NODE | ram/RASrf.D | 11491 | ? | 0 | 0 | ram/RASrf | NULL | NULL | ram/RASrf.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK- | 10728 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK- | 10876 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RASrf.Q | 11347 | ? | 0 | 0 | ram/RASrf | NULL | NULL | ram/RASrf.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RASrr | WarpSE_COPY_0_COPY_0 | 2155873280 | 14 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 10801 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 10789 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 10791 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP19_.EXP | 11528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RASrr | 10836 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrr.Q | ram/RASrr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | ram/RASrr.EXP | 11529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrr.EXP | ram/RASrr | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | ram/RASrr.SI | ram/RASrr | 0 | 13 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 10801 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 10789 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 10791 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP19_.EXP | 11528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RASrr.D1 | 11349 | ? | 0 | 4096 | ram/RASrr | NULL | NULL | ram/RASrr.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RASrr.D2 | 11350 | ? | 0 | 4096 | ram/RASrr | NULL | NULL | ram/RASrr.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd7 -SPPTERM | 1 | IV_TRUE | EXP19_.EXP -SPPTERM | 3 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd4 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | ram/RASrr.EXP | 11515 | ? | 0 | 0 | ram/RASrr | NULL | NULL | ram/RASrr.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 4 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd8 | IV_FALSE | ram/RS_FSM_FFd1 -SPPTERM | 5 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | fsb/ASrf -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd4 | IV_TRUE | ram/RASEN | IV_FALSE | ram/RS_FSM_FFd1 - -SRFF_INSTANCE | ram/RASrr.REG | ram/RASrr | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RASrr.D | 11348 | ? | 0 | 0 | ram/RASrr | NULL | NULL | ram/RASrr.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RASrr.Q | 11351 | ? | 0 | 0 | ram/RASrr | NULL | NULL | ram/RASrr.REG | 0 | 8 | SRFF_Q +NODE | ram/RASrf.Q | 11494 | ? | 0 | 0 | ram/RASrf | NULL | NULL | ram/RASrf.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_1_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<1> | 10937 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<1> | 11084 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_1_IBUF | 10837 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_1_IBUF | 10984 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_7_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<7> | 10938 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<7> | 11085 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_7_IBUF | 10838 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_7_IBUF | 10985 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_2_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<2> | 10939 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<2> | 11086 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_2_IBUF | 10839 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_2_IBUF | 10986 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_3_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<3> | 10940 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<3> | 11087 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_3_IBUF | 10840 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_3_IBUF | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_4_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<4> | 10941 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<4> | 11088 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_4_IBUF | 10841 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_4_IBUF | 10988 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_5_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<5> | 10942 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<5> | 11089 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_5_IBUF | 10842 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_5_IBUF | 10989 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_6_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<6> | 10943 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<6> | 11090 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_6_IBUF | 10843 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_6_IBUF | 10990 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | OptxMapped | RA_0_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_1_IBUF | 10837 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_1_IBUF | 10984 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10825 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 10974 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_0_OBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q +NODE | RA_0_OBUF | 10991 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | RA_0_OBUF.SI | RA_0_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_1_IBUF | 10837 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_1_IBUF | 10984 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10825 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 10974 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_0_OBUF.D1 | 11353 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_0_OBUF.D1 | 11496 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_0_OBUF.D2 | 11354 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_0_OBUF.D2 | 11497 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_1_IBUF SPPTERM | 2 | IV_FALSE | ram/RASEL | IV_TRUE | A_FSB_9_IBUF SRFF_INSTANCE | RA_0_OBUF.REG | RA_0_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_0_OBUF.D | 11352 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_0_OBUF.D | 11495 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_0_OBUF.Q | 11355 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_0_OBUF.Q | 11498 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_10_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_7_IBUF | 10838 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_7_IBUF | 10985 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_10_OBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_10_OBUF.Q | RA_10_OBUF | 0 | 0 | MC_Q +NODE | RA_10_OBUF | 10992 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_10_OBUF.Q | RA_10_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | RA_10_OBUF.SI | RA_10_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_7_IBUF | 10838 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_7_IBUF | 10985 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_10_OBUF.D1 | 11357 | ? | 0 | 4096 | RA_10_OBUF | NULL | NULL | RA_10_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_10_OBUF.D1 | 11500 | ? | 0 | 4096 | RA_10_OBUF | NULL | NULL | RA_10_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_10_OBUF.D2 | 11358 | ? | 0 | 4096 | RA_10_OBUF | NULL | NULL | RA_10_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_10_OBUF.D2 | 11501 | ? | 0 | 4096 | RA_10_OBUF | NULL | NULL | RA_10_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_17_IBUF | IV_FALSE | ram/RASEL SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_7_IBUF SRFF_INSTANCE | RA_10_OBUF.REG | RA_10_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_10_OBUF.D | 11356 | ? | 0 | 0 | RA_10_OBUF | NULL | NULL | RA_10_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_10_OBUF.D | 11499 | ? | 0 | 0 | RA_10_OBUF | NULL | NULL | RA_10_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_10_OBUF.Q | 11359 | ? | 0 | 0 | RA_10_OBUF | NULL | NULL | RA_10_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_10_OBUF.Q | 11502 | ? | 0 | 0 | RA_10_OBUF | NULL | NULL | RA_10_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_1_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 16 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10726 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 10874 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_2_IBUF | 10839 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_2_IBUF | 10986 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10890 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10891 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10892 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10893 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 10894 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10747 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 10895 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10748 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 10896 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_1_OBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q +NODE | RA_1_OBUF | 10993 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_1_OBUF.EXP | 11540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.EXP | RA_1_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_1_OBUF.EXP | 11685 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.EXP | RA_1_OBUF | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | RA_1_OBUF.SI | RA_1_OBUF | 0 | 16 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10726 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 10874 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_2_IBUF | 10839 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_2_IBUF | 10986 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10890 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10891 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10892 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10893 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 10894 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10747 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 10895 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10748 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 10896 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_1_OBUF.D1 | 11361 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_1_OBUF.D1 | 11504 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_1_OBUF.D2 | 11362 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_1_OBUF.D2 | 11505 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | ram/RASEL SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_2_IBUF OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_1_OBUF.EXP | 11537 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_1_OBUF.EXP | 11682 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 13 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | RA_1_OBUF.REG | RA_1_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_1_OBUF.D | 11360 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_1_OBUF.D | 11503 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_1_OBUF.Q | 11363 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_1_OBUF.Q | 11506 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | OptxMapped | RA_2_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 5 | 2 +MACROCELL_INSTANCE | OptxMapped | RA_2_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 18 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_7_IBUF | 10838 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | RefReq | 10899 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_5_IBUF | 10842 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX +NODE | ram/RefDone | 10905 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 10972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 10937 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 10939 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd4 | 10940 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd5 | 10941 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd6 | 10942 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 10950 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASrr | 10973 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrr.Q | ram/RASrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_6_OBUF.EXP | 11671 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_2_OBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q +NODE | RA_2_OBUF | 10994 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_2_OBUF.EXP | 11525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_2_OBUF.EXP | 11670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | RA_2_OBUF.SI | RA_2_OBUF | 0 | 5 | 3 +SIGNAL_INSTANCE | RA_2_OBUF.SI | RA_2_OBUF | 0 | 18 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_7_IBUF | 10838 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | RefReq | 10899 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_5_IBUF | 10842 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX +NODE | ram/RefDone | 10905 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 10972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 10937 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 10939 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd4 | 10940 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd5 | 10941 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd6 | 10942 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 10950 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASrr | 10973 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrr.Q | ram/RASrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_6_OBUF.EXP | 11671 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_2_OBUF.D1 | 11365 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_2_OBUF.D1 | 11508 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_2_OBUF.D2 | 11366 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_2_OBUF.D2 | 11509 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | RA_6_OBUF.EXP SPPTERM | 2 | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_7_IBUF OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_2_OBUF.EXP | 11511 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_5_IBUF +SIGNAL | NODE | RA_2_OBUF.EXP | 11657 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd7 | IV_FALSE | ram/BACTr +SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd7 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd7 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 8 | IV_FALSE | ram/RS_FSM_FFd7 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RS_FSM_FFd6 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RASrr SRFF_INSTANCE | RA_2_OBUF.REG | RA_2_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_2_OBUF.D | 11364 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_2_OBUF.D | 11507 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_2_OBUF.Q | 11367 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_2_OBUF.Q | 11510 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | OptxMapped | RA_4_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 11 | 2 +MACROCELL_INSTANCE | OptxMapped | RA_4_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | A_FSB_11_IBUF | 10873 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_3_IBUF | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 10791 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | RefUrg | 10888 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 10789 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 10824 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | ram/RASEN | 10938 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<0>.EXP | 11521 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.EXP | cnt/WS<0> | 4 | 0 | MC_EXPORT +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_4_OBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q +NODE | RA_4_OBUF | 10995 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_4_OBUF.EXP | 11522 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_4_OBUF.EXP | 11668 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | RA_4_OBUF.SI | RA_4_OBUF | 0 | 11 | 3 +SIGNAL_INSTANCE | RA_4_OBUF.SI | RA_4_OBUF | 0 | 10 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | A_FSB_11_IBUF | 10873 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_3_IBUF | 10987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 10791 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | RefUrg | 10888 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 10789 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 10824 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | ram/RASEN | 10938 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<0>.EXP | 11521 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.EXP | cnt/WS<0> | 4 | 0 | MC_EXPORT +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_4_OBUF.D1 | 11369 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_4_OBUF.D1 | 11512 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_4_OBUF.D2 | 11370 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | cnt/WS<0>.EXP +SIGNAL | NODE | RA_4_OBUF.D2 | 11513 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_11_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_3_IBUF OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_4_OBUF.EXP | 11508 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | fsb/ASrf -SPPTERM | 7 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr -SPPTERM | 7 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SIGNAL | NODE | RA_4_OBUF.EXP | 11655 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | RefUrg | IV_TRUE | ram/RS_FSM_FFd7 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd7 | IV_TRUE | ram/RASEN +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | ram/RS_FSM_FFd7 | IV_TRUE | ram/RASEN | IV_TRUE | fsb/ASrf SRFF_INSTANCE | RA_4_OBUF.REG | RA_4_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_4_OBUF.D | 11368 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_4_OBUF.D | 11511 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_4_OBUF.Q | 11371 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_4_OBUF.Q | 11514 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_5_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 13 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | A_FSB_12_IBUF | 10854 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_4_IBUF | 10988 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 10791 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 10799 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 10800 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | ram/RASEN | 10938 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 10789 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 10824 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | RefReq | 10899 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | ram/RefDone | 10905 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_11_OBUF.EXP | 11523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF.EXP | RA_11_OBUF | 4 | 0 | MC_EXPORT +NODE | ram/BACTr | 10972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_5_OBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q +NODE | RA_5_OBUF | 10996 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_5_OBUF.EXP | 11524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.EXP | RA_5_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_5_OBUF.EXP | 11669 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.EXP | RA_5_OBUF | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | RA_5_OBUF.SI | RA_5_OBUF | 0 | 13 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | A_FSB_12_IBUF | 10854 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_4_IBUF | 10988 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 10791 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 10799 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 10800 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | ram/RASEN | 10938 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 10789 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 10824 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | RefReq | 10899 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | ram/RefDone | 10905 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_11_OBUF.EXP | 11523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF.EXP | RA_11_OBUF | 4 | 0 | MC_EXPORT +NODE | ram/BACTr | 10972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_5_OBUF.D1 | 11373 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_5_OBUF.D1 | 11516 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_5_OBUF.D2 | 11374 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | RA_11_OBUF.EXP +SIGNAL | NODE | RA_5_OBUF.D2 | 11517 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_12_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_4_IBUF OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_5_OBUF.EXP | 11510 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 7 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RS_FSM_FFd6 | IV_FALSE | fsb/ASrf -SPPTERM | 9 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RS_FSM_FFd6 | IV_FALSE | ram/BACTr -SPPTERM | 9 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RS_FSM_FFd6 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 9 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RS_FSM_FFd6 | IV_FALSE | ram/BACTr -SPPTERM | 9 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RS_FSM_FFd6 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SIGNAL | NODE | RA_5_OBUF.EXP | 11656 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd7 | IV_TRUE | ram/RASEN +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_TRUE | ram/RS_FSM_FFd7 | IV_TRUE | ram/RASEN | IV_TRUE | fsb/ASrf +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd7 | IV_FALSE | ram/BACTr SRFF_INSTANCE | RA_5_OBUF.REG | RA_5_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_5_OBUF.D | 11372 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_5_OBUF.D | 11515 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_5_OBUF.Q | 11375 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_5_OBUF.Q | 11518 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | OptxMapped | RA_6_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 17 | 2 +MACROCELL_INSTANCE | OptxMapped | RA_6_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 4 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 10758 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IODONEr | 10833 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODONEr.Q | iobs/IODONEr | 1 | 0 | MC_UIM +NODE | A_FSB_5_IBUF | 10989 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_2_OBUF.EXP | 11525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT +NODE | A_FSB_7_IBUF | 10985 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_6_OBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q +NODE | RA_6_OBUF | 10997 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_6_OBUF.EXP | 11526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_6_OBUF.EXP | 11671 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | RA_6_OBUF.SI | RA_6_OBUF | 0 | 17 | 3 +SIGNAL_INSTANCE | RA_6_OBUF.SI | RA_6_OBUF | 0 | 4 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 10758 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IODONEr | 10833 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODONEr.Q | iobs/IODONEr | 1 | 0 | MC_UIM +NODE | A_FSB_5_IBUF | 10989 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_2_OBUF.EXP | 11525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT +NODE | A_FSB_7_IBUF | 10985 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_6_OBUF.D1 | 11377 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_6_OBUF.D1 | 11520 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_6_OBUF.D2 | 11378 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | RA_2_OBUF.EXP +SIGNAL | NODE | RA_6_OBUF.D2 | 11521 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_5_IBUF OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_6_OBUF.EXP | 11512 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_FALSE | iobs/Sent | IV_FALSE | IONPReady -SPPTERM | 2 | IV_FALSE | IONPReady | IV_FALSE | iobs/IODONEr -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 11 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | IONPReady -SPPTERM | 11 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | IONPReady +SIGNAL | NODE | RA_6_OBUF.EXP | 11658 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_7_IBUF SRFF_INSTANCE | RA_6_OBUF.REG | RA_6_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_6_OBUF.D | 11376 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_6_OBUF.D | 11519 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_6_OBUF.Q | 11379 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_6_OBUF.Q | 11522 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_7_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_6_IBUF | 10843 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_6_IBUF | 10990 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_7_OBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q +NODE | RA_7_OBUF | 10998 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | RA_7_OBUF.SI | RA_7_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_6_IBUF | 10843 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_6_IBUF | 10990 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_7_OBUF.D1 | 11381 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_7_OBUF.D1 | 11524 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_7_OBUF.D2 | 11382 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_7_OBUF.D2 | 11525 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | ram/RASEL SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_6_IBUF SRFF_INSTANCE | RA_7_OBUF.REG | RA_7_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_7_OBUF.D | 11380 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_7_OBUF.D | 11523 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_7_OBUF.Q | 11383 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_7_OBUF.Q | 11526 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_8_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_8_OBUF | 10852 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q +NODE | RA_8_OBUF | 10999 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | RA_8_OBUF.SI | RA_8_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_8_OBUF.D1 | 11385 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_8_OBUF.D1 | 11528 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_8_OBUF.D2 | 11386 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_8_OBUF.D2 | 11529 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | ram/RASEL SPPTERM | 2 | IV_TRUE | A_FSB_18_IBUF | IV_FALSE | ram/RASEL SRFF_INSTANCE | RA_8_OBUF.REG | RA_8_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_8_OBUF.D | 11384 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_8_OBUF.D | 11527 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_8_OBUF.Q | 11387 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_8_OBUF.Q | 11530 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_9_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 10852 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 10975 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_9_OBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q +NODE | RA_9_OBUF | 11000 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | RA_9_OBUF.SI | RA_9_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 10852 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 10975 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_9_OBUF.D1 | 11389 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_9_OBUF.D1 | 11532 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_9_OBUF.D2 | 11390 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_9_OBUF.D2 | 11533 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | ram/RASEL SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_8_IBUF SRFF_INSTANCE | RA_9_OBUF.REG | RA_9_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_9_OBUF.D | 11388 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_9_OBUF.D | 11531 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_9_OBUF.Q | 11391 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_9_OBUF.Q | 11534 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+OptxMapped | nROMWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 15 | 2 +MACROCELL_INSTANCE | Inv+OptxMapped | nROMWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 10873 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | $OpTx$$OpTx$FX_DC$346_INV$533.UIM | 11055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$346_INV$533.Q | $OpTx$$OpTx$FX_DC$346_INV$533 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 10874 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 10974 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 10975 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | cnt/WS<0> | 10944 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | cnt/WS<1> | 10953 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | cnt/WS<2> | 10954 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.Q | cnt/WS<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | cnt/WS<3> | 10963 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.Q | cnt/WS<3> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nROMWE_OBUF | 10854 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q +NODE | nROMWE_OBUF | 11001 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nROMWE_OBUF.EXP | 11486 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT +NODE | nROMWE_OBUF.EXP | 11633 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | nROMWE_OBUF.SI | nROMWE_OBUF | 0 | 15 | 3 +SIGNAL_INSTANCE | nROMWE_OBUF.SI | nROMWE_OBUF | 0 | 12 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 10873 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | $OpTx$$OpTx$FX_DC$346_INV$533.UIM | 11055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$346_INV$533.Q | $OpTx$$OpTx$FX_DC$346_INV$533 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 10874 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 10974 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 10975 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | cnt/WS<0> | 10944 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | cnt/WS<1> | 10953 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | cnt/WS<2> | 10954 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.Q | cnt/WS<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | cnt/WS<3> | 10963 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.Q | cnt/WS<3> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nROMWE_OBUF.D1 | 11393 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nROMWE_OBUF.D1 | 11536 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nROMWE_OBUF.D2 | 11394 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nROMWE_OBUF.D2 | 11537 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nROMWE_OBUF.EXP | 11474 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ALE1 -SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ALE1 -SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SIGNAL | NODE | nROMWE_OBUF.EXP | 11619 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$346_INV$533.UIM +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$346_INV$533.UIM +SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_9_IBUF | IV_FALSE | A_FSB_8_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$346_INV$533.UIM +SPPTERM | 5 | IV_TRUE | cnt/WS<0> | IV_TRUE | cnt/WS<1> | IV_TRUE | cnt/WS<2> | IV_TRUE | cnt/WS<3> | IV_FALSE | $OpTx$$OpTx$FX_DC$346_INV$533.UIM SRFF_INSTANCE | nROMWE_OBUF.REG | nROMWE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nROMWE_OBUF.D | 11392 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nROMWE_OBUF.D | 11535 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nROMWE_OBUF.Q | 11395 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nROMWE_OBUF.Q | 11538 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | nADoutLE0_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0M | 10809 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM +NODE | ALE0M | 10956 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0S | 10827 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM +NODE | ALE0S | 10976 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nADoutLE0_OBUF | 10855 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q +NODE | nADoutLE0_OBUF | 11002 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | nADoutLE0_OBUF.SI | nADoutLE0_OBUF | 0 | 2 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0M | 10809 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM +NODE | ALE0M | 10956 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0S | 10827 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM +NODE | ALE0S | 10976 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nADoutLE0_OBUF.D1 | 11397 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nADoutLE0_OBUF.D1 | 11540 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nADoutLE0_OBUF.D2 | 11398 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nADoutLE0_OBUF.D2 | 11541 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | ALE0M | IV_FALSE | ALE0S SRFF_INSTANCE | nADoutLE0_OBUF.REG | nADoutLE0_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nADoutLE0_OBUF.D | 11396 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.XOR | 0 | 7 | ALU_F +NODE | nADoutLE0_OBUF.D | 11539 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nADoutLE0_OBUF.Q | 11399 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nADoutLE0_OBUF.Q | 11542 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nCAS_OBUF | WarpSE_COPY_0_COPY_0 | 2424308736 | 10 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nCAS_OBUF | WarpSE_COPY_0_COPY_0 | 2424308736 | 9 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 10807 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 10950 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 10808 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 10939 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 10791 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | RefUrg | 10888 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/DTACKr | 10798 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/DTACKr.Q | ram/DTACKr | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd6 | 10942 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 10799 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10905 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 10937 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 10801 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | EXP18_.EXP | 11664 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP17_.EXP | 11518 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT +NODE | nOE_OBUF.EXP | 11665 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nOE_OBUF.EXP | 11519 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK- | 10728 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK- | 10876 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nCAS_OBUF | 10856 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q +NODE | nCAS_OBUF | 11003 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q -SIGNAL_INSTANCE | nCAS_OBUF.SI | nCAS_OBUF | 0 | 9 | 2 +SIGNAL_INSTANCE | nCAS_OBUF.SI | nCAS_OBUF | 0 | 8 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 10807 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 10950 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 10808 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 10939 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 10791 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | RefUrg | 10888 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/DTACKr | 10798 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/DTACKr.Q | ram/DTACKr | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd6 | 10942 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 10799 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10905 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 10937 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 10801 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | EXP18_.EXP | 11664 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP17_.EXP | 11518 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nOE_OBUF.EXP | 11519 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT +NODE | nOE_OBUF.EXP | 11665 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nCAS_OBUF.D1 | 11401 | ? | 0 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nCAS_OBUF.D1 | 11544 | ? | 0 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nCAS_OBUF.D2 | 11402 | ? | 0 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nCAS_OBUF.D2 | 11545 | ? | 0 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd1 SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd2 -SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd3 -SPPTERM | 1 | IV_TRUE | EXP17_.EXP +SPPTERM | 1 | IV_TRUE | EXP18_.EXP SPPTERM | 1 | IV_TRUE | nOE_OBUF.EXP -SPPTERM | 2 | IV_FALSE | RefUrg | IV_TRUE | ram/RS_FSM_FFd7 -SPPTERM | 2 | IV_TRUE | ram/DTACKr | IV_TRUE | ram/RS_FSM_FFd5 +SPPTERM | 2 | IV_FALSE | RefUrg | IV_TRUE | ram/RS_FSM_FFd3 +SPPTERM | 2 | IV_FALSE | RefUrg | IV_TRUE | ram/RS_FSM_FFd6 +SPPTERM | 2 | IV_TRUE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd6 SRFF_INSTANCE | nCAS_OBUF.REG | nCAS_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nCAS_OBUF.D | 11400 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.XOR | 0 | 7 | ALU_F +NODE | nCAS_OBUF.D | 11543 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK- | 10728 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK- | 10876 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nCAS_OBUF.Q | 11403 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nCAS_OBUF.Q | 11546 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped+Ce | nDinLE_OBUF | WarpSE_COPY_0_COPY_0 | 2424308992 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10777 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10925 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10780 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10928 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 10714 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 10862 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 10736 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 10884 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE | 10739 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +NODE | IODONE | 10887 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10776 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10924 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10821 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10969 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 11012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 10792 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +NODE | IOACT | 10943 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 10794 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 10945 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 10795 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 10946 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDinLE_OBUF | 10857 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q +NODE | nDinLE_OBUF | 11004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nDinLE_OBUF.EXP | 11535 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.EXP | nDinLE_OBUF | 4 | 0 | MC_EXPORT +NODE | nDinLE_OBUF.EXP | 11680 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.EXP | nDinLE_OBUF | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | nDinLE_OBUF.SI | nDinLE_OBUF | 0 | 10 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10777 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10925 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10780 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10928 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 10736 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 10884 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE | 10739 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +NODE | IODONE | 10887 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10776 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10924 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10821 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10969 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 11012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 10792 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +NODE | IOACT | 10943 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 10794 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 10945 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 10795 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 10946 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDinLE_OBUF.D1 | 11405 | ? | 0 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDinLE_OBUF.D1 | 11548 | ? | 0 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDinLE_OBUF.D2 | 11406 | ? | 0 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nDinLE_OBUF.D2 | 11549 | ? | 0 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nDinLE_OBUF.EXP | 11532 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nDinLE_OBUF.EXP | 11677 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 3 | IV_FALSE | IOBERR | IV_FALSE | IODONE | IV_TRUE | iobm/IOS_FSM_FFd3 SPPTERM | 3 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_TRUE | iobm/IOWRREQr | IV_FALSE | AoutOE SPPTERM | 5 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | IOACT | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 SRFF_INSTANCE | nDinLE_OBUF.REG | nDinLE_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDinLE_OBUF.D | 11404 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nDinLE_OBUF.D | 11547 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 10714 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 10862 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDinLE_OBUF.Q | 11407 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nDinLE_OBUF.Q | 11550 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | nOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155873280 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 10824 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | RefUrg | 10888 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +NODE | ram/RASEN | 10938 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP18_.EXP | 11520 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT +NODE | ram/RASEN.EXP | 11666 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.EXP | ram/RASEN | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nOE_OBUF | 10858 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q +NODE | nOE_OBUF | 11005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nOE_OBUF.EXP | 11519 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT +NODE | nOE_OBUF.EXP | 11665 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | nOE_OBUF.SI | nOE_OBUF | 0 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 10824 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | RefUrg | 10888 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +NODE | ram/RASEN | 10938 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP18_.EXP | 11520 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT +NODE | ram/RASEN.EXP | 11666 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.EXP | ram/RASEN | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nOE_OBUF.D1 | 11409 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nOE_OBUF.D1 | 11552 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nOE_OBUF.D2 | 11410 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP18_.EXP +SIGNAL | NODE | nOE_OBUF.D2 | 11553 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nWE_FSB_IBUF +SPPTERM | 1 | IV_TRUE | ram/RASEN.EXP OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nOE_OBUF.EXP | 11505 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_FALSE | RefUrg | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | ram/BACTr -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | RefUrg | IV_TRUE | ram/RS_FSM_FFd8 -SPPTERM | 4 | IV_FALSE | RefUrg | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | fsb/ASrf -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | ram/RASEN -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | ram/RASEN | IV_TRUE | fsb/ASrf +SIGNAL | NODE | nOE_OBUF.EXP | 11652 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | RefUrg | IV_TRUE | ram/RS_FSM_FFd7 +SPPTERM | 4 | IV_FALSE | RefUrg | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd7 | IV_FALSE | fsb/ASrf +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd7 | IV_TRUE | ram/RASEN +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | ram/RS_FSM_FFd7 | IV_TRUE | ram/RASEN | IV_TRUE | fsb/ASrf SRFF_INSTANCE | nOE_OBUF.REG | nOE_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nOE_OBUF.D | 11408 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nOE_OBUF.D | 11551 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nOE_OBUF.Q | 11411 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nOE_OBUF.Q | 11554 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | FbkInv+PinTrst+Merge+OptxMapped | N0 | WarpSE_COPY_0_COPY_0 | 2155923456 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRESout | 10834 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.Q | nRESout | 1 | 0 | MC_UIM +NODE | nRESout | 10982 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.Q | nRESout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | N0 | 10859 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.Q | N0 | 0 | 0 | MC_Q +NODE | N0 | 11006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.Q | N0 | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | N0$OE | 10860 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.BUFOE.OUT | N0 | 2 | 0 | MC_OE +NODE | N0$OE | 11007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.BUFOE.OUT | N0 | 2 | 0 | MC_OE SIGNAL_INSTANCE | N0.SI | N0 | 0 | 1 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRESout | 10834 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.Q | nRESout | 1 | 0 | MC_UIM +NODE | nRESout | 10982 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.Q | nRESout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | N0.D1 | 11413 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | N0.D1 | 11556 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | N0.D2 | 11414 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | N0.D2 | 11557 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 2 | 9 | MC_SI_D2 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | N0.TRST | 11416 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | N0.TRST | 11559 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | nRESout SRFF_INSTANCE | N0.REG | N0 | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | N0.D | 11412 | ? | 0 | 0 | N0 | NULL | NULL | N0.XOR | 0 | 7 | ALU_F +NODE | N0.D | 11555 | ? | 0 | 0 | N0 | NULL | NULL | N0.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | N0.Q | 11417 | ? | 0 | 0 | N0 | NULL | NULL | N0.REG | 0 | 8 | SRFF_Q +NODE | N0.Q | 11560 | ? | 0 | 0 | N0 | NULL | NULL | N0.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | N0.BUFOE | N0 | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | N0.TRST | 11416 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | N0.TRST | 11559 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | nRESout OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | N0.BUFOE.OUT | 11415 | ? | 0 | 0 | N0 | NULL | NULL | N0.BUFOE | 0 | 10 | BUF_OUT +NODE | N0.BUFOE.OUT | 11558 | ? | 0 | 0 | N0 | NULL | NULL | N0.BUFOE | 0 | 10 | BUF_OUT MACROCELL_INSTANCE | OptxMapped | RA_11_OBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2.EXP | 11558 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.EXP | iobs/TS_FSM_FFd2 | 4 | 0 | MC_EXPORT +NODE | iobs/TS_FSM_FFd2.EXP | 11703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.EXP | iobs/TS_FSM_FFd2 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_11_OBUF$BUF0 | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.Q | RA_11_OBUF$BUF0 | 0 | 0 | MC_Q +NODE | RA_11_OBUF$BUF0 | 11008 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.Q | RA_11_OBUF$BUF0 | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_11_OBUF$BUF0.EXP | 11557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.EXP | RA_11_OBUF$BUF0 | 4 | 0 | MC_EXPORT +NODE | RA_11_OBUF$BUF0.EXP | 11702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.EXP | RA_11_OBUF$BUF0 | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | RA_11_OBUF$BUF0.SI | RA_11_OBUF$BUF0 | 0 | 12 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2.EXP | 11558 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.EXP | iobs/TS_FSM_FFd2 | 4 | 0 | MC_EXPORT +NODE | iobs/TS_FSM_FFd2.EXP | 11703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.EXP | iobs/TS_FSM_FFd2 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_11_OBUF$BUF0.D1 | 11419 | ? | 0 | 4096 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_11_OBUF$BUF0.D1 | 11562 | ? | 0 | 4096 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_11_OBUF$BUF0.D2 | 11420 | ? | 0 | 4096 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_11_OBUF$BUF0.D2 | 11563 | ? | 0 | 4096 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobs/TS_FSM_FFd2.EXP OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_11_OBUF$BUF0.EXP | 11542 | ? | 0 | 0 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_11_OBUF$BUF0.EXP | 11687 | ? | 0 | 0 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 @@ -6969,158 +6942,158 @@ SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A SRFF_INSTANCE | RA_11_OBUF$BUF0.REG | RA_11_OBUF$BUF0 | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_11_OBUF$BUF0.D | 11418 | ? | 0 | 0 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.XOR | 0 | 7 | ALU_F +NODE | RA_11_OBUF$BUF0.D | 11561 | ? | 0 | 0 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_11_OBUF$BUF0.Q | 11421 | ? | 0 | 0 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.REG | 0 | 8 | SRFF_Q +NODE | RA_11_OBUF$BUF0.Q | 11564 | ? | 0 | 0 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | nADoutLE1_OBUF | WarpSE_COPY_0_COPY_0 | 2155873024 | 4 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Clear1 | 10832 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM +NODE | iobs/Clear1 | 10980 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 10797 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 10948 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nADoutLE1_OBUF | 10862 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q +NODE | nADoutLE1_OBUF | 11009 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM SIGNAL_INSTANCE | nADoutLE1_OBUF.SI | nADoutLE1_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Clear1 | 10832 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM +NODE | iobs/Clear1 | 10980 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 10797 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 10948 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nADoutLE1_OBUF.D1 | 11423 | ? | 0 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nADoutLE1_OBUF.D1 | 11566 | ? | 0 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nADoutLE1_OBUF.D2 | 11424 | ? | 0 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nADoutLE1_OBUF.D2 | 11567 | ? | 0 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobs/Load1 SPPTERM | 2 | IV_FALSE | iobs/Clear1 | IV_FALSE | ALE1 SRFF_INSTANCE | nADoutLE1_OBUF.REG | nADoutLE1_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nADoutLE1_OBUF.D | 11422 | ? | 0 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.XOR | 0 | 7 | ALU_F +NODE | nADoutLE1_OBUF.D | 11565 | ? | 0 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nADoutLE1_OBUF.Q | 11425 | ? | 0 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nADoutLE1_OBUF.Q | 11568 | ? | 0 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | nAoutOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155873024 | 24 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 10852 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 10854 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10725 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 10873 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10726 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 10874 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10825 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 10974 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10907 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 11054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 10975 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP13_.EXP | 11497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT +NODE | EXP14_.EXP | 11644 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nAoutOE_OBUF | 10864 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q +NODE | nAoutOE_OBUF | 11011 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 11012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nAoutOE_OBUF.EXP | 11496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.EXP | nAoutOE_OBUF | 4 | 0 | MC_EXPORT +NODE | nAoutOE_OBUF.EXP | 11643 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.EXP | nAoutOE_OBUF | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | nAoutOE_OBUF.SI | nAoutOE_OBUF | 0 | 23 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 10852 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 10854 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10725 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 10873 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10726 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 10874 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10825 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 10974 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10907 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 11054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 10975 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP13_.EXP | 11497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT +NODE | EXP14_.EXP | 11644 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nAoutOE_OBUF.D1 | 11427 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nAoutOE_OBUF.D1 | 11570 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nAoutOE_OBUF.D2 | 11428 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP13_.EXP +SIGNAL | NODE | nAoutOE_OBUF.D2 | 11571 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP14_.EXP OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nAoutOE_OBUF.EXP | 11489 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nAoutOE_OBUF.EXP | 11636 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM @@ -7129,223 +7102,223 @@ SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A SRFF_INSTANCE | nAoutOE_OBUF.REG | nAoutOE_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nAoutOE_OBUF.D | 11426 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nAoutOE_OBUF.D | 11569 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10875 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nAoutOE_OBUF.Q | 11429 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nAoutOE_OBUF.Q | 11572 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+OptxMapped | nDinOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDinOE_OBUF | 10866 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q +NODE | nDinOE_OBUF | 11013 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | nDinOE_OBUF.SI | nDinOE_OBUF | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDinOE_OBUF.D1 | 11431 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDinOE_OBUF.D1 | 11574 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDinOE_OBUF.D2 | 11432 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nDinOE_OBUF.D2 | 11575 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF SRFF_INSTANCE | nDinOE_OBUF.REG | nDinOE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDinOE_OBUF.D | 11430 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nDinOE_OBUF.D | 11573 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDinOE_OBUF.Q | 11433 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nDinOE_OBUF.Q | 11576 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+OptxMapped | nDoutOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DoutOE | 10818 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM +NODE | iobm/DoutOE | 10966 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 11012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10806 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10955 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS0 | 10820 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM +NODE | iobm/IOS0 | 10968 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10821 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10969 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDoutOE_OBUF | 10867 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q +NODE | nDoutOE_OBUF | 11014 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | nDoutOE_OBUF.SI | nDoutOE_OBUF | 0 | 5 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DoutOE | 10818 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM +NODE | iobm/DoutOE | 10966 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 11012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10806 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10955 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS0 | 10820 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM +NODE | iobm/IOS0 | 10968 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10821 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10969 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDoutOE_OBUF.D1 | 11435 | ? | 0 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDoutOE_OBUF.D1 | 11578 | ? | 0 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDoutOE_OBUF.D2 | 11436 | ? | 0 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nDoutOE_OBUF.D2 | 11579 | ? | 0 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | iobm/DoutOE | IV_FALSE | AoutOE SPPTERM | 4 | IV_FALSE | iobm/IORDREQr | IV_TRUE | iobm/IOS0 | IV_FALSE | iobm/IOWRREQr | IV_FALSE | AoutOE SRFF_INSTANCE | nDoutOE_OBUF.REG | nDoutOE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDoutOE_OBUF.D | 11434 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nDoutOE_OBUF.D | 11577 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDoutOE_OBUF.Q | 11437 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nDoutOE_OBUF.Q | 11580 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+OptxMapped | nRAMLWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 10759 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 10907 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASrf | 10835 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrf.Q | ram/RASrf | 1 | 0 | MC_UIM +NODE | ram/RASrr | 10973 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrr.Q | ram/RASrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASrr | 10836 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrr.Q | ram/RASrr | 1 | 0 | MC_UIM +NODE | ram/RASrf | 10983 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrf.Q | ram/RASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +NODE | ram/RASEN | 10938 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nRAMLWE_OBUF | 10868 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q +NODE | nRAMLWE_OBUF | 11015 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nRAMLWE_OBUF.EXP | 11561 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT +NODE | nRAMLWE_OBUF.EXP | 11706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | nRAMLWE_OBUF.SI | nRAMLWE_OBUF | 0 | 10 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 10759 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 10907 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASrf | 10835 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrf.Q | ram/RASrf | 1 | 0 | MC_UIM +NODE | ram/RASrr | 10973 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrr.Q | ram/RASrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASrr | 10836 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrr.Q | ram/RASrr | 1 | 0 | MC_UIM +NODE | ram/RASrf | 10983 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrf.Q | ram/RASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +NODE | ram/RASEN | 10938 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRAMLWE_OBUF.D1 | 11439 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRAMLWE_OBUF.D1 | 11582 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRAMLWE_OBUF.D2 | 11440 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nRAMLWE_OBUF.D2 | 11583 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nLDS_FSB_IBUF | IV_TRUE | ram/RASEL OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nRAMLWE_OBUF.EXP | 11546 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | ram/RASrf +SIGNAL | NODE | nRAMLWE_OBUF.EXP | 11691 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 1 | IV_TRUE | ram/RASrr +SPPTERM | 1 | IV_TRUE | ram/RASrf SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RASEN SRFF_INSTANCE | nRAMLWE_OBUF.REG | nRAMLWE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRAMLWE_OBUF.D | 11438 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nRAMLWE_OBUF.D | 11581 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRAMLWE_OBUF.Q | 11441 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nRAMLWE_OBUF.Q | 11584 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+OptxMapped | nRAMUWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP21_.EXP | 11562 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT +NODE | EXP23_.EXP | 11707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nRAMUWE_OBUF | 10869 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q +NODE | nRAMUWE_OBUF | 11016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nRAMUWE_OBUF.EXP | 11563 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT +NODE | nRAMUWE_OBUF.EXP | 11708 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | nRAMUWE_OBUF.SI | nRAMUWE_OBUF | 0 | 12 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP21_.EXP | 11562 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT +NODE | EXP23_.EXP | 11707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRAMUWE_OBUF.D1 | 11443 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRAMUWE_OBUF.D1 | 11586 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRAMUWE_OBUF.D2 | 11444 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP21_.EXP +SIGNAL | NODE | nRAMUWE_OBUF.D2 | 11587 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP23_.EXP OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nRAMUWE_OBUF.EXP | 11548 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nRAMUWE_OBUF.EXP | 11693 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 @@ -7354,481 +7327,481 @@ SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | cs/nOv SRFF_INSTANCE | nRAMUWE_OBUF.REG | nRAMUWE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRAMUWE_OBUF.D | 11442 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nRAMUWE_OBUF.D | 11585 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRAMUWE_OBUF.Q | 11445 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nRAMUWE_OBUF.Q | 11588 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+OptxMapped | nROMCS_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | RefReq | 10899 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10905 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 10789 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 10937 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 10791 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 10939 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 10824 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | ram/BACTr | 10972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +NODE | ram/RASEN | 10938 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP16_.EXP | 11517 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT +NODE | EXP17_.EXP | 11663 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nROMCS_OBUF | 10870 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q +NODE | nROMCS_OBUF | 11017 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nROMCS_OBUF.EXP | 11516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT +NODE | nROMCS_OBUF.EXP | 11662 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | nROMCS_OBUF.SI | nROMCS_OBUF | 0 | 12 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | RefReq | 10899 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10905 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 10789 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 10937 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 10791 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 10939 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 10824 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | ram/BACTr | 10972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +NODE | ram/RASEN | 10938 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP16_.EXP | 11517 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT +NODE | EXP17_.EXP | 11663 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nROMCS_OBUF.D1 | 11447 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nROMCS_OBUF.D1 | 11590 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nROMCS_OBUF.D2 | 11448 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP16_.EXP +SIGNAL | NODE | nROMCS_OBUF.D2 | 11591 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP17_.EXP OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nROMCS_OBUF.EXP | 11502 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 7 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr -SPPTERM | 7 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd4 | IV_TRUE | ram/RASEN | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SIGNAL | NODE | nROMCS_OBUF.EXP | 11649 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 7 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr +SPPTERM | 7 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/RASEN | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf SRFF_INSTANCE | nROMCS_OBUF.REG | nROMCS_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nROMCS_OBUF.D | 11446 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.XOR | 0 | 7 | ALU_F +NODE | nROMCS_OBUF.D | 11589 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nROMCS_OBUF.Q | 11449 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nROMCS_OBUF.Q | 11592 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | FbkInv+Merge+OptxMapped | C25MEN_OBUF | WarpSE_COPY_0_COPY_0 | 2155907072 | 0 | 1 OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | C25MEN_OBUF | 10871 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C25MEN_OBUF.Q | C25MEN_OBUF | 0 | 0 | MC_Q +NODE | C25MEN_OBUF | 11018 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C25MEN_OBUF.Q | C25MEN_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | C25MEN_OBUF.SI | C25MEN_OBUF | 0 | 0 | 2 OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | C25MEN_OBUF.D1 | 11451 | ? | 0 | 4096 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | C25MEN_OBUF.D1 | 11594 | ? | 0 | 4096 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | C25MEN_OBUF.D2 | 11452 | ? | 0 | 4096 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | C25MEN_OBUF.D2 | 11595 | ? | 0 | 4096 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 0 | IV_DC SRFF_INSTANCE | C25MEN_OBUF.REG | C25MEN_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | C25MEN_OBUF.D | 11450 | ? | 0 | 0 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.XOR | 0 | 7 | ALU_F +NODE | C25MEN_OBUF.D | 11593 | ? | 0 | 0 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | C25MEN_OBUF.Q | 11453 | ? | 0 | 0 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.REG | 0 | 8 | SRFF_Q +NODE | C25MEN_OBUF.Q | 11596 | ? | 0 | 0 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.REG | 0 | 8 | SRFF_Q OUTPUT_INSTANCE | 0 | nVMA_IOB | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nVMA_IOBout$Q | 10710 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 0 | 0 | MC_Q +NODE | nVMA_IOBout$Q | 10858 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | nVMA_IOBout$OE | 10712 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.BUFOE.OUT | nVMA_IOBout | 2 | 0 | MC_OE +NODE | nVMA_IOBout$OE | 10860 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.BUFOE.OUT | nVMA_IOBout | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nVMA_IOB | 10872 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVMA_IOB | 0 | 6 | OI_OUT +NODE | nVMA_IOB | 11019 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVMA_IOB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nAS_IOB | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nAS_IOBout$Q | 10715 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 0 | 0 | MC_Q +NODE | nAS_IOBout$Q | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | nAS_IOBout$OE | 10717 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.BUFOE.OUT | nAS_IOBout | 2 | 0 | MC_OE +NODE | nAS_IOBout$OE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.BUFOE.OUT | nAS_IOBout | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nAS_IOB | 10873 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_IOB | 0 | 6 | OI_OUT +NODE | nAS_IOB | 11020 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_IOB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nLDS_IOB | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nLDS_IOBout$Q | 10718 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 0 | 0 | MC_Q +NODE | nLDS_IOBout$Q | 10866 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | nLDS_IOBout$OE | 10720 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.BUFOE.OUT | nLDS_IOBout | 2 | 0 | MC_OE +NODE | nLDS_IOBout$OE | 10868 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.BUFOE.OUT | nLDS_IOBout | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nLDS_IOB | 10874 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_IOB | 0 | 6 | OI_OUT +NODE | nLDS_IOB | 11021 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_IOB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nUDS_IOB | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nUDS_IOBout$Q | 10721 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 0 | 0 | MC_Q +NODE | nUDS_IOBout$Q | 10869 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | nUDS_IOBout$OE | 10723 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.BUFOE.OUT | nUDS_IOBout | 2 | 0 | MC_OE +NODE | nUDS_IOBout$OE | 10871 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.BUFOE.OUT | nUDS_IOBout | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nUDS_IOB | 10875 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_IOB | 0 | 6 | OI_OUT +NODE | nUDS_IOB | 11022 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_IOB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nBERR_FSB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nBERR_FSB_OBUF | 10764 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q +NODE | nBERR_FSB_OBUF | 10912 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nBERR_FSB | 10876 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_FSB | 0 | 6 | OI_OUT +NODE | nBERR_FSB | 11023 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_FSB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nVPA_FSB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nVPA_FSB_OBUF | 10766 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q +NODE | nVPA_FSB_OBUF | 10914 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nVPA_FSB | 10877 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_FSB | 0 | 6 | OI_OUT +NODE | nVPA_FSB | 11024 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_FSB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nRAS | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nRAS_OBUF | 10767 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q +NODE | nRAS_OBUF | 10915 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRAS | 10878 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAS | 0 | 6 | OI_OUT +NODE | nRAS | 11025 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAS | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nBR_IOB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nBR_IOB_OBUF$Q | 10768 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 0 | 0 | MC_Q +NODE | nBR_IOB_OBUF$Q | 10916 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nBR_IOB | 10879 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBR_IOB | 0 | 6 | OI_OUT +NODE | nBR_IOB | 11026 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBR_IOB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<3> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_11_OBUF$Q | 10770 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF.Q | RA_11_OBUF | 0 | 0 | MC_Q +NODE | RA_11_OBUF$Q | 10918 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF.Q | RA_11_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<3> | 10880 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<3> | 0 | 6 | OI_OUT +NODE | RA<3> | 11027 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<3> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nDTACK_FSB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDTACK_FSB_OBUF$Q | 10771 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q +NODE | nDTACK_FSB_OBUF$Q | 10919 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDTACK_FSB | 10881 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_FSB | 0 | 6 | OI_OUT +NODE | nDTACK_FSB | 11028 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_FSB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<0> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_0_OBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q +NODE | RA_0_OBUF | 10991 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<0> | 10882 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<0> | 0 | 6 | OI_OUT +NODE | RA<0> | 11029 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<0> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<10> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_10_OBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_10_OBUF.Q | RA_10_OBUF | 0 | 0 | MC_Q +NODE | RA_10_OBUF | 10992 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_10_OBUF.Q | RA_10_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<10> | 10883 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<10> | 0 | 6 | OI_OUT +NODE | RA<10> | 11030 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<10> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<1> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_1_OBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q +NODE | RA_1_OBUF | 10993 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<1> | 10884 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<1> | 0 | 6 | OI_OUT +NODE | RA<1> | 11031 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<1> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<2> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_2_OBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q +NODE | RA_2_OBUF | 10994 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<2> | 10885 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<2> | 0 | 6 | OI_OUT +NODE | RA<2> | 11032 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<2> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<4> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_4_OBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q +NODE | RA_4_OBUF | 10995 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<4> | 10886 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<4> | 0 | 6 | OI_OUT +NODE | RA<4> | 11033 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<4> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<5> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_5_OBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q +NODE | RA_5_OBUF | 10996 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<5> | 10887 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<5> | 0 | 6 | OI_OUT +NODE | RA<5> | 11034 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<5> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<6> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_6_OBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q +NODE | RA_6_OBUF | 10997 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<6> | 10888 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<6> | 0 | 6 | OI_OUT +NODE | RA<6> | 11035 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<6> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<7> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_7_OBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q +NODE | RA_7_OBUF | 10998 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<7> | 10889 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<7> | 0 | 6 | OI_OUT +NODE | RA<7> | 11036 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<7> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<8> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_8_OBUF | 10852 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q +NODE | RA_8_OBUF | 10999 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<8> | 10890 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<8> | 0 | 6 | OI_OUT +NODE | RA<8> | 11037 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<8> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<9> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_9_OBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q +NODE | RA_9_OBUF | 11000 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<9> | 10891 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<9> | 0 | 6 | OI_OUT +NODE | RA<9> | 11038 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<9> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nROMWE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nROMWE_OBUF | 10854 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q +NODE | nROMWE_OBUF | 11001 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nROMWE | 10892 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nROMWE | 0 | 6 | OI_OUT +NODE | nROMWE | 11039 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nROMWE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nADoutLE0 | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nADoutLE0_OBUF | 10855 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q +NODE | nADoutLE0_OBUF | 11002 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nADoutLE0 | 10893 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE0 | 0 | 6 | OI_OUT +NODE | nADoutLE0 | 11040 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE0 | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nCAS | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nCAS_OBUF | 10856 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q +NODE | nCAS_OBUF | 11003 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nCAS | 10894 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nCAS | 0 | 6 | OI_OUT +NODE | nCAS | 11041 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nCAS | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nDinLE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDinLE_OBUF | 10857 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q +NODE | nDinLE_OBUF | 11004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDinLE | 10895 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDinLE | 0 | 6 | OI_OUT +NODE | nDinLE | 11042 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDinLE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nOE_OBUF | 10858 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q +NODE | nOE_OBUF | 11005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nOE | 10896 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nOE | 0 | 6 | OI_OUT +NODE | nOE | 11043 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nOE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nRES | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | N0 | 10859 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.Q | N0 | 0 | 0 | MC_Q +NODE | N0 | 11006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.Q | N0 | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | N0$OE | 10860 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.BUFOE.OUT | N0 | 2 | 0 | MC_OE +NODE | N0$OE | 11007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.BUFOE.OUT | N0 | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRES | 10897 | PIPO | 0 | 64 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES | 0 | 6 | OI_OUT +NODE | nRES | 11044 | PIPO | 0 | 64 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<11> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_11_OBUF$BUF0 | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.Q | RA_11_OBUF$BUF0 | 0 | 0 | MC_Q +NODE | RA_11_OBUF$BUF0 | 11008 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.Q | RA_11_OBUF$BUF0 | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<11> | 10898 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<11> | 0 | 6 | OI_OUT +NODE | RA<11> | 11045 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<11> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nADoutLE1 | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nADoutLE1_OBUF | 10862 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q +NODE | nADoutLE1_OBUF | 11009 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nADoutLE1 | 10899 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE1 | 0 | 6 | OI_OUT +NODE | nADoutLE1 | 11046 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE1 | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nAoutOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nAoutOE_OBUF | 10864 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q +NODE | nAoutOE_OBUF | 11011 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nAoutOE | 10900 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAoutOE | 0 | 6 | OI_OUT +NODE | nAoutOE | 11047 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAoutOE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nDinOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDinOE_OBUF | 10866 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q +NODE | nDinOE_OBUF | 11013 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDinOE | 10901 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDinOE | 0 | 6 | OI_OUT +NODE | nDinOE | 11048 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDinOE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nDoutOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDoutOE_OBUF | 10867 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q +NODE | nDoutOE_OBUF | 11014 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDoutOE | 10902 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDoutOE | 0 | 6 | OI_OUT +NODE | nDoutOE | 11049 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDoutOE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nRAMLWE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nRAMLWE_OBUF | 10868 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q +NODE | nRAMLWE_OBUF | 11015 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRAMLWE | 10903 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAMLWE | 0 | 6 | OI_OUT +NODE | nRAMLWE | 11050 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAMLWE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nRAMUWE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nRAMUWE_OBUF | 10869 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q +NODE | nRAMUWE_OBUF | 11016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRAMUWE | 10904 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAMUWE | 0 | 6 | OI_OUT +NODE | nRAMUWE | 11051 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAMUWE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nROMCS | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nROMCS_OBUF | 10870 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q +NODE | nROMCS_OBUF | 11017 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nROMCS | 10905 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nROMCS | 0 | 6 | OI_OUT +NODE | nROMCS | 11052 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nROMCS | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | C25MEN | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | C25MEN_OBUF | 10871 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C25MEN_OBUF.Q | C25MEN_OBUF | 0 | 0 | MC_Q +NODE | C25MEN_OBUF | 11018 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C25MEN_OBUF.Q | C25MEN_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | C25MEN | 10906 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C25MEN | 0 | 6 | OI_OUT +NODE | C25MEN | 11053 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C25MEN | 0 | 6 | OI_OUT MACROCELL_INSTANCE | SoftPfbk | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | WarpSE_COPY_0_COPY_0 | 2181038080 | 37 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 10741 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 10889 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10890 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10891 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10892 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10893 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 10894 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10747 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 10895 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10748 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 10896 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 10749 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 10897 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 10752 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<11> | 10900 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 10852 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 10854 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10725 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 10873 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10726 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 10874 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 10975 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10825 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 10974 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9>.EXP | 11539 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.EXP | cnt/LTimer<9> | 4 | 0 | MC_EXPORT +NODE | cnt/LTimer<9>.EXP | 11684 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.EXP | cnt/LTimer<9> | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10>.EXP | 11541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.EXP | cnt/LTimer<10> | 4 | 0 | MC_EXPORT +NODE | cnt/LTimer<10>.EXP | 11686 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.EXP | cnt/LTimer<10> | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10907 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 11054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.SI | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 0 | 37 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10901 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10977 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10878 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 10741 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 10889 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10890 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10891 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10892 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10893 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 10894 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10747 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 10895 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10748 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 10896 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 10749 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 10897 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 10752 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<11> | 10900 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 10852 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 10854 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10725 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 10873 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10726 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 10874 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 10975 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10825 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 10974 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9>.EXP | 11539 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.EXP | cnt/LTimer<9> | 4 | 0 | MC_EXPORT +NODE | cnt/LTimer<9>.EXP | 11684 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.EXP | cnt/LTimer<9> | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10>.EXP | 11541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.EXP | cnt/LTimer<10> | 4 | 0 | MC_EXPORT +NODE | cnt/LTimer<10>.EXP | 11686 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.EXP | cnt/LTimer<10> | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.D1 | 11455 | ? | 0 | 4096 | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | NULL | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.D1 | 11598 | ? | 0 | 4096 | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | NULL | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.D2 | 11456 | ? | 0 | 4096 | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | NULL | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.D2 | 11599 | ? | 0 | 4096 | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | NULL | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | cnt/LTimer<9>.EXP SPPTERM | 1 | IV_TRUE | cnt/LTimer<10>.EXP SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> @@ -7839,330 +7812,415 @@ SPPTERM | 19 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A SRFF_INSTANCE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.REG | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.D | 11454 | ? | 0 | 0 | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | NULL | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.D | 11597 | ? | 0 | 0 | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | NULL | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | 11457 | ? | 0 | 0 | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | NULL | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | 11600 | ? | 0 | 0 | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | NULL | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | SoftPfbk | $OpTx$$OpTx$FX_DC$350_INV$537 | WarpSE_COPY_0_COPY_0 | 2181038080 | 22 | 2 +MACROCELL_INSTANCE | SoftPfbk | $OpTx$$OpTx$FX_DC$346_INV$533 | WarpSE_COPY_0_COPY_0 | 2181038080 | 22 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 10852 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 10854 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10725 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 10873 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10726 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 10874 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10825 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 10974 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10907 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 11054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 10975 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM | 10908 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$350_INV$537.Q | $OpTx$$OpTx$FX_DC$350_INV$537 | 1 | 0 | MC_UIM +NODE | $OpTx$$OpTx$FX_DC$346_INV$533.UIM | 11055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$346_INV$533.Q | $OpTx$$OpTx$FX_DC$346_INV$533 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | $OpTx$$OpTx$FX_DC$350_INV$537.EXP | 11498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$350_INV$537.EXP | $OpTx$$OpTx$FX_DC$350_INV$537 | 4 | 0 | MC_EXPORT +NODE | $OpTx$$OpTx$FX_DC$346_INV$533.EXP | 11645 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$346_INV$533.EXP | $OpTx$$OpTx$FX_DC$346_INV$533 | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | $OpTx$$OpTx$FX_DC$350_INV$537.SI | $OpTx$$OpTx$FX_DC$350_INV$537 | 0 | 22 | 3 +SIGNAL_INSTANCE | $OpTx$$OpTx$FX_DC$346_INV$533.SI | $OpTx$$OpTx$FX_DC$346_INV$533 | 0 | 22 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 10852 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 10854 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10725 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 10873 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10726 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 10874 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10825 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 10974 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10907 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 11054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 10975 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | $OpTx$$OpTx$FX_DC$350_INV$537.D1 | 11459 | ? | 0 | 4096 | $OpTx$$OpTx$FX_DC$350_INV$537 | NULL | NULL | $OpTx$$OpTx$FX_DC$350_INV$537.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | $OpTx$$OpTx$FX_DC$346_INV$533.D1 | 11602 | ? | 0 | 4096 | $OpTx$$OpTx$FX_DC$346_INV$533 | NULL | NULL | $OpTx$$OpTx$FX_DC$346_INV$533.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | $OpTx$$OpTx$FX_DC$350_INV$537.D2 | 11460 | ? | 0 | 4096 | $OpTx$$OpTx$FX_DC$350_INV$537 | NULL | NULL | $OpTx$$OpTx$FX_DC$350_INV$537.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | $OpTx$$OpTx$FX_DC$346_INV$533.D2 | 11603 | ? | 0 | 4096 | $OpTx$$OpTx$FX_DC$346_INV$533 | NULL | NULL | $OpTx$$OpTx$FX_DC$346_INV$533.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | $OpTx$$OpTx$FX_DC$350_INV$537.EXP | 11491 | ? | 0 | 0 | $OpTx$$OpTx$FX_DC$350_INV$537 | NULL | NULL | $OpTx$$OpTx$FX_DC$350_INV$537.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | $OpTx$$OpTx$FX_DC$346_INV$533.EXP | 11638 | ? | 0 | 0 | $OpTx$$OpTx$FX_DC$346_INV$533 | NULL | NULL | $OpTx$$OpTx$FX_DC$346_INV$533.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -SRFF_INSTANCE | $OpTx$$OpTx$FX_DC$350_INV$537.REG | $OpTx$$OpTx$FX_DC$350_INV$537 | 0 | 1 | 1 +SRFF_INSTANCE | $OpTx$$OpTx$FX_DC$346_INV$533.REG | $OpTx$$OpTx$FX_DC$346_INV$533 | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | $OpTx$$OpTx$FX_DC$350_INV$537.D | 11458 | ? | 0 | 0 | $OpTx$$OpTx$FX_DC$350_INV$537 | NULL | NULL | $OpTx$$OpTx$FX_DC$350_INV$537.XOR | 0 | 7 | ALU_F +NODE | $OpTx$$OpTx$FX_DC$346_INV$533.D | 11601 | ? | 0 | 0 | $OpTx$$OpTx$FX_DC$346_INV$533 | NULL | NULL | $OpTx$$OpTx$FX_DC$346_INV$533.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | $OpTx$$OpTx$FX_DC$350_INV$537.Q | 11461 | ? | 0 | 0 | $OpTx$$OpTx$FX_DC$350_INV$537 | NULL | NULL | $OpTx$$OpTx$FX_DC$350_INV$537.REG | 0 | 8 | SRFF_Q +NODE | $OpTx$$OpTx$FX_DC$346_INV$533.Q | 11604 | ? | 0 | 0 | $OpTx$$OpTx$FX_DC$346_INV$533 | NULL | NULL | $OpTx$$OpTx$FX_DC$346_INV$533.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | NULL | EXP10_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 8 | 1 +MACROCELL_INSTANCE | NULL | EXP10_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | IORDREQ | 10957 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM | 10908 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$350_INV$537.Q | $OpTx$$OpTx$FX_DC$350_INV$537 | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | iobs/IORW1 | 10904 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4.EXP | 11487 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.EXP | ram/RS_FSM_FFd4 | 4 | 0 | MC_EXPORT +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Load1.EXP | 11629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.EXP | iobs/Load1 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP10_.EXP | 11476 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT +NODE | EXP10_.EXP | 11630 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP10_.SI | EXP10_ | 0 | 8 | 1 +SIGNAL_INSTANCE | EXP10_.SI | EXP10_ | 0 | 9 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | IORDREQ | 10957 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM | 10908 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$350_INV$537.Q | $OpTx$$OpTx$FX_DC$350_INV$537 | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | iobs/IORW1 | 10904 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4.EXP | 11487 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.EXP | ram/RS_FSM_FFd4 | 4 | 0 | MC_EXPORT +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Load1.EXP | 11629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.EXP | iobs/Load1 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP10_.EXP | 11464 | ? | 0 | 0 | EXP10_ | NULL | NULL | EXP10_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd4.EXP -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM - -MACROCELL_INSTANCE | NULL | EXP11_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 10796 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORDREQ | 10810 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 10756 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Clear1.EXP | 11483 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP11_.EXP | 11484 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP11_.SI | EXP11_ | 0 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 10796 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORDREQ | 10810 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 10756 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Clear1.EXP | 11483 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP11_.EXP | 11472 | ? | 0 | 0 | EXP11_ | NULL | NULL | EXP11_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | iobs/Clear1.EXP -SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | iobs/IOACTr +SIGNAL | NODE | EXP10_.EXP | 11616 | ? | 0 | 0 | EXP10_ | NULL | NULL | EXP10_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | iobs/Load1.EXP SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd2 | IV_FALSE | IORDREQ SPPTERM | 3 | IV_TRUE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 3 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 3 | IV_FALSE | iobs/IORW1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | ALE1 +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -MACROCELL_INSTANCE | NULL | EXP12_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 26 | 1 +MACROCELL_INSTANCE | NULL | EXP11_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 18 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 10741 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10907 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10748 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 10749 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 10752 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10725 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10726 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAoutOE_OBUF.EXP | 11496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.EXP | nAoutOE_OBUF | 4 | 0 | MC_EXPORT +NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP12_.EXP | 11495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT +NODE | EXP11_.EXP | 11632 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP12_.SI | EXP12_ | 0 | 26 | 1 +SIGNAL_INSTANCE | EXP11_.SI | EXP11_ | 0 | 18 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 10741 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10907 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10748 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 10749 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 10752 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10725 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10726 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAoutOE_OBUF.EXP | 11496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.EXP | nAoutOE_OBUF | 4 | 0 | MC_EXPORT +NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP12_.EXP | 11488 | ? | 0 | 0 | EXP12_ | NULL | NULL | EXP12_.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP11_.EXP | 11618 | ? | 0 | 0 | EXP11_ | NULL | NULL | EXP11_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ALE1 +SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ALE1 +SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 + +MACROCELL_INSTANCE | NULL | EXP12_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$$OpTx$FX_DC$346_INV$533.UIM | 11055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$346_INV$533.Q | $OpTx$$OpTx$FX_DC$346_INV$533 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 10852 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 10854 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nROMWE_OBUF.EXP | 11633 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP12_.EXP | 11634 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP12_.SI | EXP12_ | 0 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$$OpTx$FX_DC$346_INV$533.UIM | 11055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$346_INV$533.Q | $OpTx$$OpTx$FX_DC$346_INV$533 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 10852 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 10854 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nROMWE_OBUF.EXP | 11633 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP12_.EXP | 11620 | ? | 0 | 0 | EXP12_ | NULL | NULL | EXP12_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | nROMWE_OBUF.EXP +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$346_INV$533.UIM +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$346_INV$533.UIM +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$346_INV$533.UIM +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$346_INV$533.UIM +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$346_INV$533.UIM + +MACROCELL_INSTANCE | NULL | EXP13_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 26 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<10> | 10889 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 11054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<8> | 10896 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<9> | 10897 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<11> | 10900 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 10852 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 10854 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 10873 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 10874 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 10975 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAoutOE_OBUF.EXP | 11643 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.EXP | nAoutOE_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP13_.EXP | 11642 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP13_.SI | EXP13_ | 0 | 26 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<10> | 10889 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 11054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<8> | 10896 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<9> | 10897 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<11> | 10900 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 10852 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 10854 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 10873 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 10874 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 10975 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAoutOE_OBUF.EXP | 11643 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.EXP | nAoutOE_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP13_.EXP | 11635 | ? | 0 | 0 | EXP13_ | NULL | NULL | EXP13_.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 1 | IV_TRUE | nAoutOE_OBUF.EXP SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM @@ -8170,177 +8228,177 @@ SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<11> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -MACROCELL_INSTANCE | NULL | EXP13_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 4 | 1 +MACROCELL_INSTANCE | NULL | EXP14_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 4 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBR_IOB_OBUF | 10769 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM +NODE | nBR_IOB_OBUF | 10917 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 11012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP13_.EXP | 11497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT +NODE | EXP14_.EXP | 11644 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP13_.SI | EXP13_ | 0 | 4 | 1 +SIGNAL_INSTANCE | EXP14_.SI | EXP14_ | 0 | 4 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBR_IOB_OBUF | 10769 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM +NODE | nBR_IOB_OBUF | 10917 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 11012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP13_.EXP | 11490 | ? | 0 | 0 | EXP13_ | NULL | NULL | EXP13_.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP14_.EXP | 11637 | ? | 0 | 0 | EXP14_ | NULL | NULL | EXP14_.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 3 | IV_FALSE | nBR_IOB_OBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_TRUE | cnt/IS_FSM_FFd2 SPPTERM | 3 | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_FALSE | AoutOE -MACROCELL_INSTANCE | NULL | EXP14_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 23 | 1 +MACROCELL_INSTANCE | NULL | EXP15_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 23 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 10852 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 10854 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10725 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 10873 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10726 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 10874 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10825 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 10974 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10907 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 11054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 10975 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$350_INV$537.EXP | 11498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$350_INV$537.EXP | $OpTx$$OpTx$FX_DC$350_INV$537 | 4 | 0 | MC_EXPORT +NODE | $OpTx$$OpTx$FX_DC$346_INV$533.EXP | 11645 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$346_INV$533.EXP | $OpTx$$OpTx$FX_DC$346_INV$533 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP14_.EXP | 11499 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT +NODE | EXP15_.EXP | 11646 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP14_.SI | EXP14_ | 0 | 23 | 1 +SIGNAL_INSTANCE | EXP15_.SI | EXP15_ | 0 | 23 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 10852 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 10854 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10725 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 10873 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10726 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 10874 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10825 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 10974 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10907 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 11054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 10975 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$350_INV$537.EXP | 11498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$350_INV$537.EXP | $OpTx$$OpTx$FX_DC$350_INV$537 | 4 | 0 | MC_EXPORT +NODE | $OpTx$$OpTx$FX_DC$346_INV$533.EXP | 11645 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$346_INV$533.EXP | $OpTx$$OpTx$FX_DC$346_INV$533 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP14_.EXP | 11492 | ? | 0 | 0 | EXP14_ | NULL | NULL | EXP14_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | $OpTx$$OpTx$FX_DC$350_INV$537.EXP +SIGNAL | NODE | EXP15_.EXP | 11639 | ? | 0 | 0 | EXP15_ | NULL | NULL | EXP15_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | $OpTx$$OpTx$FX_DC$346_INV$533.EXP SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -MACROCELL_INSTANCE | NULL | EXP15_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 8 | 1 +MACROCELL_INSTANCE | NULL | EXP16_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10891 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10907 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 11054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10892 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10893 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 10894 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10747 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 10895 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1>.EXP | 11500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.EXP | cnt/LTimer<1> | 4 | 0 | MC_EXPORT +NODE | cnt/LTimer<1>.EXP | 11647 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.EXP | cnt/LTimer<1> | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP15_.EXP | 11501 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT +NODE | EXP16_.EXP | 11648 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP15_.SI | EXP15_ | 0 | 8 | 1 +SIGNAL_INSTANCE | EXP16_.SI | EXP16_ | 0 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10877 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10891 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10907 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 11054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10892 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10893 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 10894 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10747 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 10895 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1>.EXP | 11500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.EXP | cnt/LTimer<1> | 4 | 0 | MC_EXPORT +NODE | cnt/LTimer<1>.EXP | 11647 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.EXP | cnt/LTimer<1> | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP15_.EXP | 11494 | ? | 0 | 0 | EXP15_ | NULL | NULL | EXP15_.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP16_.EXP | 11641 | ? | 0 | 0 | EXP16_ | NULL | NULL | EXP16_.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 1 | IV_TRUE | cnt/LTimer<1>.EXP SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM @@ -8348,209 +8406,309 @@ SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -MACROCELL_INSTANCE | NULL | EXP16_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 5 | 1 +MACROCELL_INSTANCE | NULL | EXP17_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP16_.EXP | 11517 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT +NODE | EXP17_.EXP | 11663 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP16_.SI | EXP16_ | 0 | 5 | 1 +SIGNAL_INSTANCE | EXP17_.SI | EXP17_ | 0 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP16_.EXP | 11503 | ? | 0 | 0 | EXP16_ | NULL | NULL | EXP16_.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP17_.EXP | 11650 | ? | 0 | 0 | EXP17_ | NULL | NULL | EXP17_.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay -MACROCELL_INSTANCE | NULL | EXP17_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 6 | 1 +MACROCELL_INSTANCE | NULL | EXP18_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10905 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 10789 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 10937 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd4 | 10940 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 10801 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | ram/DTACKr | 10949 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/DTACKr.Q | ram/DTACKr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | RefUrg | 10888 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefReq | 10899 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 10972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP17_.EXP | 11518 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT +NODE | EXP18_.EXP | 11664 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP17_.SI | EXP17_ | 0 | 6 | 1 +SIGNAL_INSTANCE | EXP18_.SI | EXP18_ | 0 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10905 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 10789 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 10937 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd4 | 10940 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 10801 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | ram/DTACKr | 10949 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/DTACKr.Q | ram/DTACKr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | RefUrg | 10888 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefReq | 10899 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 10972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP17_.EXP | 11504 | ? | 0 | 0 | EXP17_ | NULL | NULL | EXP17_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_FALSE | RefUrg | IV_TRUE | ram/RS_FSM_FFd4 -SPPTERM | 2 | IV_TRUE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd8 -SPPTERM | 2 | IV_TRUE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd4 +SIGNAL | NODE | EXP18_.EXP | 11651 | ? | 0 | 0 | EXP18_ | NULL | NULL | EXP18_.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 2 | IV_TRUE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd7 -SPPTERM | 3 | IV_FALSE | RefUrg | IV_FALSE | RefReq | IV_TRUE | ram/RS_FSM_FFd8 +SPPTERM | 2 | IV_TRUE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd3 +SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd4 | IV_TRUE | ram/DTACKr +SPPTERM | 3 | IV_FALSE | RefUrg | IV_FALSE | RefReq | IV_TRUE | ram/RS_FSM_FFd7 +SPPTERM | 3 | IV_FALSE | RefUrg | IV_TRUE | ram/RS_FSM_FFd7 | IV_TRUE | ram/BACTr -MACROCELL_INSTANCE | NULL | EXP18_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 5 | 1 +MACROCELL_INSTANCE | NULL | EXP19_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ram/RefDone | 10905 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 10937 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/DTACKr | 10798 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/DTACKr.Q | ram/DTACKr | 1 | 0 | MC_UIM +NODE | RefUrg | 10888 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 10824 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | RefReq | 10899 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 10972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_4_OBUF.EXP | 11668 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP18_.EXP | 11520 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT +NODE | EXP19_.EXP | 11667 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP18_.SI | EXP18_ | 0 | 5 | 1 +SIGNAL_INSTANCE | EXP19_.SI | EXP19_ | 0 | 9 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ram/RefDone | 10905 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 10937 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/DTACKr | 10798 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/DTACKr.Q | ram/DTACKr | 1 | 0 | MC_UIM +NODE | RefUrg | 10888 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 10824 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | RefReq | 10899 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 10972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_4_OBUF.EXP | 11668 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP18_.EXP | 11506 | ? | 0 | 0 | EXP18_ | NULL | NULL | EXP18_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_FALSE | nWE_FSB_IBUF -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 2 | IV_TRUE | ram/DTACKr | IV_TRUE | ram/BACTr +SIGNAL | NODE | EXP19_.EXP | 11654 | ? | 0 | 0 | EXP19_ | NULL | NULL | EXP19_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | RA_4_OBUF.EXP +SPPTERM | 2 | IV_TRUE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd7 +SPPTERM | 2 | IV_TRUE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd3 +SPPTERM | 3 | IV_FALSE | RefUrg | IV_FALSE | RefReq | IV_TRUE | ram/RS_FSM_FFd7 +SPPTERM | 3 | IV_FALSE | RefUrg | IV_TRUE | ram/RS_FSM_FFd7 | IV_TRUE | ram/BACTr +SPPTERM | 4 | IV_FALSE | RefUrg | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd7 | IV_FALSE | fsb/ASrf -MACROCELL_INSTANCE | NULL | EXP19_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 10 | 1 +MACROCELL_INSTANCE | NULL | EXP20_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 13 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | RefUrg | 10888 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10905 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd1 | 10939 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd4 | 10940 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd5 | 10941 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady.EXP | 11527 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.EXP | IONPReady | 4 | 0 | MC_EXPORT +NODE | RefReq | 10899 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 10937 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 10972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP19_.EXP | 11528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT +NODE | EXP20_.EXP | 11672 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP19_.SI | EXP19_ | 0 | 10 | 1 +SIGNAL_INSTANCE | EXP20_.SI | EXP20_ | 0 | 13 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | RefUrg | 10888 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10905 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd1 | 10939 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd4 | 10940 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd5 | 10941 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady.EXP | 11527 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.EXP | IONPReady | 4 | 0 | MC_EXPORT +NODE | RefReq | 10899 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 10937 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 10972 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP19_.EXP | 11514 | ? | 0 | 0 | EXP19_ | NULL | NULL | EXP19_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | IONPReady.EXP -SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd8 -SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd8 -SPPTERM | 4 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | ram/RASEN -SPPTERM | 5 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | fsb/ASrf -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | ram/RASEN +SIGNAL | NODE | EXP20_.EXP | 11659 | ? | 0 | 0 | EXP20_ | NULL | NULL | EXP20_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 8 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd7 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | fsb/ASrf +SPPTERM | 10 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd7 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/BACTr +SPPTERM | 10 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd7 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 10 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd7 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/BACTr +SPPTERM | 10 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd7 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -MACROCELL_INSTANCE | NULL | EXP20_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 11 | 1 +MACROCELL_INSTANCE | NULL | EXP21_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 10937 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 10939 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd4 | 10940 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd5 | 10941 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | RAMReady | 10960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.Q | RAMReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | RefUrg | 10888 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | ram/RefDone | 10905 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAS_OBUF.EXP | 11560 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT +NODE | ram/RASEN | 10938 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP20_.EXP | 11672 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP20_.EXP | 11559 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT +NODE | EXP21_.EXP | 11673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP20_.SI | EXP20_ | 0 | 11 | 1 +SIGNAL_INSTANCE | EXP21_.SI | EXP21_ | 0 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd7 | 10929 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 10937 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 10939 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd4 | 10940 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd5 | 10941 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | RAMReady | 10960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.Q | RAMReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | RefUrg | 10888 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | ram/RefDone | 10905 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAS_OBUF.EXP | 11560 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT +NODE | ram/RASEN | 10938 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP20_.EXP | 11672 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP20_.EXP | 11544 | ? | 0 | 0 | EXP20_ | NULL | NULL | EXP20_.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP21_.EXP | 11660 | ? | 0 | 0 | EXP21_ | NULL | NULL | EXP21_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | EXP20_.EXP +SPPTERM | 6 | IV_FALSE | ram/RS_FSM_FFd7 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | RAMReady +SPPTERM | 7 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd7 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd5 +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd7 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd5 +SPPTERM | 7 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd7 | IV_FALSE | ram/RASEN | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd5 +SPPTERM | 7 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd7 | IV_TRUE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd5 + +MACROCELL_INSTANCE | NULL | EXP22_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRAS_OBUF.EXP | 11705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP22_.EXP | 11704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP22_.SI | EXP22_ | 0 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRAS_OBUF.EXP | 11705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP22_.EXP | 11689 | ? | 0 | 0 | EXP22_ | NULL | NULL | EXP22_.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 1 | IV_TRUE | nRAS_OBUF.EXP SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 @@ -8558,180 +8716,180 @@ SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -MACROCELL_INSTANCE | NULL | EXP21_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 3 | 1 +MACROCELL_INSTANCE | NULL | EXP23_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 10761 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 10909 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP21_.EXP | 11562 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT +NODE | EXP23_.EXP | 11707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP21_.SI | EXP21_ | 0 | 3 | 1 +SIGNAL_INSTANCE | EXP23_.SI | EXP23_ | 0 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 10761 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 10909 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP21_.EXP | 11547 | ? | 0 | 0 | EXP21_ | NULL | NULL | EXP21_.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP23_.EXP | 11692 | ? | 0 | 0 | EXP23_ | NULL | NULL | EXP23_.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 3 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nUDS_FSB_IBUF | IV_TRUE | ram/RASEL -MACROCELL_INSTANCE | NULL | EXP22_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 17 | 1 +MACROCELL_INSTANCE | NULL | EXP24_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 17 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP22_.EXP | 11564 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT +NODE | EXP24_.EXP | 11709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP22_.SI | EXP22_ | 0 | 17 | 1 +SIGNAL_INSTANCE | EXP24_.SI | EXP24_ | 0 | 17 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10882 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10902 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10922 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10872 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP22_.EXP | 11549 | ? | 0 | 0 | EXP22_ | NULL | NULL | EXP22_.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP24_.EXP | 11694 | ? | 0 | 0 | EXP24_ | NULL | NULL | EXP24_.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 SPPTERM | 13 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 13 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 SPPTERM | 13 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 13 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -MACROCELL_INSTANCE | NULL | EXP23_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 2 | 1 +MACROCELL_INSTANCE | NULL | EXP25_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 10736 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 10884 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_FSB_OBUF.UIM | 10765 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM +NODE | nBERR_FSB_OBUF.UIM | 10913 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP23_.EXP | 11565 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT +NODE | EXP25_.EXP | 11710 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP23_.SI | EXP23_ | 0 | 2 | 1 +SIGNAL_INSTANCE | EXP25_.SI | EXP25_ | 0 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 10736 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 10884 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_FSB_OBUF.UIM | 10765 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM +NODE | nBERR_FSB_OBUF.UIM | 10913 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP23_.EXP | 11550 | ? | 0 | 0 | EXP23_ | NULL | NULL | EXP23_.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP25_.EXP | 11695 | ? | 0 | 0 | EXP25_ | NULL | NULL | EXP25_.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 2 | IV_FALSE | IOBERR | IV_TRUE | nBERR_FSB_OBUF.UIM -MACROCELL_INSTANCE | NULL | EXP24_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 +MACROCELL_INSTANCE | NULL | EXP26_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOU1 | 10762 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +NODE | iobs/IOU1 | 10910 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 10803 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | IOU0 | 10952 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_FSB_OBUF.EXP | 11566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT +NODE | nBERR_FSB_OBUF.EXP | 11711 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP24_.EXP | 11567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT +NODE | EXP26_.EXP | 11712 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP24_.SI | EXP24_ | 0 | 12 | 1 +SIGNAL_INSTANCE | EXP26_.SI | EXP26_ | 0 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOU1 | 10762 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +NODE | iobs/IOU1 | 10910 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 10803 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | IOU0 | 10952 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_FSB_OBUF.EXP | 11566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT +NODE | nBERR_FSB_OBUF.EXP | 11711 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP24_.EXP | 11552 | ? | 0 | 0 | EXP24_ | NULL | NULL | EXP24_.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP26_.EXP | 11697 | ? | 0 | 0 | EXP26_ | NULL | NULL | EXP26_.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 1 | IV_TRUE | nBERR_FSB_OBUF.EXP SPPTERM | 3 | IV_FALSE | iobs/IOU1 | IV_FALSE | IOU0 | IV_FALSE | ALE1 SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 @@ -8739,61 +8897,61 @@ SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -MACROCELL_INSTANCE | NULL | EXP25_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 +MACROCELL_INSTANCE | NULL | EXP27_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOL1 | 10760 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +NODE | iobs/IOL1 | 10908 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 10802 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 10951 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1.EXP | 11570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.EXP | iobs/IORW1 | 4 | 0 | MC_EXPORT +NODE | iobs/IORW1.EXP | 11715 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.EXP | iobs/IORW1 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP25_.EXP | 11571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT +NODE | EXP27_.EXP | 11716 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP27_.EXP | EXP27_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP25_.SI | EXP25_ | 0 | 12 | 1 +SIGNAL_INSTANCE | EXP27_.SI | EXP27_ | 0 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOL1 | 10760 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +NODE | iobs/IOL1 | 10908 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 10802 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 10951 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 11010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10923 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10979 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10903 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1.EXP | 11570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.EXP | iobs/IORW1 | 4 | 0 | MC_EXPORT +NODE | iobs/IORW1.EXP | 11715 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.EXP | iobs/IORW1 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP25_.EXP | 11556 | ? | 0 | 0 | EXP25_ | NULL | NULL | EXP25_.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP27_.EXP | 11701 | ? | 0 | 0 | EXP27_ | NULL | NULL | EXP27_.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 1 | IV_TRUE | iobs/IORW1.EXP SPPTERM | 3 | IV_FALSE | iobs/IOL1 | IV_FALSE | IOL0 | IV_FALSE | ALE1 SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 @@ -8805,63 +8963,64 @@ FB_INSTANCE | FOOBAR1_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 FBPIN | 1 | ram/RS_FSM_FFd3 | 1 | NULL | 0 | NULL | 0 FBPIN | 2 | ram/RS_FSM_FFd2 | 1 | A_FSB_13_IBUF | 1 | NULL | 0 | 11 | 49152 FBPIN | 3 | ram/RS_FSM_FFd1 | 1 | A_FSB_14_IBUF | 1 | NULL | 0 | 12 | 49152 -FBPIN | 4 | cnt/Er<1> | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | cnt/Er<0> | 1 | A_FSB_15_IBUF | 1 | NULL | 0 | 13 | 49152 +FBPIN | 4 | ram/RASrf | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | cnt/Er<1> | 1 | A_FSB_15_IBUF | 1 | NULL | 0 | 13 | 49152 FBPIN | 6 | ram/RefDone | 1 | A_FSB_16_IBUF | 1 | NULL | 0 | 14 | 49152 -FBPIN | 7 | iobs/IOU1 | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | iobs/IOL1 | 1 | A_FSB_17_IBUF | 1 | NULL | 0 | 15 | 49152 -FBPIN | 9 | cnt/TimerTC | 1 | A_FSB_18_IBUF | 1 | NULL | 0 | 16 | 49152 -FBPIN | 10 | cnt/Timer<0> | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | cnt/IS_FSM_FFd2 | 1 | A_FSB_19_IBUF | 1 | NULL | 0 | 17 | 49152 -FBPIN | 12 | RefUrg | 1 | A_FSB_20_IBUF | 1 | NULL | 0 | 18 | 49152 -FBPIN | 13 | RefReq | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | iobm/ES<2> | 1 | A_FSB_21_IBUF | 1 | NULL | 0 | 19 | 49152 +FBPIN | 7 | ram/RS_FSM_FFd4 | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | iobs/IOU1 | 1 | A_FSB_17_IBUF | 1 | NULL | 0 | 15 | 49152 +FBPIN | 9 | iobs/IOL1 | 1 | A_FSB_18_IBUF | 1 | NULL | 0 | 16 | 49152 +FBPIN | 10 | cnt/TimerTC | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | cnt/Timer<0> | 1 | A_FSB_19_IBUF | 1 | NULL | 0 | 17 | 49152 +FBPIN | 12 | cnt/IS_FSM_FFd2 | 1 | A_FSB_20_IBUF | 1 | NULL | 0 | 18 | 49152 +FBPIN | 13 | RefUrg | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | RefReq | 1 | A_FSB_21_IBUF | 1 | NULL | 0 | 19 | 49152 FBPIN | 15 | cnt/Timer<1> | 1 | A_FSB_22_IBUF | 1 | NULL | 0 | 20 | 49152 FBPIN | 16 | cnt/Timer<3> | 1 | NULL | 0 | NULL | 0 FBPIN | 17 | cnt/Timer<2> | 1 | C16M_IBUF | 0 | NULL | 0 | 22 | 57344 -FBPIN | 18 | ram/RS_FSM_FFd7 | 1 | NULL | 0 | NULL | 0 +FBPIN | 18 | ram/RS_FSM_FFd6 | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR2_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 4 | ram/RASrf | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | ram/DTACKr | 1 | NULL | 0 | NULL | 0 | 1 | 53248 -FBPIN | 6 | iobs/IODONEr | 1 | A_FSB_5_IBUF | 1 | NULL | 0 | 2 | 53248 -FBPIN | 7 | iobs/IOACTr | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | iobm/VPAr | 1 | A_FSB_6_IBUF | 1 | NULL | 0 | 3 | 53248 -FBPIN | 9 | iobm/IOWRREQr | 1 | A_FSB_7_IBUF | 1 | NULL | 0 | 4 | 53248 -FBPIN | 10 | iobm/IOS_FSM_FFd5 | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | iobm/IOS_FSM_FFd4 | 1 | A_FSB_8_IBUF | 1 | NULL | 0 | 6 | 49152 -FBPIN | 12 | iobm/IOS_FSM_FFd1 | 1 | A_FSB_9_IBUF | 1 | NULL | 0 | 7 | 49152 -FBPIN | 13 | iobm/IORDREQr | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | iobm/Er | 1 | A_FSB_10_IBUF | 1 | NULL | 0 | 8 | 49152 -FBPIN | 15 | iobm/C8Mr | 1 | A_FSB_11_IBUF | 1 | NULL | 0 | 9 | 49152 -FBPIN | 16 | cnt/nIPL2r | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | iobm/IOS_FSM_FFd2 | 1 | A_FSB_12_IBUF | 1 | NULL | 0 | 10 | 49152 -FBPIN | 18 | IOBERR | 1 | NULL | 0 | NULL | 0 +FBPIN | 3 | ram/DTACKr | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | iobs/IODONEr | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | iobs/IOACTr | 1 | NULL | 0 | NULL | 0 | 1 | 53248 +FBPIN | 6 | iobm/VPAr | 1 | A_FSB_5_IBUF | 1 | NULL | 0 | 2 | 53248 +FBPIN | 7 | iobm/IOWRREQr | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | iobm/IOS_FSM_FFd5 | 1 | A_FSB_6_IBUF | 1 | NULL | 0 | 3 | 53248 +FBPIN | 9 | iobm/IOS_FSM_FFd4 | 1 | A_FSB_7_IBUF | 1 | NULL | 0 | 4 | 53248 +FBPIN | 10 | iobm/IOS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | iobm/IORDREQr | 1 | A_FSB_8_IBUF | 1 | NULL | 0 | 6 | 49152 +FBPIN | 12 | iobm/Er | 1 | A_FSB_9_IBUF | 1 | NULL | 0 | 7 | 49152 +FBPIN | 13 | iobm/C8Mr | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | cnt/nIPL2r | 1 | A_FSB_10_IBUF | 1 | NULL | 0 | 8 | 49152 +FBPIN | 15 | cnt/Er<0> | 1 | A_FSB_11_IBUF | 1 | NULL | 0 | 9 | 49152 +FBPIN | 16 | iobm/IOS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | IOBERR | 1 | A_FSB_12_IBUF | 1 | NULL | 0 | 10 | 49152 +FBPIN | 18 | iobm/ES<2> | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR3_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | EXP10_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | QoSReady | 1 | C8M_IBUF | 1 | NULL | 0 | 23 | 57344 -FBPIN | 3 | cnt/WS<2> | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | ram/RS_FSM_FFd6 | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | ram/RS_FSM_FFd5 | 1 | A_FSB_23_IBUF | 1 | NULL | 0 | 24 | 49152 -FBPIN | 6 | iobs/TS_FSM_FFd1 | 1 | E_IBUF | 1 | NULL | 0 | 25 | 49152 -FBPIN | 7 | ram/RASEL | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | cs/nOverlay | 1 | FCLK_IBUF | 0 | NULL | 0 | 27 | 57344 +FBPIN | 1 | QoSReady | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | IONPReady | 1 | C8M_IBUF | 1 | NULL | 0 | 23 | 57344 +FBPIN | 3 | iobs/Clear1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | ALE0S | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | iobs/TS_FSM_FFd1 | 1 | A_FSB_23_IBUF | 1 | NULL | 0 | 24 | 49152 +FBPIN | 6 | cs/nOverlay | 1 | E_IBUF | 1 | NULL | 0 | 25 | 49152 +FBPIN | 7 | cnt/WS<3> | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | cnt/WS<2> | 1 | FCLK_IBUF | 0 | NULL | 0 | 27 | 57344 FBPIN | 9 | nDTACK_FSB_OBUF | 1 | NULL | 0 | nDTACK_FSB | 1 | 28 | 49152 -FBPIN | 10 | cnt/WS<3> | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | cnt/WS<1> | 1 | nWE_FSB_IBUF | 1 | NULL | 0 | 29 | 49152 +FBPIN | 10 | cnt/WS<1> | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | ram/RS_FSM_FFd5 | 1 | nWE_FSB_IBUF | 1 | NULL | 0 | 29 | 49152 FBPIN | 12 | iobs/Load1 | 1 | nLDS_FSB_IBUF | 1 | NULL | 0 | 30 | 49152 -FBPIN | 13 | iobs/Clear1 | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | EXP11_ | 1 | nAS_FSB_IBUF | 1 | NULL | 0 | 32 | 49152 -FBPIN | 15 | IORDREQ | 1 | nUDS_FSB_IBUF | 1 | NULL | 0 | 33 | 49152 -FBPIN | 16 | iobs/Sent | 1 | NULL | 0 | NULL | 0 +FBPIN | 13 | EXP10_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | IORDREQ | 1 | nAS_FSB_IBUF | 1 | NULL | 0 | 32 | 49152 +FBPIN | 15 | iobs/Sent | 1 | nUDS_FSB_IBUF | 1 | NULL | 0 | 33 | 49152 +FBPIN | 16 | EXP11_ | 1 | NULL | 0 | NULL | 0 FBPIN | 17 | nROMWE_OBUF | 1 | NULL | 0 | nROMWE | 1 | 34 | 49152 -FBPIN | 18 | ram/RS_FSM_FFd4 | 1 | NULL | 0 | NULL | 0 +FBPIN | 18 | EXP12_ | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR4_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | EXP12_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 1 | EXP13_ | 1 | NULL | 0 | NULL | 0 FBPIN | 2 | nAoutOE_OBUF | 1 | NULL | 0 | nAoutOE | 1 | 87 | 49152 -FBPIN | 3 | EXP13_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 3 | EXP14_ | 1 | NULL | 0 | NULL | 0 FBPIN | 5 | nDoutOE_OBUF | 1 | NULL | 0 | nDoutOE | 1 | 89 | 49152 FBPIN | 6 | nDinOE_OBUF | 1 | NULL | 0 | nDinOE | 1 | 90 | 49152 FBPIN | 7 | ram/BACTr | 1 | NULL | 0 | NULL | 0 @@ -8869,33 +9028,33 @@ FBPIN | 8 | N0 | 1 | N01 | 1 | nRES | 1 | 91 | 49152 FBPIN | 9 | nRESout | 1 | nIPL2_IBUF | 1 | NULL | 0 | 92 | 49152 FBPIN | 10 | fsb/ASrf | 1 | NULL | 0 | NULL | 0 FBPIN | 11 | nVPA_FSB_OBUF | 1 | NULL | 0 | nVPA_FSB | 1 | 93 | 49152 -FBPIN | 12 | cnt/LTimerTC | 1 | A_FSB_1_IBUF | 1 | NULL | 0 | 94 | 49152 -FBPIN | 13 | ALE0S | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | $OpTx$$OpTx$FX_DC$350_INV$537 | 1 | A_FSB_2_IBUF | 1 | NULL | 0 | 95 | 49152 -FBPIN | 15 | EXP14_ | 1 | A_FSB_3_IBUF | 1 | NULL | 0 | 96 | 49152 +FBPIN | 12 | cnt/WS<0> | 1 | A_FSB_1_IBUF | 1 | NULL | 0 | 94 | 49152 +FBPIN | 13 | cnt/LTimerTC | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | $OpTx$$OpTx$FX_DC$346_INV$533 | 1 | A_FSB_2_IBUF | 1 | NULL | 0 | 95 | 49152 +FBPIN | 15 | EXP15_ | 1 | A_FSB_3_IBUF | 1 | NULL | 0 | 96 | 49152 FBPIN | 16 | cnt/LTimer<1> | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | EXP15_ | 1 | A_FSB_4_IBUF | 1 | NULL | 0 | 97 | 49152 +FBPIN | 17 | EXP16_ | 1 | A_FSB_4_IBUF | 1 | NULL | 0 | 97 | 49152 FBPIN | 18 | cnt/LTimer<0> | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR5_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | ram/RS_FSM_FFd8 | 1 | NULL | 0 | NULL | 0 +FBPIN | 1 | ram/RS_FSM_FFd7 | 1 | NULL | 0 | NULL | 0 FBPIN | 2 | nROMCS_OBUF | 1 | NULL | 0 | nROMCS | 1 | 35 | 49152 -FBPIN | 3 | EXP16_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | EXP17_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 3 | EXP17_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | EXP18_ | 1 | NULL | 0 | NULL | 0 FBPIN | 5 | nCAS_OBUF | 1 | NULL | 0 | nCAS | 1 | 36 | 49152 FBPIN | 6 | nOE_OBUF | 1 | NULL | 0 | nOE | 1 | 37 | 49152 -FBPIN | 7 | EXP18_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | cnt/WS<0> | 1 | NULL | 0 | NULL | 0 | 39 | 49152 +FBPIN | 7 | ram/RASEN | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | EXP19_ | 1 | NULL | 0 | NULL | 0 | 39 | 49152 FBPIN | 9 | RA_4_OBUF | 1 | NULL | 0 | RA<4> | 1 | 40 | 49152 -FBPIN | 10 | ram/RASEN | 1 | NULL | 0 | NULL | 0 +FBPIN | 10 | ram/RASEL | 1 | NULL | 0 | NULL | 0 FBPIN | 11 | RA_11_OBUF | 1 | NULL | 0 | RA<3> | 1 | 41 | 49152 FBPIN | 12 | RA_5_OBUF | 1 | NULL | 0 | RA<5> | 1 | 42 | 49152 -FBPIN | 13 | RAMReady | 1 | NULL | 0 | NULL | 0 +FBPIN | 13 | ram/RASrr | 1 | NULL | 0 | NULL | 0 FBPIN | 14 | RA_2_OBUF | 1 | NULL | 0 | RA<2> | 1 | 43 | 49152 FBPIN | 15 | RA_6_OBUF | 1 | NULL | 0 | RA<6> | 1 | 46 | 49152 -FBPIN | 16 | IONPReady | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | EXP19_ | 1 | NULL | 0 | NULL | 0 | 49 | 49152 -FBPIN | 18 | ram/RASrr | 1 | NULL | 0 | NULL | 0 +FBPIN | 16 | EXP20_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | EXP21_ | 1 | NULL | 0 | NULL | 0 | 49 | 49152 +FBPIN | 18 | RAMReady | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR6_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 FBPIN | 1 | iobm/IOS_FSM_FFd6 | 1 | NULL | 0 | NULL | 0 @@ -8941,21 +9100,21 @@ FB_INSTANCE | FOOBAR8_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 FBPIN | 1 | IOL0 | 1 | NULL | 0 | NULL | 0 FBPIN | 2 | RA_11_OBUF$BUF0 | 1 | NULL | 0 | RA<11> | 1 | 63 | 49152 FBPIN | 3 | iobs/TS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | EXP20_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | EXP22_ | 1 | NULL | 0 | NULL | 0 FBPIN | 5 | nRAS_OBUF | 1 | NULL | 0 | nRAS | 1 | 64 | 49152 FBPIN | 6 | nRAMLWE_OBUF | 1 | NULL | 0 | nRAMLWE | 1 | 65 | 49152 -FBPIN | 7 | EXP21_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 7 | EXP23_ | 1 | NULL | 0 | NULL | 0 FBPIN | 8 | nRAMUWE_OBUF | 1 | NULL | 0 | nRAMUWE | 1 | 66 | 49152 FBPIN | 9 | IOWRREQ | 1 | NULL | 0 | NULL | 0 | 67 | 49152 -FBPIN | 10 | EXP22_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | EXP23_ | 1 | NULL | 0 | NULL | 0 | 68 | 49152 +FBPIN | 10 | EXP24_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | EXP25_ | 1 | NULL | 0 | NULL | 0 | 68 | 49152 FBPIN | 12 | nBERR_FSB_OBUF | 1 | NULL | 0 | nBERR_FSB | 1 | 70 | 49152 -FBPIN | 13 | EXP24_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 13 | EXP26_ | 1 | NULL | 0 | NULL | 0 FBPIN | 14 | IOU0 | 1 | NULL | 0 | NULL | 0 | 71 | 49152 FBPIN | 15 | nBR_IOB_OBUF | 1 | NULL | 0 | nBR_IOB | 1 | 72 | 49152 FBPIN | 16 | cnt/IS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 FBPIN | 17 | iobs/IORW1 | 1 | NULL | 0 | NULL | 0 | 73 | 49152 -FBPIN | 18 | EXP25_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 18 | EXP27_ | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | INPUTPINS_FOOBAR9_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 @@ -8963,99 +9122,98 @@ BUSINFO | A_FSB<23:1> | 23 | 0 | 0 | A_FSB<10> | 13 | A_FSB<11> | 12 | A_FSB<12> BUSINFO | RA<11:0> | 12 | 0 | 1 | RA<0> | 11 | RA<10> | 1 | RA<11> | 0 | RA<1> | 10 | RA<2> | 9 | RA<3> | 8 | RA<4> | 7 | RA<5> | 6 | RA<6> | 5 | RA<7> | 4 | RA<8> | 3 | RA<9> | 2 BUSINFO | SW<3:1> | 3 | 0 | 0 | SW<1> | 2 | SW<2> | 1 | SW<3> | 0 -FB_ORDER_OF_INPUTS | FOOBAR1_ | 0 | ram/RS_FSM_FFd8 | NULL | 2 | ram/RS_FSM_FFd1 | NULL | 3 | cnt/Er<1> | NULL | 4 | cnt/Er<0> | NULL | 8 | nAS_FSB | 32 -FB_ORDER_OF_INPUTS | FOOBAR1_ | 9 | cnt/Timer<0> | NULL | 11 | iobs/Load1 | NULL | 13 | iobm/Er | NULL | 14 | cnt/Timer<1> | NULL | 15 | cnt/Timer<3> | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 16 | cnt/Timer<2> | NULL | 17 | ram/RS_FSM_FFd4 | NULL | 19 | cnt/IS_FSM_FFd1 | NULL | 22 | ram/RS_FSM_FFd2 | NULL | 23 | A_FSB<23> | 24 -FB_ORDER_OF_INPUTS | FOOBAR1_ | 24 | A_FSB<22> | 20 | 28 | iobm/ES<0> | NULL | 29 | nLDS_FSB | 30 | 30 | ram/RASEN | NULL | 31 | cnt/TimerTC | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 32 | iobm/ES<1> | NULL | 33 | E | 25 | 34 | ram/RS_FSM_FFd7 | NULL | 35 | ram/BACTr | NULL | 36 | fsb/ASrf | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 39 | cnt/IS_FSM_FFd2 | NULL | 41 | RefUrg | NULL | 42 | ram/RS_FSM_FFd3 | NULL | 44 | ram/RefDone | NULL | 48 | iobm/ES<2> | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 51 | nUDS_FSB | 33 | 52 | RefReq | NULL | 53 | cnt/LTimerTC | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 0 | ram/RS_FSM_FFd3 | NULL | 2 | ram/DTACKr | NULL | 4 | cnt/Er<1> | NULL | 6 | ram/BACTr | NULL | 10 | cnt/Timer<0> | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 11 | iobs/Load1 | NULL | 12 | nUDS_FSB | 33 | 14 | cnt/Timer<1> | NULL | 15 | cnt/Timer<3> | NULL | 16 | cnt/Timer<2> | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 17 | A_FSB<22> | 20 | 19 | cnt/IS_FSM_FFd1 | NULL | 22 | ram/RS_FSM_FFd2 | NULL | 23 | A_FSB<23> | 24 | 24 | fsb/ASrf | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 27 | ram/RS_FSM_FFd1 | NULL | 29 | nLDS_FSB | 30 | 33 | nAS_FSB | 32 | 34 | ram/RS_FSM_FFd6 | NULL | 36 | ram/RS_FSM_FFd5 | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 37 | cnt/Er<0> | NULL | 41 | cnt/IS_FSM_FFd2 | NULL | 43 | ram/RS_FSM_FFd4 | NULL | 44 | ram/RefDone | NULL | 47 | ram/RS_FSM_FFd7 | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 48 | RefReq | NULL | 49 | cnt/LTimerTC | NULL | 50 | ram/RASEN | NULL | 51 | cnt/TimerTC | NULL | 52 | RefUrg | NULL -FB_IMUX_INDEX | FOOBAR1_ | 72 | -1 | 2 | 3 | 4 | -1 | -1 | -1 | 268 | 9 | -1 | 47 | -1 | 31 | 14 | 15 | 16 | 53 | -1 | 141 | -1 | -1 | 1 | 240 | 230 | -1 | -1 | -1 | 94 | 260 | 81 | 8 | 96 | 242 | 17 | 60 | 63 | -1 | -1 | 10 | -1 | 11 | 0 | -1 | 5 | -1 | -1 | -1 | 13 | -1 | -1 | 272 | 12 | 65 +FB_IMUX_INDEX | FOOBAR1_ | 0 | -1 | 20 | -1 | 4 | -1 | 60 | -1 | -1 | -1 | 10 | 47 | 272 | -1 | 14 | 15 | 16 | 230 | -1 | 141 | -1 | -1 | 1 | 240 | 63 | -1 | -1 | 2 | -1 | 260 | -1 | -1 | -1 | 268 | 17 | -1 | 46 | 32 | -1 | -1 | -1 | 11 | -1 | 6 | 5 | -1 | -1 | 72 | 13 | 66 | 78 | 9 | 12 | -1 -FB_ORDER_OF_INPUTS | FOOBAR2_ | 3 | ram/RS_FSM_FFd6 | NULL | 6 | nIPL2 | 92 | 8 | nDTACK_FSB_OBUF | NULL | 9 | iobm/IOS_FSM_FFd5 | NULL | 11 | nAS_IOBout | NULL -FB_ORDER_OF_INPUTS | FOOBAR2_ | 12 | C8M | 23 | 15 | nBERR_IOB | 76 | 16 | E | 25 | 17 | IOBERR | NULL | 24 | iobm/IOS_FSM_FFd6 | NULL -FB_ORDER_OF_INPUTS | FOOBAR2_ | 33 | iobm/IOS_FSM_FFd3 | NULL | 37 | iobm/C8Mr | NULL | 41 | IOWRREQ | NULL | 42 | IORDREQ | NULL | 45 | nVPA_IOB | 77 -FB_ORDER_OF_INPUTS | FOOBAR2_ | 46 | iobm/IOS_FSM_FFd2 | NULL | 47 | IODONE | NULL | 53 | IOACT | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 3 | iobm/IOS_FSM_FFd3 | NULL | 6 | nIPL2 | 92 | 8 | nDTACK_FSB_OBUF | NULL | 9 | IODONE | NULL | 11 | nAS_IOBout | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 12 | C8M | 23 | 15 | nBERR_IOB | 76 | 16 | IOBERR | NULL | 17 | IOACT | NULL | 24 | iobm/IOS_FSM_FFd6 | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 26 | IORDREQ | NULL | 28 | iobm/ES<0> | NULL | 32 | iobm/ES<1> | NULL | 33 | E | 25 | 34 | iobm/IOS_FSM_FFd5 | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 40 | iobm/ES<2> | NULL | 43 | iobm/Er | NULL | 45 | nVPA_IOB | 77 | 47 | iobm/C8Mr | NULL | 52 | iobm/IOS_FSM_FFd2 | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 53 | IOWRREQ | NULL -FB_IMUX_INDEX | FOOBAR2_ | -1 | -1 | -1 | 39 | -1 | -1 | 150 | -1 | 44 | 27 | -1 | 101 | 238 | -1 | -1 | 175 | 242 | 35 | -1 | -1 | -1 | -1 | -1 | -1 | 90 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 93 | -1 | -1 | -1 | 32 | -1 | -1 | -1 | 134 | 50 | -1 | -1 | 171 | 34 | 99 | -1 | -1 | -1 | -1 | -1 | 107 +FB_IMUX_INDEX | FOOBAR2_ | -1 | -1 | -1 | 93 | -1 | -1 | 150 | -1 | 44 | 99 | -1 | 101 | 238 | -1 | -1 | 175 | 34 | 107 | -1 | -1 | -1 | -1 | -1 | -1 | 90 | -1 | 49 | -1 | 94 | -1 | -1 | -1 | 96 | 242 | 25 | -1 | -1 | -1 | -1 | -1 | 35 | -1 | -1 | 29 | -1 | 171 | -1 | 30 | -1 | -1 | -1 | -1 | 33 | 134 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 0 | ram/RS_FSM_FFd8 | NULL | 1 | QoSReady | NULL | 2 | cnt/WS<2> | NULL | 3 | ram/RS_FSM_FFd6 | NULL | 4 | ram/DTACKr | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 5 | iobs/TS_FSM_FFd1 | NULL | 6 | iobs/IOACTr | NULL | 7 | cs/nOverlay | NULL | 8 | nAS_FSB | 32 | 9 | cnt/WS<3> | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 10 | cnt/WS<1> | NULL | 12 | RAMReady | NULL | 13 | $OpTx$$OpTx$FX_DC$350_INV$537.UIM | NULL | 14 | IORDREQ | NULL | 15 | iobs/Sent | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 16 | iobs/IORW1 | NULL | 17 | cnt/LTimer<0> | NULL | 19 | A_FSB<20> | 18 | 20 | A_FSB<16> | 14 | 21 | A_FSB<19> | 17 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 23 | A_FSB<23> | 24 | 28 | nWE_FSB | 29 | 30 | ram/RASEN | NULL | 31 | A_FSB<10> | 8 | 34 | A_FSB<17> | 15 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 35 | A_FSB<14> | 12 | 36 | fsb/ASrf | NULL | 37 | iobs/TS_FSM_FFd2 | NULL | 39 | A_FSB<22> | 20 | 40 | A_FSB<8> | 6 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 41 | nRES | 91 | 42 | A_FSB<12> | 10 | 43 | IONPReady | NULL | 44 | A_FSB<13> | 11 | 45 | A_FSB<18> | 16 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 46 | A_FSB<15> | 13 | 47 | A_FSB<21> | 19 | 48 | cnt/WS<0> | NULL | 49 | ALE1 | NULL | 50 | cnt/LTimer<1> | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 51 | ram/RS_FSM_FFd5 | NULL | 52 | A_FSB<11> | 9 | 53 | A_FSB<9> | 7 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 0 | QoSReady | NULL | 1 | A_FSB<14> | 12 | 2 | A_FSB<21> | 19 | 3 | iobs/IODONEr | NULL | 4 | iobs/IOACTr | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 5 | cs/nOverlay | NULL | 6 | cnt/WS<3> | NULL | 7 | cnt/WS<2> | NULL | 8 | nAS_FSB | 32 | 9 | A_FSB<18> | 16 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 10 | A_FSB<11> | 9 | 11 | cnt/WS<0> | NULL | 13 | IORDREQ | NULL | 14 | iobs/Sent | NULL | 16 | iobs/IORW1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 17 | RAMReady | NULL | 18 | cnt/WS<1> | NULL | 19 | A_FSB<20> | 18 | 20 | A_FSB<16> | 14 | 21 | A_FSB<19> | 17 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 22 | A_FSB<12> | 10 | 23 | A_FSB<23> | 24 | 24 | ram/RASEN | NULL | 26 | cnt/LTimer<0> | NULL | 27 | IONPReady | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 28 | nWE_FSB | 29 | 34 | A_FSB<17> | 15 | 36 | fsb/ASrf | NULL | 37 | iobs/TS_FSM_FFd2 | NULL | 39 | A_FSB<22> | 20 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 40 | A_FSB<8> | 6 | 41 | nRES | 91 | 43 | A_FSB<10> | 8 | 44 | A_FSB<13> | 11 | 45 | $OpTx$$OpTx$FX_DC$346_INV$533.UIM | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 46 | A_FSB<15> | 13 | 47 | ram/RS_FSM_FFd7 | NULL | 49 | ALE1 | NULL | 50 | cnt/LTimer<1> | NULL | 51 | iobs/TS_FSM_FFd1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 53 | A_FSB<9> | 7 -FB_IMUX_INDEX | FOOBAR3_ | 72 | 37 | 38 | 39 | 22 | 41 | 24 | 43 | 268 | 45 | 46 | -1 | 84 | 67 | 50 | 51 | 142 | 71 | -1 | 226 | 214 | 222 | -1 | 240 | -1 | -1 | -1 | -1 | 256 | -1 | 81 | 198 | -1 | -1 | 216 | 210 | 63 | 128 | -1 | 230 | 194 | 148 | 206 | 87 | 208 | 218 | 212 | 228 | 79 | 103 | 69 | 40 | 202 | 196 +FB_IMUX_INDEX | FOOBAR3_ | 36 | 210 | 228 | 21 | 22 | 41 | 42 | 43 | 268 | 218 | 202 | 65 | -1 | 49 | 50 | -1 | 142 | 89 | 45 | 226 | 214 | 222 | 206 | 240 | 78 | -1 | 71 | 37 | 256 | -1 | -1 | -1 | -1 | -1 | 216 | -1 | 63 | 128 | -1 | 230 | 194 | 148 | -1 | 198 | 208 | 67 | 212 | 72 | -1 | 103 | 69 | 40 | -1 | 196 -FB_ORDER_OF_INPUTS | FOOBAR4_ | 0 | cnt/LTimer<9> | NULL | 1 | AoutOE | NULL | 2 | cnt/LTimer<8> | NULL | 3 | cnt/LTimer<7> | NULL | 4 | A_FSB<9> | 7 -FB_ORDER_OF_INPUTS | FOOBAR4_ | 5 | A_FSB<16> | 14 | 6 | cnt/LTimer<6> | NULL | 7 | iobm/DoutOE | NULL | 8 | iobm/IOWRREQr | NULL | 9 | fsb/ASrf | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 10 | cnt/IS_FSM_FFd2 | NULL | 12 | iobm/IORDREQr | NULL | 13 | cnt/LTimer<3> | NULL | 14 | cnt/LTimer<2> | NULL | 15 | cnt/LTimer<1> | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 16 | cnt/LTimer<10> | NULL | 17 | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | NULL | 19 | A_FSB<20> | 18 | 21 | A_FSB<19> | 17 | 22 | A_FSB<12> | 10 -FB_ORDER_OF_INPUTS | FOOBAR4_ | 23 | A_FSB<23> | 24 | 28 | nWE_FSB | 29 | 29 | iobm/IOS0 | NULL | 30 | cnt/IS_FSM_FFd1 | NULL | 31 | A_FSB<10> | 8 -FB_ORDER_OF_INPUTS | FOOBAR4_ | 33 | cnt/LTimer<11> | NULL | 34 | A_FSB<17> | 15 | 35 | A_FSB<14> | 12 | 36 | nAS_FSB | 32 | 37 | iobs/TS_FSM_FFd2 | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 38 | nRESout | NULL | 39 | A_FSB<22> | 20 | 40 | A_FSB<8> | 6 | 42 | nBR_IOB_OBUF | NULL | 43 | IONPReady | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 44 | A_FSB<13> | 11 | 45 | A_FSB<21> | 19 | 46 | A_FSB<15> | 13 | 47 | cnt/LTimer<0> | NULL | 48 | cnt/LTimer<4> | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 49 | cnt/LTimer<5> | NULL | 50 | A_FSB<18> | 16 | 52 | A_FSB<11> | 9 +FB_ORDER_OF_INPUTS | FOOBAR4_ | 0 | cnt/LTimer<9> | NULL | 1 | IONPReady | NULL | 2 | cnt/LTimer<8> | NULL | 3 | cnt/LTimer<7> | NULL | 4 | A_FSB<9> | 7 +FB_ORDER_OF_INPUTS | FOOBAR4_ | 5 | A_FSB<16> | 14 | 6 | iobm/IOWRREQr | NULL | 7 | iobm/DoutOE | NULL | 8 | nRESout | NULL | 9 | fsb/ASrf | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 10 | iobm/IORDREQr | NULL | 11 | cnt/IS_FSM_FFd2 | NULL | 12 | iobm/IOS0 | NULL | 13 | cnt/LTimer<3> | NULL | 14 | cnt/LTimer<2> | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 15 | cnt/LTimer<1> | NULL | 16 | cnt/LTimer<10> | NULL | 17 | cnt/LTimer<0> | NULL | 19 | A_FSB<20> | 18 | 21 | A_FSB<19> | 17 +FB_ORDER_OF_INPUTS | FOOBAR4_ | 22 | A_FSB<12> | 10 | 23 | A_FSB<23> | 24 | 24 | cnt/LTimer<5> | NULL | 28 | nWE_FSB | 29 | 30 | cnt/IS_FSM_FFd1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 33 | cnt/LTimer<11> | NULL | 34 | A_FSB<17> | 15 | 35 | A_FSB<14> | 12 | 36 | nAS_FSB | 32 | 38 | A_FSB<11> | 9 +FB_ORDER_OF_INPUTS | FOOBAR4_ | 39 | A_FSB<22> | 20 | 40 | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | NULL | 42 | nBR_IOB_OBUF | NULL | 43 | A_FSB<10> | 8 | 44 | A_FSB<13> | 11 +FB_ORDER_OF_INPUTS | FOOBAR4_ | 46 | A_FSB<15> | 13 | 47 | A_FSB<21> | 19 | 48 | cnt/LTimer<4> | NULL | 49 | A_FSB<8> | 6 | 50 | A_FSB<18> | 16 +FB_ORDER_OF_INPUTS | FOOBAR4_ | 51 | AoutOE | NULL | 52 | cnt/LTimer<6> | NULL | 53 | cnt/WS<0> | NULL -FB_IMUX_INDEX | FOOBAR4_ | 108 | 55 | 110 | 111 | 196 | 214 | 114 | 97 | 26 | 63 | 10 | -1 | 30 | 121 | 122 | 69 | 124 | 125 | -1 | 226 | -1 | 222 | 206 | 240 | -1 | -1 | -1 | -1 | 256 | 102 | 141 | 198 | -1 | 123 | 216 | 210 | 268 | 128 | 62 | 230 | 194 | -1 | 140 | 87 | 208 | 228 | 212 | 71 | 120 | 117 | 218 | -1 | 202 | -1 +FB_IMUX_INDEX | FOOBAR4_ | 108 | 37 | 110 | 111 | 196 | 214 | 24 | 97 | 62 | 63 | 28 | 11 | 102 | 121 | 122 | 69 | 124 | 71 | -1 | 226 | -1 | 222 | 206 | 240 | 117 | -1 | -1 | -1 | 256 | -1 | 141 | -1 | -1 | 123 | 216 | 210 | 268 | -1 | 202 | 230 | 125 | -1 | 140 | 198 | 208 | -1 | 212 | 228 | 120 | 194 | 218 | 55 | 114 | 65 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 0 | ram/RS_FSM_FFd3 | NULL | 1 | ram/RS_FSM_FFd2 | NULL | 2 | A_FSB<21> | 19 | 4 | ram/RS_FSM_FFd5 | NULL | 5 | ram/RefDone | NULL -FB_ORDER_OF_INPUTS | FOOBAR5_ | 6 | ram/BACTr | NULL | 7 | cs/nOverlay | NULL | 8 | nAS_FSB | 32 | 9 | ram/RASEN | NULL | 10 | A_FSB<11> | 9 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 11 | RefUrg | NULL | 12 | RefReq | NULL | 13 | A_FSB<19> | 17 | 14 | A_FSB<7> | 4 | 15 | iobs/Sent | NULL -FB_ORDER_OF_INPUTS | FOOBAR5_ | 16 | A_FSB<13> | 11 | 17 | ram/RS_FSM_FFd7 | NULL | 19 | A_FSB<20> | 18 | 20 | A_FSB<16> | 14 | 22 | A_FSB<12> | 10 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 23 | A_FSB<23> | 24 | 24 | A_FSB<22> | 20 | 25 | ram/DTACKr | NULL | 27 | ram/RS_FSM_FFd1 | NULL | 28 | nWE_FSB | 29 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 30 | A_FSB<4> | 97 | 33 | ram/RASEL | NULL | 34 | A_FSB<17> | 15 | 35 | A_FSB<14> | 12 | 36 | fsb/ASrf | NULL -FB_ORDER_OF_INPUTS | FOOBAR5_ | 38 | ram/RS_FSM_FFd6 | NULL | 39 | A_FSB<5> | 2 | 41 | cnt/WS<0> | NULL | 42 | A_FSB<3> | 96 | 43 | IONPReady | NULL -FB_ORDER_OF_INPUTS | FOOBAR5_ | 45 | A_FSB<18> | 16 | 47 | ram/RS_FSM_FFd8 | NULL | 48 | iobs/IODONEr | NULL | 50 | ram/RS_FSM_FFd4 | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 0 | A_FSB<20> | 18 | 1 | ram/RS_FSM_FFd2 | NULL | 2 | ram/RS_FSM_FFd1 | NULL | 4 | A_FSB<22> | 20 | 5 | ram/RefDone | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 6 | ram/RS_FSM_FFd4 | NULL | 8 | A_FSB<3> | 96 | 9 | fsb/ASrf | NULL | 10 | ram/RS_FSM_FFd5 | NULL | 12 | RefUrg | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 13 | RefReq | NULL | 14 | A_FSB<7> | 4 | 16 | A_FSB<13> | 11 | 17 | ram/RS_FSM_FFd6 | NULL | 19 | ram/RASrr | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 21 | A_FSB<19> | 17 | 22 | A_FSB<12> | 10 | 23 | A_FSB<23> | 24 | 24 | ram/RASEN | NULL | 28 | nWE_FSB | 29 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 30 | ram/RASEL | NULL | 33 | nAS_FSB | 32 | 35 | ram/BACTr | NULL | 36 | A_FSB<4> | 97 | 37 | cs/nOverlay | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 38 | A_FSB<11> | 9 | 39 | A_FSB<5> | 2 | 42 | ram/RS_FSM_FFd3 | NULL | 45 | A_FSB<21> | 19 | 46 | RAMReady | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 47 | ram/RS_FSM_FFd7 | NULL | 51 | ram/DTACKr | NULL | 53 | A_FSB<16> | 14 -FB_IMUX_INDEX | FOOBAR5_ | 0 | 1 | 228 | -1 | 40 | 5 | 60 | 43 | 268 | 81 | 202 | 11 | 12 | 222 | 190 | 51 | 208 | 17 | -1 | 226 | 214 | -1 | 206 | 240 | 230 | 22 | -1 | 2 | 256 | -1 | 172 | -1 | -1 | 42 | 216 | 210 | 63 | -1 | 39 | 182 | -1 | 79 | 168 | 87 | -1 | 218 | -1 | 72 | 23 | -1 | 53 | -1 | -1 | -1 +FB_IMUX_INDEX | FOOBAR5_ | 226 | 1 | 2 | -1 | 230 | 5 | 6 | -1 | 168 | 63 | 46 | -1 | 12 | 13 | 190 | -1 | 208 | 17 | -1 | 84 | -1 | 222 | 206 | 240 | 78 | -1 | -1 | -1 | 256 | -1 | 81 | -1 | -1 | 268 | -1 | 60 | 172 | 41 | 202 | 182 | -1 | -1 | 0 | -1 | -1 | 228 | 89 | 72 | -1 | -1 | -1 | 20 | -1 | 214 -FB_ORDER_OF_INPUTS | FOOBAR6_ | 0 | iobm/IOS_FSM_FFd6 | NULL | 1 | nVMA_IOBout | NULL | 2 | iobm/IOS_FSM_FFd7 | NULL | 3 | iobm/IOS_FSM_FFd3 | NULL | 4 | iobm/ES<0> | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 5 | iobm/ES<3> | NULL | 6 | iobm/ES<1> | NULL | 7 | nDTACK_IOB | 78 | 8 | nLDS_IOBout | NULL | 9 | IODONE | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 10 | iobm/IOS_FSM_FFd4 | NULL | 11 | iobm/IOS_FSM_FFd1 | NULL | 12 | iobs/Clear1 | NULL | 13 | iobm/ES<2> | NULL | 14 | iobm/C8Mr | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 15 | ALE0M | NULL | 16 | iobm/IOS_FSM_FFd2 | NULL | 17 | IOACT | NULL | 20 | IOL0 | NULL | 21 | iobm/IOWRREQr | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 27 | nUDS_IOBout | NULL | 29 | iobm/IOS0 | NULL | 33 | E | 25 | 34 | iobm/VPAr | NULL | 38 | iobm/IOS_FSM_FFd5 | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 40 | IOBERR | NULL | 41 | nRES | 91 | 42 | iobm/DoutOE | NULL | 43 | IOU0 | NULL | 46 | ALE1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 47 | iobm/IORDREQr | NULL | 48 | iobs/Load1 | NULL | 49 | ALE0S | NULL | 50 | iobm/Er | NULL | 51 | AoutOE | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 52 | nAS_IOBout | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 0 | iobm/IOS_FSM_FFd6 | NULL | 1 | AoutOE | NULL | 2 | iobs/Clear1 | NULL | 3 | ALE0S | NULL | 4 | iobm/ES<0> | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 5 | iobm/VPAr | NULL | 6 | iobm/ES<1> | NULL | 7 | nDTACK_IOB | 78 | 8 | iobm/IOS_FSM_FFd4 | NULL | 9 | iobm/IOS_FSM_FFd1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 10 | nUDS_IOBout | NULL | 11 | iobm/Er | NULL | 12 | iobm/C8Mr | NULL | 13 | ALE1 | NULL | 15 | iobm/IOS_FSM_FFd2 | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 16 | nRES | 91 | 17 | iobm/ES<2> | NULL | 20 | iobm/IOS_FSM_FFd3 | NULL | 23 | iobm/IOS_FSM_FFd5 | NULL | 25 | IOU0 | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 27 | IOL0 | NULL | 28 | iobm/IORDREQr | NULL | 33 | E | 25 | 34 | iobm/DoutOE | NULL | 38 | ALE0M | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 39 | iobm/ES<3> | NULL | 41 | nLDS_IOBout | NULL | 42 | iobm/IOWRREQr | NULL | 43 | iobm/IOS0 | NULL | 44 | nVMA_IOBout | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 46 | IOBERR | NULL | 47 | IODONE | NULL | 48 | iobs/Load1 | NULL | 51 | iobm/IOS_FSM_FFd7 | NULL | 52 | nAS_IOBout | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 53 | IOACT | NULL -FB_IMUX_INDEX | FOOBAR6_ | 90 | 91 | 92 | 93 | 94 | 95 | 96 | 167 | 98 | 99 | 28 | 29 | 48 | 13 | 32 | 105 | 34 | 107 | -1 | -1 | 126 | 26 | -1 | -1 | -1 | -1 | -1 | 100 | -1 | 102 | -1 | -1 | -1 | 242 | 25 | -1 | -1 | -1 | 27 | -1 | 35 | 148 | 97 | 139 | -1 | -1 | 103 | 30 | 47 | 66 | 31 | 55 | 101 | -1 +FB_IMUX_INDEX | FOOBAR6_ | 90 | 55 | 38 | 39 | 94 | 23 | 96 | 167 | 26 | 27 | 100 | 29 | 30 | 103 | -1 | 33 | 148 | 35 | -1 | -1 | 93 | -1 | -1 | 25 | -1 | 139 | -1 | 126 | 28 | -1 | -1 | -1 | -1 | 242 | 97 | -1 | -1 | -1 | 105 | 95 | -1 | 98 | 24 | 102 | 91 | -1 | 34 | 99 | 47 | -1 | -1 | 92 | 101 | 107 -FB_ORDER_OF_INPUTS | FOOBAR7_ | 0 | cnt/LTimer<9> | NULL | 1 | A_FSB<14> | 12 | 2 | A_FSB<8> | 6 | 3 | cnt/Er<1> | NULL | 4 | cnt/Er<0> | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 6 | ram/RASEL | NULL | 7 | A_FSB<17> | 15 | 8 | cnt/TimerTC | NULL | 9 | A_FSB<18> | 16 | 10 | A_FSB<6> | 3 -FB_ORDER_OF_INPUTS | FOOBAR7_ | 12 | cnt/LTimer<4> | NULL | 13 | A_FSB<19> | 17 | 14 | cnt/LTimer<2> | NULL | 15 | cnt/LTimer<11> | NULL | 16 | A_FSB<13> | 11 -FB_ORDER_OF_INPUTS | FOOBAR7_ | 17 | A_FSB<22> | 20 | 19 | A_FSB<20> | 18 | 20 | A_FSB<16> | 14 | 22 | A_FSB<12> | 10 | 23 | A_FSB<23> | 24 -FB_ORDER_OF_INPUTS | FOOBAR7_ | 24 | A_FSB<15> | 13 | 26 | cnt/LTimer<0> | NULL | 28 | nWE_FSB | 29 | 29 | cnt/LTimer<10> | NULL | 30 | cnt/IS_FSM_FFd1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 31 | A_FSB<10> | 8 | 33 | nAS_FSB | 32 | 36 | fsb/ASrf | NULL | 38 | A_FSB<11> | 9 | 39 | cnt/IS_FSM_FFd2 | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 40 | A_FSB<7> | 4 | 44 | cnt/LTimer<8> | NULL | 45 | A_FSB<1> | 94 | 46 | cnt/LTimer<3> | NULL | 47 | A_FSB<21> | 19 -FB_ORDER_OF_INPUTS | FOOBAR7_ | 48 | A_FSB<2> | 95 | 49 | cnt/LTimer<5> | NULL | 50 | cnt/LTimer<1> | NULL | 51 | cnt/LTimer<7> | NULL | 52 | cnt/LTimer<6> | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 0 | A_FSB<20> | 18 | 2 | A_FSB<8> | 6 | 3 | A_FSB<15> | 13 | 4 | cnt/Er<1> | NULL | 6 | cnt/LTimer<6> | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 7 | A_FSB<17> | 15 | 8 | nAS_FSB | 32 | 9 | A_FSB<18> | 16 | 10 | A_FSB<6> | 3 | 12 | cnt/LTimer<4> | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 13 | A_FSB<19> | 17 | 14 | cnt/Er<0> | NULL | 15 | cnt/LTimer<1> | NULL | 16 | A_FSB<13> | 11 | 17 | A_FSB<22> | 20 +FB_ORDER_OF_INPUTS | FOOBAR7_ | 19 | cnt/IS_FSM_FFd1 | NULL | 20 | A_FSB<16> | 14 | 22 | A_FSB<12> | 10 | 23 | A_FSB<23> | 24 | 24 | cnt/LTimer<5> | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 26 | cnt/LTimer<0> | NULL | 27 | cnt/LTimer<7> | NULL | 28 | nWE_FSB | 29 | 29 | cnt/LTimer<10> | NULL | 30 | ram/RASEL | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 33 | cnt/LTimer<11> | NULL | 35 | A_FSB<14> | 12 | 36 | fsb/ASrf | NULL | 38 | A_FSB<11> | 9 | 39 | cnt/LTimer<2> | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 40 | A_FSB<7> | 4 | 41 | cnt/IS_FSM_FFd2 | NULL | 43 | A_FSB<10> | 8 | 44 | cnt/LTimer<8> | NULL | 45 | A_FSB<1> | 94 +FB_ORDER_OF_INPUTS | FOOBAR7_ | 46 | cnt/LTimer<3> | NULL | 47 | A_FSB<21> | 19 | 48 | A_FSB<2> | 95 | 50 | cnt/LTimer<9> | NULL | 51 | cnt/TimerTC | NULL FB_ORDER_OF_INPUTS | FOOBAR7_ | 53 | A_FSB<9> | 7 -FB_IMUX_INDEX | FOOBAR7_ | 108 | 210 | 194 | 3 | 4 | -1 | 42 | 216 | 8 | 218 | 186 | -1 | 120 | 222 | 122 | 123 | 208 | 230 | -1 | 226 | 214 | -1 | 206 | 240 | 212 | -1 | 71 | -1 | 256 | 124 | 141 | 198 | -1 | 268 | -1 | -1 | 63 | -1 | 202 | 10 | 190 | -1 | -1 | -1 | 110 | 156 | 121 | 228 | 164 | 117 | 69 | 111 | 114 | 196 +FB_IMUX_INDEX | FOOBAR7_ | 226 | -1 | 194 | 212 | 4 | -1 | 114 | 216 | 268 | 218 | 186 | -1 | 120 | 222 | 32 | 69 | 208 | 230 | -1 | 141 | 214 | -1 | 206 | 240 | 117 | -1 | 71 | 111 | 256 | 124 | 81 | -1 | -1 | 123 | -1 | 210 | 63 | -1 | 202 | 122 | 190 | 11 | -1 | 198 | 110 | 156 | 121 | 228 | 164 | -1 | 108 | 9 | -1 | 196 -FB_ORDER_OF_INPUTS | FOOBAR8_ | 0 | A_FSB<20> | 18 | 2 | iobs/TS_FSM_FFd2 | NULL | 3 | ram/RASrf | NULL | 4 | A_FSB<22> | 20 | 5 | A_FSB<16> | 14 -FB_ORDER_OF_INPUTS | FOOBAR8_ | 6 | iobs/IOU1 | NULL | 7 | iobs/IOL1 | NULL | 8 | nAS_FSB | 32 | 9 | fsb/ASrf | NULL | 10 | cnt/IS_FSM_FFd2 | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 11 | nBERR_FSB_OBUF.UIM | NULL | 12 | nUDS_FSB | 33 | 13 | ALE1 | NULL | 14 | A_FSB<23> | 24 | 15 | cnt/nIPL2r | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 16 | iobs/IORW1 | NULL | 17 | IOBERR | NULL | 19 | cnt/IS_FSM_FFd1 | NULL | 21 | A_FSB<19> | 17 | 23 | ram/RASEL | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 27 | IOL0 | NULL | 28 | nWE_FSB | 29 | 29 | nLDS_FSB | 30 | 30 | ram/RASEN | NULL | 33 | cnt/TimerTC | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 34 | A_FSB<17> | 15 | 35 | A_FSB<14> | 12 | 36 | cnt/Er<0> | NULL | 37 | iobs/TS_FSM_FFd1 | NULL | 39 | nBR_IOB_OBUF | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 41 | IOWRREQ | NULL | 42 | iobs/IOACTr | NULL | 43 | IOU0 | NULL | 44 | A_FSB<13> | 11 | 45 | A_FSB<21> | 19 -FB_ORDER_OF_INPUTS | FOOBAR8_ | 46 | ram/RASrr | NULL | 47 | cnt/Er<1> | NULL | 49 | cs/nOverlay | NULL | 50 | A_FSB<18> | 16 | 52 | iobs/Sent | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 53 | cnt/LTimerTC | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 0 | A_FSB<20> | 18 | 1 | A_FSB<14> | 12 | 2 | iobs/TS_FSM_FFd2 | NULL | 3 | ram/RASrf | NULL | 4 | iobs/TS_FSM_FFd1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 5 | cs/nOverlay | NULL | 6 | ram/RASEN | NULL | 7 | iobs/IOU1 | NULL | 8 | iobs/IOL1 | NULL | 9 | cnt/TimerTC | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 11 | cnt/IS_FSM_FFd2 | NULL | 12 | cnt/LTimerTC | NULL | 13 | cnt/nIPL2r | NULL | 14 | nBR_IOB_OBUF | NULL | 15 | cnt/IS_FSM_FFd1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 16 | IOBERR | NULL | 17 | A_FSB<22> | 20 | 19 | ram/RASrr | NULL | 20 | A_FSB<16> | 14 | 21 | A_FSB<19> | 17 +FB_ORDER_OF_INPUTS | FOOBAR8_ | 23 | A_FSB<23> | 24 | 24 | fsb/ASrf | NULL | 25 | iobs/IOACTr | NULL | 27 | IOL0 | NULL | 28 | nWE_FSB | 29 +FB_ORDER_OF_INPUTS | FOOBAR8_ | 29 | nLDS_FSB | 30 | 30 | ram/RASEL | NULL | 33 | nAS_FSB | 32 | 34 | A_FSB<17> | 15 | 35 | nBERR_FSB_OBUF.UIM | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 36 | cnt/Er<1> | NULL | 37 | cnt/Er<0> | NULL | 42 | iobs/Sent | NULL | 43 | IOU0 | NULL | 44 | A_FSB<13> | 11 +FB_ORDER_OF_INPUTS | FOOBAR8_ | 47 | A_FSB<21> | 19 | 49 | ALE1 | NULL | 50 | A_FSB<18> | 16 | 51 | nUDS_FSB | 33 | 52 | iobs/IORW1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 53 | IOWRREQ | NULL -FB_IMUX_INDEX | FOOBAR8_ | 226 | -1 | 128 | 21 | 230 | 214 | 6 | 7 | 268 | 63 | 10 | 137 | 272 | 103 | 240 | 33 | 142 | 35 | -1 | 141 | -1 | 222 | -1 | 42 | -1 | -1 | -1 | 126 | 256 | 260 | 81 | -1 | -1 | 8 | 216 | 210 | 4 | 41 | -1 | 140 | -1 | 134 | 24 | 139 | 208 | 228 | 89 | 3 | -1 | 43 | 218 | -1 | 51 | 65 +FB_IMUX_INDEX | FOOBAR8_ | 226 | 210 | 128 | 3 | 40 | 41 | 78 | 7 | 8 | 9 | -1 | 11 | 66 | 31 | 140 | 141 | 34 | 230 | -1 | 84 | 214 | 222 | -1 | 240 | 63 | 22 | -1 | 126 | 256 | 260 | 81 | -1 | -1 | 268 | 216 | 137 | 4 | 32 | -1 | -1 | -1 | -1 | 50 | 139 | 208 | -1 | -1 | 228 | -1 | 103 | 218 | 272 | 142 | 134 GLOBAL_FCLK | C16M | 0 | 0 | C8M | 1 | 1 | FCLK | 2 | 2 diff --git a/cpld/XC95144XL/WarpSE.xml b/cpld/XC95144XL/WarpSE.xml deleted file mode 100644 index f855bfa..0000000 --- a/cpld/XC95144XL/WarpSE.xml +++ /dev/null @@ -1,3 +0,0 @@ - - -WarpSE.rptC:/Xilinx/14.7/ISE_DS/ISE/xc9500xl/data/xc95144xl.chpWarpSE.mfd
Cpld - Unable to retrieve the path to the iSE Project Repository. Will use the default filename of 'WarpSE.ise'.INFO:Cpld - Inferring BUFG constraint for signal 'C16M' based upon the LOC constraint 'P22'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.INFO:Cpld - Inferring BUFG constraint for signal 'C8M' based upon the LOC constraint 'P23'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.INFO:Cpld - Inferring BUFG constraint for signal 'FCLK' based upon the LOC constraint 'P27'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.Cpld:1007 - Removing unused input(s) 'C20MEN'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'SW<1>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'SW<2>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'SW<3>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'nBG_IOB'. The input(s) are unused after optimization. Please verify functionality via simulation. diff --git a/cpld/XC95144XL/WarpSE_build.xml b/cpld/XC95144XL/WarpSE_build.xml deleted file mode 100644 index 32b4f37..0000000 --- a/cpld/XC95144XL/WarpSE_build.xml +++ /dev/null @@ -1,235 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/cpld/XC95144XL/WarpSE_html/fit/ascii.htm b/cpld/XC95144XL/WarpSE_html/fit/ascii.htm index e72ed6f..3e0c382 100644 --- a/cpld/XC95144XL/WarpSE_html/fit/ascii.htm +++ b/cpld/XC95144XL/WarpSE_html/fit/ascii.htm @@ -3,7 +3,7 @@ cpldfit: version P.20131013 Xilinx Inc. Fitter Report -Design Name: WarpSE Date: 4- 9-2023, 5:01AM +Design Name: WarpSE Date: 4-17-2023, 2:26AM Device Used: XC95144XL-10-TQ100 Fitting Status: Successful @@ -11,22 +11,22 @@ Fitting Status: Successful Macrocells Product Terms Function Block Registers Pins Used/Tot Used/Tot Inps Used/Tot Used/Tot Used/Tot -125/144 ( 87%) 438 /720 ( 61%) 285/432 ( 66%) 100/144 ( 69%) 70 /81 ( 86%) +123/144 ( 85%) 479 /720 ( 67%) 286/432 ( 66%) 99 /144 ( 69%) 70 /81 ( 86%) ** Function Block Resources ** Function Mcells FB Inps Pterms IO Block Used/Tot Used/Tot Used/Tot Used/Tot -FB1 18/18* 28/54 40/90 11/11* -FB2 15/18 16/54 16/90 8/10 -FB3 16/18 39/54 81/90 10/10* -FB4 17/18 42/54 62/90 10/10* -FB5 11/18 42/54 38/90 8/10 +FB1 18/18* 30/54 46/90 11/11* +FB2 16/18 21/54 20/90 8/10 +FB3 15/18 41/54 81/90 10/10* +FB4 13/18 43/54 50/90 10/10* +FB5 13/18 33/54 81/90 8/10 FB6 18/18* 36/54 68/90 10/10* FB7 18/18* 41/54 52/90 7/10 FB8 12/18 41/54 81/90 6/10 ----- ----- ----- ----- - 125/144 285/432 438/720 70/81 + 123/144 286/432 479/720 70/81 * - Resource is exhausted @@ -53,7 +53,7 @@ GSR : 0 0 | ** Power Data ** -There are 125 macrocells in high performance mode (MCHP). +There are 123 macrocells in high performance mode (MCHP). There are 0 macrocells in low power mode (MCLP). End of Mapped Resource Summary ************************** Errors and Warnings *************************** @@ -97,10 +97,10 @@ nAoutOE 2 4 FB4_2 87 I/O O nDoutOE 2 5 FB4_5 89 I/O O STD FAST nDinOE 3 6 FB4_6 90 I/O O STD FAST nRES 1 1 FB4_8 91 I/O I/O STD FAST -nVPA_FSB 3 12 FB4_11 93 I/O O STD FAST RESET +nVPA_FSB 3 11 FB4_11 93 I/O O STD FAST RESET nROMCS 2 5 FB5_2 35 I/O O STD FAST -nCAS 1 1 FB5_5 36 I/O O STD FAST RESET -nOE 1 2 FB5_6 37 I/O O STD FAST +nCAS 14 16 FB5_5 36 I/O O STD FAST RESET +nOE 3 5 FB5_6 37 I/O O STD FAST RESET RA<4> 2 3 FB5_9 40 I/O O STD FAST RA<3> 2 3 FB5_11 41 I/O O STD FAST RA<5> 2 3 FB5_12 42 I/O O STD FAST @@ -122,80 +122,78 @@ RA<9> 2 3 FB7_11 56 I/O O C25MEN 0 0 FB7_12 58 I/O O STD FAST RA<11> 2 3 FB8_2 63 I/O O STD FAST nRAS 3 7 FB8_5 64 I/O O STD FAST -nRAMLWE 1 4 FB8_6 65 I/O O STD FAST -nRAMUWE 1 4 FB8_8 66 I/O O STD FAST +nRAMLWE 1 3 FB8_6 65 I/O O STD FAST +nRAMUWE 1 3 FB8_8 66 I/O O STD FAST nBERR_FSB 3 5 FB8_12 70 I/O O STD FAST RESET nBR_IOB 2 4 FB8_15 72 I/O O STD FAST RESET -** 90 Buried Nodes ** +** 88 Buried Nodes ** Signal Total Total Loc Pwr Reg Init Name Pts Inps Mode State -iobs/Clear1 1 2 FB1_1 STD RESET -iobm/Er 1 1 FB1_2 STD RESET -cnt/nIPL2r 1 1 FB1_3 STD RESET -cnt/Er<1> 1 1 FB1_4 STD RESET -cnt/Er<0> 1 1 FB1_5 STD RESET -ram/RS_FSM_FFd4 2 4 FB1_6 STD RESET -iobs/TS_FSM_FFd1 2 3 FB1_7 STD RESET +ram/RS_FSM_FFd3 1 2 FB1_1 STD RESET +ram/RS_FSM_FFd2 1 1 FB1_2 STD RESET +ram/RS_FSM_FFd1 1 1 FB1_3 STD RESET +ram/RASrf 1 2 FB1_4 STD RESET +cnt/Er<1> 1 1 FB1_5 STD RESET +ram/RefDone 2 6 FB1_6 STD RESET +ram/RS_FSM_FFd4 2 3 FB1_7 STD RESET iobs/IOU1 2 2 FB1_8 STD RESET -cnt/Timer<0> 2 4 FB1_9 STD RESET -cnt/IS_FSM_FFd2 2 6 FB1_10 STD RESET -RefUrg 2 6 FB1_11 STD RESET -RefReq 2 6 FB1_12 STD RESET -IOPWReady 2 3 FB1_13 STD RESET -IOBERR 2 2 FB1_14 STD RESET -iobm/ES<2> 3 5 FB1_15 STD RESET -cnt/Timer<1> 4 5 FB1_16 STD RESET -cnt/Timer<3> 5 7 FB1_17 STD RESET -cnt/Timer<2> 5 6 FB1_18 STD RESET -ram/RS_FSM_FFd5 1 1 FB2_4 STD RESET -ram/RS_FSM_FFd3 1 1 FB2_5 STD RESET -ram/RS_FSM_FFd2 1 1 FB2_6 STD RESET -ram/RS_FSM_FFd1 1 1 FB2_7 STD RESET -ram/RASrf 1 1 FB2_8 STD RESET -iobs/IODONEr 1 1 FB2_9 STD RESET -iobs/IOACTr 1 1 FB2_10 STD RESET -iobm/VPAr 1 1 FB2_11 STD RESET -iobm/IOWRREQr 1 1 FB2_12 STD RESET -iobm/IOS_FSM_FFd5 1 1 FB2_13 STD RESET -iobm/IOS_FSM_FFd4 1 1 FB2_14 STD RESET -iobm/IOS_FSM_FFd1 1 1 FB2_15 STD RESET -iobm/IORDREQr 1 1 FB2_16 STD RESET -iobm/C8Mr 1 1 FB2_17 STD RESET -iobm/IOS_FSM_FFd2 2 4 FB2_18 STD RESET -iobs/Sent 13 18 FB3_1 STD RESET -ram/RefDone 2 5 FB3_2 STD RESET -ram/RS_FSM_FFd7 2 7 FB3_3 STD RESET -cs/nOverlay 2 5 FB3_4 STD RESET -ram/RS_FSM_FFd6 3 7 FB3_5 STD RESET -ram/RASrr 4 9 FB3_6 STD RESET -ram/RASEL 3 8 FB3_7 STD RESET +iobs/IOL1 2 2 FB1_9 STD RESET +cnt/TimerTC 2 6 FB1_10 STD RESET +cnt/Timer<0> 2 4 FB1_11 STD RESET +cnt/IS_FSM_FFd2 2 6 FB1_12 STD RESET +RefUrg 2 5 FB1_13 STD RESET +RefReq 2 6 FB1_14 STD RESET +cnt/Timer<1> 4 5 FB1_15 STD RESET +cnt/Timer<3> 5 7 FB1_16 STD RESET +cnt/Timer<2> 5 6 FB1_17 STD RESET +ram/RS_FSM_FFd6 9 11 FB1_18 STD RESET +ram/DTACKr 1 1 FB2_3 STD RESET +iobs/IODONEr 1 1 FB2_4 STD RESET +iobs/IOACTr 1 1 FB2_5 STD RESET +iobm/VPAr 1 1 FB2_6 STD RESET +iobm/IOWRREQr 1 1 FB2_7 STD RESET +iobm/IOS_FSM_FFd5 1 1 FB2_8 STD RESET +iobm/IOS_FSM_FFd4 1 1 FB2_9 STD RESET +iobm/IOS_FSM_FFd1 1 1 FB2_10 STD RESET +iobm/IORDREQr 1 1 FB2_11 STD RESET +iobm/Er 1 1 FB2_12 STD RESET +iobm/C8Mr 1 1 FB2_13 STD RESET +cnt/nIPL2r 1 1 FB2_14 STD RESET +cnt/Er<0> 1 1 FB2_15 STD RESET +iobm/IOS_FSM_FFd2 2 4 FB2_16 STD RESET +IOBERR 2 2 FB2_17 STD RESET +iobm/ES<2> 3 5 FB2_18 STD RESET +QoSReady 18 25 FB3_1 STD RESET +IONPReady 5 16 FB3_2 STD RESET +iobs/Clear1 1 2 FB3_3 STD RESET +ALE0S 1 1 FB3_4 STD RESET +iobs/TS_FSM_FFd1 2 3 FB3_5 STD RESET +cs/nOverlay 3 8 FB3_6 STD RESET Signal Total Total Loc Pwr Reg Init Name Pts Inps Mode State -ram/Once 3 8 FB3_8 STD RESET -RAMReady 4 8 FB3_10 STD RESET -ram/RS_FSM_FFd8 5 11 FB3_11 STD SET -ram/RAMEN 6 10 FB3_12 STD RESET -ram/CAS 7 13 FB3_14 STD RESET -iobs/Load1 8 17 FB3_15 STD RESET -IORDREQ 9 15 FB3_16 STD RESET -QoSReady 18 25 FB4_1 STD RESET -fsb/ASrf 1 1 FB4_3 STD RESET -cnt/WS<0> 1 3 FB4_4 STD RESET -$OpTx$$OpTx$FX_DC$354_INV$541 1 2 FB4_7 STD -iobs/IOL1 2 2 FB4_9 STD RESET -cs/ODCSr 2 6 FB4_10 STD RESET -cnt/WS<2> 3 5 FB4_12 STD RESET -cnt/WS<1> 3 4 FB4_13 STD RESET -IONPReady 5 16 FB4_14 STD RESET -cnt/LTimer<1> 11 24 FB4_15 STD RESET -cnt/WS<3> 3 6 FB4_16 STD RESET -nRESout 1 2 FB4_17 STD RESET -cnt/LTimerTC 1 12 FB5_13 STD RESET -ALE0S 1 1 FB5_16 STD RESET -cnt/LTimer<0> 22 34 FB5_18 STD RESET +cnt/WS<3> 3 6 FB3_7 STD RESET +cnt/WS<2> 3 5 FB3_8 STD RESET +cnt/WS<1> 3 4 FB3_10 STD RESET +ram/RS_FSM_FFd5 2 7 FB3_11 STD RESET +iobs/Load1 8 17 FB3_12 STD RESET +IORDREQ 9 15 FB3_14 STD RESET +iobs/Sent 13 18 FB3_15 STD RESET +ram/BACTr 1 2 FB4_7 STD RESET +nRESout 1 2 FB4_9 STD RESET +fsb/ASrf 1 1 FB4_10 STD RESET +cnt/WS<0> 1 3 FB4_12 STD RESET +cnt/LTimerTC 1 12 FB4_13 STD RESET +$OpTx$$OpTx$FX_DC$346_INV$533 1 2 FB4_14 STD +cnt/LTimer<1> 11 24 FB4_16 STD RESET +cnt/LTimer<0> 22 34 FB4_18 STD RESET +ram/RS_FSM_FFd7 13 13 FB5_1 STD SET +ram/RASEN 11 16 FB5_7 STD RESET +ram/RASEL 4 14 FB5_10 STD RESET +ram/RASrr 12 18 FB5_13 STD RESET +RAMReady 12 17 FB5_18 STD RESET iobm/IOS_FSM_FFd6 2 5 FB6_1 STD RESET iobm/IOS_FSM_FFd7 3 6 FB6_3 STD SET iobm/IOS_FSM_FFd3 3 5 FB6_4 STD RESET @@ -214,11 +212,11 @@ cnt/LTimer<6> 3 12 FB7_7 STD RESET cnt/LTimer<5> 3 11 FB7_10 STD RESET cnt/LTimer<4> 3 10 FB7_13 STD RESET cnt/LTimer<3> 3 9 FB7_14 STD RESET +cnt/LTimer<2> 3 8 FB7_15 STD RESET +cnt/LTimer<11> 3 17 FB7_16 STD RESET Signal Total Total Loc Pwr Reg Init Name Pts Inps Mode State -cnt/LTimer<2> 3 8 FB7_15 STD RESET -cnt/LTimer<11> 3 17 FB7_16 STD RESET cnt/LTimer<10> 3 16 FB7_17 STD RESET cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 10 36 FB7_18 STD IOL0 16 21 FB8_1 STD RESET @@ -284,291 +282,289 @@ Pin Type/Use - I - Input GCK - Global Clock X - Signal used as input to the macrocell logic. Pin No. - ~ - User Assigned *********************************** FB1 *********************************** -Number of function block inputs used/remaining: 28/26 -Number of signals used by logic mapping into function block: 28 +Number of function block inputs used/remaining: 30/24 +Number of signals used by logic mapping into function block: 30 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -iobs/Clear1 1 0 0 4 FB1_1 (b) (b) -iobm/Er 1 0 0 4 FB1_2 11 I/O I -cnt/nIPL2r 1 0 0 4 FB1_3 12 I/O I -cnt/Er<1> 1 0 0 4 FB1_4 (b) (b) -cnt/Er<0> 1 0 0 4 FB1_5 13 I/O I -ram/RS_FSM_FFd4 2 0 0 3 FB1_6 14 I/O I -iobs/TS_FSM_FFd1 2 0 0 3 FB1_7 (b) (b) +ram/RS_FSM_FFd3 1 0 /\4 0 FB1_1 (b) (b) +ram/RS_FSM_FFd2 1 0 0 4 FB1_2 11 I/O I +ram/RS_FSM_FFd1 1 0 0 4 FB1_3 12 I/O I +ram/RASrf 1 0 0 4 FB1_4 (b) (b) +cnt/Er<1> 1 0 0 4 FB1_5 13 I/O I +ram/RefDone 2 0 0 3 FB1_6 14 I/O I +ram/RS_FSM_FFd4 2 0 0 3 FB1_7 (b) (b) iobs/IOU1 2 0 0 3 FB1_8 15 I/O I -cnt/Timer<0> 2 0 0 3 FB1_9 16 I/O I -cnt/IS_FSM_FFd2 2 0 0 3 FB1_10 (b) (b) -RefUrg 2 0 0 3 FB1_11 17 I/O I -RefReq 2 0 0 3 FB1_12 18 I/O I -IOPWReady 2 0 0 3 FB1_13 (b) (b) -IOBERR 2 0 0 3 FB1_14 19 I/O I -iobm/ES<2> 3 0 0 2 FB1_15 20 I/O I -cnt/Timer<1> 4 0 0 1 FB1_16 (b) (b) -cnt/Timer<3> 5 0 0 0 FB1_17 22 GCK/I/O GCK -cnt/Timer<2> 5 0 0 0 FB1_18 (b) (b) +iobs/IOL1 2 0 0 3 FB1_9 16 I/O I +cnt/TimerTC 2 0 0 3 FB1_10 (b) (b) +cnt/Timer<0> 2 0 0 3 FB1_11 17 I/O I +cnt/IS_FSM_FFd2 2 0 0 3 FB1_12 18 I/O I +RefUrg 2 0 0 3 FB1_13 (b) (b) +RefReq 2 0 0 3 FB1_14 19 I/O I +cnt/Timer<1> 4 0 0 1 FB1_15 20 I/O I +cnt/Timer<3> 5 0 0 0 FB1_16 (b) (b) +cnt/Timer<2> 5 0 0 0 FB1_17 22 GCK/I/O GCK +ram/RS_FSM_FFd6 9 4<- 0 0 FB1_18 (b) (b) Signals Used by Logic in Function Block - 1: E 11: cnt/Timer<3> 20: iobs/TS_FSM_FFd2 - 2: RefUrg 12: iobm/ES<0> 21: nADoutLE1 - 3: cnt/Er<0> 13: iobm/ES<1> 22: nAS_IOB - 4: cnt/Er<1> 14: iobm/ES<2> 23: nBERR_IOB - 5: cnt/IS_FSM_FFd1 15: iobm/Er 24: nIPL2 - 6: cnt/IS_FSM_FFd2 16: iobs/Clear1 25: nUDS_FSB - 7: cnt/LTimerTC 17: iobs/IOACTr 26: ram/RS_FSM_FFd1 - 8: cnt/Timer<0> 18: iobs/Load1 27: ram/RS_FSM_FFd5 - 9: cnt/Timer<1> 19: iobs/TS_FSM_FFd1 28: ram/RefDone - 10: cnt/Timer<2> + 1: A_FSB<22> 11: cnt/Timer<1> 21: ram/DTACKr + 2: A_FSB<23> 12: cnt/Timer<2> 22: ram/RASEN + 3: RefReq 13: cnt/Timer<3> 23: ram/RS_FSM_FFd1 + 4: RefUrg 14: cnt/TimerTC 24: ram/RS_FSM_FFd2 + 5: cnt/Er<0> 15: fsb/ASrf 25: ram/RS_FSM_FFd3 + 6: cnt/Er<1> 16: iobs/Load1 26: ram/RS_FSM_FFd4 + 7: cnt/IS_FSM_FFd1 17: nAS_FSB 27: ram/RS_FSM_FFd5 + 8: cnt/IS_FSM_FFd2 18: nLDS_FSB 28: ram/RS_FSM_FFd6 + 9: cnt/LTimerTC 19: nUDS_FSB 29: ram/RS_FSM_FFd7 + 10: cnt/Timer<0> 20: ram/BACTr 30: ram/RefDone Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -iobs/Clear1 ..................XX.................... 2 -iobm/Er X....................................... 1 -cnt/nIPL2r .......................X................ 1 -cnt/Er<1> ..X..................................... 1 -cnt/Er<0> X....................................... 1 -ram/RS_FSM_FFd4 .X.......................XXX............ 4 -iobs/TS_FSM_FFd1 ................X.XX.................... 3 -iobs/IOU1 .................X......X............... 2 -cnt/Timer<0> .XXX...X................................ 4 -cnt/IS_FSM_FFd2 .XXXXXX................................. 6 -RefUrg ..XX...XXXX............................. 6 -RefReq ..XX...XXXX............................. 6 -IOPWReady ...............X.X..X................... 3 -IOBERR .....................XX................. 2 -iobm/ES<2> X..........XXXX......................... 5 -cnt/Timer<1> .XXX...XX............................... 5 -cnt/Timer<3> .XXX...XXXX............................. 7 -cnt/Timer<2> .XXX...XXX.............................. 6 +ram/RS_FSM_FFd3 ....................X....X.............. 2 +ram/RS_FSM_FFd2 ...........................X............ 1 +ram/RS_FSM_FFd1 .......................X................ 1 +ram/RASrf ..........................XX............ 2 +cnt/Er<1> ....X................................... 1 +ram/RefDone ..XX..................XX...X.X.......... 6 +ram/RS_FSM_FFd4 ....................X....XX............. 3 +iobs/IOU1 ...............X..X..................... 2 +iobs/IOL1 ...............X.X...................... 2 +cnt/TimerTC ....XX...XXXX........................... 6 +cnt/Timer<0> ....XX...X...X.......................... 4 +cnt/IS_FSM_FFd2 ....XXXXX....X.......................... 6 +RefUrg ....XX....XXX........................... 5 +RefReq ....XX...XXXX........................... 6 +cnt/Timer<1> ....XX...XX..X.......................... 5 +cnt/Timer<3> ....XX...XXXXX.......................... 7 +cnt/Timer<2> ....XX...XXX.X.......................... 6 +ram/RS_FSM_FFd6 XXXX..........X.X..X.X..X...XX.......... 11 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB2 *********************************** -Number of function block inputs used/remaining: 16/38 -Number of signals used by logic mapping into function block: 16 +Number of function block inputs used/remaining: 21/33 +Number of signals used by logic mapping into function block: 21 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use (unused) 0 0 0 5 FB2_1 (b) (unused) 0 0 0 5 FB2_2 99 GSR/I/O -(unused) 0 0 0 5 FB2_3 (b) -ram/RS_FSM_FFd5 1 0 0 4 FB2_4 (b) (b) -ram/RS_FSM_FFd3 1 0 0 4 FB2_5 1 GTS/I/O (b) -ram/RS_FSM_FFd2 1 0 0 4 FB2_6 2 GTS/I/O I -ram/RS_FSM_FFd1 1 0 0 4 FB2_7 (b) (b) -ram/RASrf 1 0 0 4 FB2_8 3 GTS/I/O I -iobs/IODONEr 1 0 0 4 FB2_9 4 GTS/I/O I -iobs/IOACTr 1 0 0 4 FB2_10 (b) (b) -iobm/VPAr 1 0 0 4 FB2_11 6 I/O I -iobm/IOWRREQr 1 0 0 4 FB2_12 7 I/O I -iobm/IOS_FSM_FFd5 1 0 0 4 FB2_13 (b) (b) -iobm/IOS_FSM_FFd4 1 0 0 4 FB2_14 8 I/O I -iobm/IOS_FSM_FFd1 1 0 0 4 FB2_15 9 I/O I -iobm/IORDREQr 1 0 0 4 FB2_16 (b) (b) -iobm/C8Mr 1 0 0 4 FB2_17 10 I/O I -iobm/IOS_FSM_FFd2 2 0 0 3 FB2_18 (b) (b) +ram/DTACKr 1 0 0 4 FB2_3 (b) (b) +iobs/IODONEr 1 0 0 4 FB2_4 (b) (b) +iobs/IOACTr 1 0 0 4 FB2_5 1 GTS/I/O (b) +iobm/VPAr 1 0 0 4 FB2_6 2 GTS/I/O I +iobm/IOWRREQr 1 0 0 4 FB2_7 (b) (b) +iobm/IOS_FSM_FFd5 1 0 0 4 FB2_8 3 GTS/I/O I +iobm/IOS_FSM_FFd4 1 0 0 4 FB2_9 4 GTS/I/O I +iobm/IOS_FSM_FFd1 1 0 0 4 FB2_10 (b) (b) +iobm/IORDREQr 1 0 0 4 FB2_11 6 I/O I +iobm/Er 1 0 0 4 FB2_12 7 I/O I +iobm/C8Mr 1 0 0 4 FB2_13 (b) (b) +cnt/nIPL2r 1 0 0 4 FB2_14 8 I/O I +cnt/Er<0> 1 0 0 4 FB2_15 9 I/O I +iobm/IOS_FSM_FFd2 2 0 0 3 FB2_16 (b) (b) +IOBERR 2 0 0 3 FB2_17 10 I/O I +iobm/ES<2> 3 0 0 2 FB2_18 (b) (b) Signals Used by Logic in Function Block - 1: C8M 7: iobm/C8Mr 12: nVPA_IOB - 2: IOACT 8: iobm/IOS_FSM_FFd2 13: ram/RS_FSM_FFd2 - 3: IOBERR 9: iobm/IOS_FSM_FFd3 14: ram/RS_FSM_FFd3 - 4: IODONE 10: iobm/IOS_FSM_FFd5 15: ram/RS_FSM_FFd6 - 5: IORDREQ 11: iobm/IOS_FSM_FFd6 16: ram/RS_FSM_FFd7 - 6: IOWRREQ + 1: C8M 8: iobm/C8Mr 15: iobm/IOS_FSM_FFd5 + 2: E 9: iobm/ES<0> 16: iobm/IOS_FSM_FFd6 + 3: IOACT 10: iobm/ES<1> 17: nAS_IOB + 4: IOBERR 11: iobm/ES<2> 18: nBERR_IOB + 5: IODONE 12: iobm/Er 19: nDTACK_FSB + 6: IORDREQ 13: iobm/IOS_FSM_FFd2 20: nIPL2 + 7: IOWRREQ 14: iobm/IOS_FSM_FFd3 21: nVPA_IOB Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -ram/RS_FSM_FFd5 ...............X........................ 1 -ram/RS_FSM_FFd3 ..............X......................... 1 -ram/RS_FSM_FFd2 .............X.......................... 1 -ram/RS_FSM_FFd1 ............X........................... 1 -ram/RASrf ...............X........................ 1 -iobs/IODONEr ...X.................................... 1 -iobs/IOACTr .X...................................... 1 -iobm/VPAr ...........X............................ 1 -iobm/IOWRREQr .....X.................................. 1 -iobm/IOS_FSM_FFd5 ..........X............................. 1 -iobm/IOS_FSM_FFd4 .........X.............................. 1 -iobm/IOS_FSM_FFd1 .......X................................ 1 -iobm/IORDREQr ....X................................... 1 +ram/DTACKr ..................X..................... 1 +iobs/IODONEr ....X................................... 1 +iobs/IOACTr ..X..................................... 1 +iobm/VPAr ....................X................... 1 +iobm/IOWRREQr ......X................................. 1 +iobm/IOS_FSM_FFd5 ...............X........................ 1 +iobm/IOS_FSM_FFd4 ..............X......................... 1 +iobm/IOS_FSM_FFd1 ............X........................... 1 +iobm/IORDREQr .....X.................................. 1 +iobm/Er .X...................................... 1 iobm/C8Mr X....................................... 1 -iobm/IOS_FSM_FFd2 ..XX..X.X............................... 4 +cnt/nIPL2r ...................X.................... 1 +cnt/Er<0> .X...................................... 1 +iobm/IOS_FSM_FFd2 ...XX..X.....X.......................... 4 +IOBERR ................XX...................... 2 +iobm/ES<2> .X......XXXX............................ 5 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB3 *********************************** -Number of function block inputs used/remaining: 39/15 -Number of signals used by logic mapping into function block: 39 +Number of function block inputs used/remaining: 41/13 +Number of signals used by logic mapping into function block: 41 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -iobs/Sent 13 8<- 0 0 FB3_1 (b) (b) -ram/RefDone 2 0 /\3 0 FB3_2 23 GCK/I/O GCK/I -ram/RS_FSM_FFd7 2 0 0 3 FB3_3 (b) (b) -cs/nOverlay 2 0 0 3 FB3_4 (b) (b) -ram/RS_FSM_FFd6 3 0 0 2 FB3_5 24 I/O I -ram/RASrr 4 0 0 1 FB3_6 25 I/O I -ram/RASEL 3 0 \/2 0 FB3_7 (b) (b) -ram/Once 3 2<- \/4 0 FB3_8 27 GCK/I/O GCK -nDTACK_FSB 9 4<- 0 0 FB3_9 28 I/O O -RAMReady 4 0 \/1 0 FB3_10 (b) (b) -ram/RS_FSM_FFd8 5 1<- \/1 0 FB3_11 29 I/O I -ram/RAMEN 6 1<- 0 0 FB3_12 30 I/O I +QoSReady 18 13<- 0 0 FB3_1 (b) (b) +IONPReady 5 4<- /\4 0 FB3_2 23 GCK/I/O GCK/I +iobs/Clear1 1 0 /\4 0 FB3_3 (b) (b) +ALE0S 1 0 0 4 FB3_4 (b) (b) +iobs/TS_FSM_FFd1 2 0 0 3 FB3_5 24 I/O I +cs/nOverlay 3 0 \/2 0 FB3_6 25 I/O I +cnt/WS<3> 3 2<- \/4 0 FB3_7 (b) (b) +cnt/WS<2> 3 3<- \/5 0 FB3_8 27 GCK/I/O GCK +nDTACK_FSB 9 9<- /\3 2 FB3_9 28 I/O O +cnt/WS<1> 3 0 \/2 0 FB3_10 (b) (b) +ram/RS_FSM_FFd5 2 2<- \/5 0 FB3_11 29 I/O I +iobs/Load1 8 5<- \/2 0 FB3_12 30 I/O I (unused) 0 0 \/5 0 FB3_13 (b) (b) -ram/CAS 7 5<- \/3 0 FB3_14 32 I/O I -iobs/Load1 8 3<- 0 0 FB3_15 33 I/O I -IORDREQ 9 4<- 0 0 FB3_16 (b) (b) -nROMWE 1 0 /\4 0 FB3_17 34 I/O O +IORDREQ 9 7<- \/3 0 FB3_14 32 I/O I +iobs/Sent 13 8<- 0 0 FB3_15 33 I/O I +(unused) 0 0 /\5 0 FB3_16 (b) (b) +nROMWE 1 0 \/4 0 FB3_17 34 I/O O (unused) 0 0 \/5 0 FB3_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<13> 14: nRES.PIN 27: nADoutLE1 - 2: A_FSB<14> 15: QoSReady 28: nAS_FSB - 3: A_FSB<16> 16: RAMReady 29: nWE_FSB - 4: A_FSB<17> 17: RefReq 30: ram/Once - 5: A_FSB<18> 18: RefUrg 31: ram/RAMEN - 6: A_FSB<19> 19: cs/ODCSr 32: ram/RS_FSM_FFd2 - 7: A_FSB<20> 20: cs/nOverlay 33: ram/RS_FSM_FFd3 - 8: A_FSB<21> 21: fsb/ASrf 34: ram/RS_FSM_FFd4 - 9: A_FSB<22> 22: iobs/IOACTr 35: ram/RS_FSM_FFd5 - 10: A_FSB<23> 23: iobs/IORW1 36: ram/RS_FSM_FFd6 - 11: IONPReady 24: iobs/Sent 37: ram/RS_FSM_FFd7 - 12: IOPWReady 25: iobs/TS_FSM_FFd1 38: ram/RS_FSM_FFd8 - 13: IORDREQ 26: iobs/TS_FSM_FFd2 39: ram/RefDone - -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -iobs/Sent XXXXXXXXXX.........XX..XXXXXX........... 18 -ram/RefDone ................XX.............XX.....X. 5 -ram/RS_FSM_FFd7 ........XX.........XX......X..X......X.. 7 -cs/nOverlay .............X....XXX......X............ 5 -ram/RS_FSM_FFd6 ................XX..X......X......X..XX. 7 -ram/RASrr ........XX.........XX......X..X.X..X.X.. 9 -ram/RASEL ........XX.........XX......X..X.....XX.. 8 -ram/Once ........XX.........XX......X.XX......X.. 8 -nDTACK_FSB XXXXXXXXXXXX..XX....X......XX........... 17 -RAMReady ...............XXX..X......X.....X...XX. 8 -ram/RS_FSM_FFd8 ........XX......XX.XX......X..X..X...XX. 11 -ram/RAMEN ................XX..X......X.XX..X..XXX. 10 -ram/CAS ........XX......XX.XX......X..X...XXXXX. 13 -iobs/Load1 XXXXXXXXXX..........X..XXXXXX........... 17 -IORDREQ ......XXXX..X......XXXXXXXXXX........... 15 -nROMWE ...........................XX........... 2 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 - *********************************** FB4 *********************************** -Number of function block inputs used/remaining: 42/12 -Number of signals used by logic mapping into function block: 42 -Signal Total Imp Exp Unused Loc Pin Pin Pin -Name Pt Pt Pt Pt # Type Use -QoSReady 18 13<- 0 0 FB4_1 (b) (b) -nAoutOE 2 2<- /\5 0 FB4_2 87 I/O O -fsb/ASrf 1 0 /\2 2 FB4_3 (b) (b) -cnt/WS<0> 1 0 0 4 FB4_4 (b) (b) -nDoutOE 2 0 0 3 FB4_5 89 I/O O -nDinOE 3 0 0 2 FB4_6 90 I/O O -$OpTx$$OpTx$FX_DC$354_INV$541 - 1 0 0 4 FB4_7 (b) (b) -nRES 1 0 0 4 FB4_8 91 I/O I/O -iobs/IOL1 2 0 0 3 FB4_9 92 I/O I -cs/ODCSr 2 0 0 3 FB4_10 (b) (b) -nVPA_FSB 3 0 0 2 FB4_11 93 I/O O -cnt/WS<2> 3 0 \/2 0 FB4_12 94 I/O I -cnt/WS<1> 3 2<- \/4 0 FB4_13 (b) (b) -IONPReady 5 4<- \/4 0 FB4_14 95 I/O I -cnt/LTimer<1> 11 6<- 0 0 FB4_15 96 I/O I -cnt/WS<3> 3 0 /\2 0 FB4_16 (b) (b) -nRESout 1 0 \/3 1 FB4_17 97 I/O I -(unused) 0 0 \/5 0 FB4_18 (b) (b) - -Signals Used by Logic in Function Block - 1: $OpTx$$OpTx$FX_DC$354_INV$541 15: A_FSB<23> 29: fsb/ASrf - 2: A_FSB<10> 16: A_FSB<8> 30: iobm/DoutOE - 3: A_FSB<11> 17: A_FSB<9> 31: iobm/IORDREQr - 4: A_FSB<12> 18: IONPReady 32: iobm/IOS0 - 5: A_FSB<13> 19: QoSReady 33: iobm/IOWRREQr - 6: A_FSB<14> 20: cnt/IS_FSM_FFd1 34: iobs/IODONEr - 7: A_FSB<15> 21: cnt/IS_FSM_FFd2 35: iobs/Load1 - 8: A_FSB<16> 22: cnt/LTimer<0> 36: iobs/Sent - 9: A_FSB<17> 23: cnt/LTimer<1> 37: nAS_FSB - 10: A_FSB<18> 24: cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 38: nAoutOE - 11: A_FSB<19> 25: cnt/WS<0> 39: nBR_IOB - 12: A_FSB<20> 26: cnt/WS<1> 40: nLDS_FSB - 13: A_FSB<21> 27: cnt/WS<2> 41: nRESout - 14: A_FSB<22> 28: cnt/WS<3> 42: nWE_FSB + 1: $OpTx$$OpTx$FX_DC$346_INV$533 15: A_FSB<23> 29: cs/nOverlay + 2: A_FSB<10> 16: A_FSB<8> 30: fsb/ASrf + 3: A_FSB<11> 17: A_FSB<9> 31: iobs/IOACTr + 4: A_FSB<12> 18: IONPReady 32: iobs/IODONEr + 5: A_FSB<13> 19: IORDREQ 33: iobs/IORW1 + 6: A_FSB<14> 20: nRES.PIN 34: iobs/Sent + 7: A_FSB<15> 21: QoSReady 35: iobs/TS_FSM_FFd1 + 8: A_FSB<16> 22: RAMReady 36: iobs/TS_FSM_FFd2 + 9: A_FSB<17> 23: cnt/LTimer<0> 37: nADoutLE1 + 10: A_FSB<18> 24: cnt/LTimer<1> 38: nAS_FSB + 11: A_FSB<19> 25: cnt/WS<0> 39: nWE_FSB + 12: A_FSB<20> 26: cnt/WS<1> 40: ram/RASEN + 13: A_FSB<21> 27: cnt/WS<2> 41: ram/RS_FSM_FFd7 + 14: A_FSB<22> 28: cnt/WS<3> Signal 1 2 3 4 5 FB Name 0----+----0----+----0----+----0----+----0----+----0 Inputs -QoSReady XXXXXXXXXXXXXXXXX.X..XX.XXXX.............X........ 25 -nAoutOE ...................XX................XX........... 4 -fsb/ASrf ....................................X............. 1 -cnt/WS<0> ........................X...X.......X............. 3 -nDoutOE .............................XXXX....X............ 5 -nDinOE ...........XXXX.....................X....X........ 6 -$OpTx$$OpTx$FX_DC$354_INV$541 - ............................X.......X............. 2 -nRES ........................................X......... 1 -iobs/IOL1 ..................................X....X.......... 2 -cs/ODCSr ...........XXXX.............X.......X............. 6 -nVPA_FSB .......XXXXXXXX..XX.........X.......X............. 12 -cnt/WS<2> ........................XXX.X.......X............. 5 -cnt/WS<1> ........................XX..X.......X............. 4 -IONPReady ....XX.XXXXXXXX..X..........X....X.XX....X........ 16 -cnt/LTimer<1> .XXXXXXXXXXXXXXXX..XXXXX....X.......X....X........ 24 -cnt/WS<3> ........................XXXXX.......X............. 6 -nRESout ...................XX............................. 2 +QoSReady XXXXXXXXXXXXXXXXX...X.XXXXXX..........X........... 25 +IONPReady ....XX.XXXXXXXX..X...........X.X.X...XX........... 16 +iobs/Clear1 ..................................XX.............. 2 +ALE0S ...................................X.............. 1 +iobs/TS_FSM_FFd1 ..............................X...XX.............. 3 +cs/nOverlay ...........XXXX....X........XX.......X............ 8 +cnt/WS<3> ........................XXXX.X.......X............ 6 +cnt/WS<2> ........................XXX..X.......X............ 5 +nDTACK_FSB ....XX.XXXXXXXX..X..XX.......X......XXX........... 17 +cnt/WS<1> ........................XX...X.......X............ 4 +ram/RS_FSM_FFd5 .............XX.............XX.......X.XX......... 7 +iobs/Load1 ....XX.XXXXXXXX..............X...XXXXXX........... 17 +IORDREQ ...........XXXX...X.........XXX.XXXXXXX........... 15 +iobs/Sent ....XX.XXXXXXXX.............XX...XXXXXX........... 18 +nROMWE .....................................XX........... 2 + 0----+----1----+----2----+----3----+----4----+----5 + 0 0 0 0 0 + *********************************** FB4 *********************************** +Number of function block inputs used/remaining: 43/11 +Number of signals used by logic mapping into function block: 43 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +(unused) 0 0 /\5 0 FB4_1 (b) (b) +nAoutOE 2 2<- /\5 0 FB4_2 87 I/O O +(unused) 0 0 /\2 3 FB4_3 (b) (b) +(unused) 0 0 0 5 FB4_4 (b) +nDoutOE 2 0 0 3 FB4_5 89 I/O O +nDinOE 3 0 0 2 FB4_6 90 I/O O +ram/BACTr 1 0 0 4 FB4_7 (b) (b) +nRES 1 0 0 4 FB4_8 91 I/O I/O +nRESout 1 0 0 4 FB4_9 92 I/O I +fsb/ASrf 1 0 0 4 FB4_10 (b) (b) +nVPA_FSB 3 0 0 2 FB4_11 93 I/O O +cnt/WS<0> 1 0 0 4 FB4_12 94 I/O I +cnt/LTimerTC 1 0 0 4 FB4_13 (b) (b) +$OpTx$$OpTx$FX_DC$346_INV$533 + 1 0 \/3 1 FB4_14 95 I/O I +(unused) 0 0 \/5 0 FB4_15 96 I/O I +cnt/LTimer<1> 11 8<- \/2 0 FB4_16 (b) (b) +(unused) 0 0 \/5 0 FB4_17 97 I/O I +cnt/LTimer<0> 22 17<- 0 0 FB4_18 (b) (b) + +Signals Used by Logic in Function Block + 1: A_FSB<10> 16: A_FSB<9> 30: cnt/LTimer<8> + 2: A_FSB<11> 17: IONPReady 31: cnt/LTimer<9> + 3: A_FSB<12> 18: cnt/IS_FSM_FFd1 32: cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + 4: A_FSB<13> 19: cnt/IS_FSM_FFd2 33: cnt/WS<0> + 5: A_FSB<14> 20: cnt/LTimer<0> 34: fsb/ASrf + 6: A_FSB<15> 21: cnt/LTimer<10> 35: iobm/DoutOE + 7: A_FSB<16> 22: cnt/LTimer<11> 36: iobm/IORDREQr + 8: A_FSB<17> 23: cnt/LTimer<1> 37: iobm/IOS0 + 9: A_FSB<18> 24: cnt/LTimer<2> 38: iobm/IOWRREQr + 10: A_FSB<19> 25: cnt/LTimer<3> 39: nAS_FSB + 11: A_FSB<20> 26: cnt/LTimer<4> 40: nAoutOE + 12: A_FSB<21> 27: cnt/LTimer<5> 41: nBR_IOB + 13: A_FSB<22> 28: cnt/LTimer<6> 42: nRESout + 14: A_FSB<23> 29: cnt/LTimer<7> 43: nWE_FSB + 15: A_FSB<8> + +Signal 1 2 3 4 5 FB +Name 0----+----0----+----0----+----0----+----0----+----0 Inputs +nAoutOE .................XX....................XX......... 4 +nDoutOE ..................................XXXX.X.......... 5 +nDinOE ..........XXXX........................X...X....... 6 +ram/BACTr .................................X....X........... 2 +nRES .........................................X........ 1 +nRESout .................XX............................... 2 +fsb/ASrf ......................................X........... 1 +nVPA_FSB ......XXXXXXXX..X................X....X........... 11 +cnt/WS<0> ................................XX....X........... 3 +cnt/LTimerTC ...................XXXXXXXXXXXX................... 12 +$OpTx$$OpTx$FX_DC$346_INV$533 + .................................X....X........... 2 +cnt/LTimer<1> XXXXXXXXXXXXXXXX.XXX..X........X.X....X...X....... 24 +cnt/LTimer<0> XXXXXXXXXXXXXXXX.XXXXXXXXXXXXXXX.X....X...X....... 34 0----+----1----+----2----+----3----+----4----+----5 0 0 0 0 0 *********************************** FB5 *********************************** -Number of function block inputs used/remaining: 42/12 -Number of signals used by logic mapping into function block: 42 +Number of function block inputs used/remaining: 33/21 +Number of signals used by logic mapping into function block: 33 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -(unused) 0 0 /\5 0 FB5_1 (b) (b) -nROMCS 2 0 /\3 0 FB5_2 35 I/O O -(unused) 0 0 0 5 FB5_3 (b) -(unused) 0 0 0 5 FB5_4 (b) -nCAS 1 0 0 4 FB5_5 36 I/O O -nOE 1 0 0 4 FB5_6 37 I/O O -(unused) 0 0 0 5 FB5_7 (b) -(unused) 0 0 0 5 FB5_8 39 I/O -RA<4> 2 0 0 3 FB5_9 40 I/O O -(unused) 0 0 0 5 FB5_10 (b) +ram/RS_FSM_FFd7 13 8<- 0 0 FB5_1 (b) (b) +nROMCS 2 2<- /\5 0 FB5_2 35 I/O O +(unused) 0 0 /\2 3 FB5_3 (b) (b) +(unused) 0 0 \/5 0 FB5_4 (b) (b) +nCAS 14 9<- 0 0 FB5_5 36 I/O O +nOE 3 2<- /\4 0 FB5_6 37 I/O O +ram/RASEN 11 8<- /\2 0 FB5_7 (b) (b) +(unused) 0 0 /\5 0 FB5_8 39 I/O (b) +RA<4> 2 0 /\3 0 FB5_9 40 I/O O +ram/RASEL 4 0 0 1 FB5_10 (b) (b) RA<3> 2 0 0 3 FB5_11 41 I/O O -RA<5> 2 0 0 3 FB5_12 42 I/O O -cnt/LTimerTC 1 0 0 4 FB5_13 (b) (b) -RA<2> 2 0 0 3 FB5_14 43 I/O O -RA<6> 2 0 0 3 FB5_15 46 I/O O -ALE0S 1 0 \/4 0 FB5_16 (b) (b) +RA<5> 2 0 \/3 0 FB5_12 42 I/O O +ram/RASrr 12 7<- 0 0 FB5_13 (b) (b) +RA<2> 2 1<- /\4 0 FB5_14 43 I/O O +RA<6> 2 0 /\1 2 FB5_15 46 I/O O +(unused) 0 0 \/5 0 FB5_16 (b) (b) (unused) 0 0 \/5 0 FB5_17 49 I/O (b) -cnt/LTimer<0> 22 17<- 0 0 FB5_18 (b) (b) +RAMReady 12 10<- \/3 0 FB5_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<10> 15: A_FSB<3> 29: cnt/LTimer<4> - 2: A_FSB<11> 16: A_FSB<4> 30: cnt/LTimer<5> - 3: A_FSB<12> 17: A_FSB<5> 31: cnt/LTimer<6> - 4: A_FSB<13> 18: A_FSB<7> 32: cnt/LTimer<7> - 5: A_FSB<14> 19: A_FSB<8> 33: cnt/LTimer<8> - 6: A_FSB<15> 20: A_FSB<9> 34: cnt/LTimer<9> - 7: A_FSB<16> 21: cnt/IS_FSM_FFd1 35: cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - 8: A_FSB<17> 22: cnt/IS_FSM_FFd2 36: cs/nOverlay - 9: A_FSB<18> 23: cnt/LTimer<0> 37: fsb/ASrf - 10: A_FSB<19> 24: cnt/LTimer<10> 38: iobs/TS_FSM_FFd2 - 11: A_FSB<20> 25: cnt/LTimer<11> 39: nAS_FSB - 12: A_FSB<21> 26: cnt/LTimer<1> 40: nWE_FSB - 13: A_FSB<22> 27: cnt/LTimer<2> 41: ram/CAS - 14: A_FSB<23> 28: cnt/LTimer<3> 42: ram/RASEL + 1: A_FSB<11> 12: A_FSB<5> 23: ram/RASEL + 2: A_FSB<12> 13: A_FSB<7> 24: ram/RASEN + 3: A_FSB<13> 14: RAMReady 25: ram/RASrr + 4: A_FSB<16> 15: RefReq 26: ram/RS_FSM_FFd1 + 5: A_FSB<19> 16: RefUrg 27: ram/RS_FSM_FFd2 + 6: A_FSB<20> 17: cs/nOverlay 28: ram/RS_FSM_FFd3 + 7: A_FSB<21> 18: fsb/ASrf 29: ram/RS_FSM_FFd4 + 8: A_FSB<22> 19: nAS_FSB 30: ram/RS_FSM_FFd5 + 9: A_FSB<23> 20: nWE_FSB 31: ram/RS_FSM_FFd6 + 10: A_FSB<3> 21: ram/BACTr 32: ram/RS_FSM_FFd7 + 11: A_FSB<4> 22: ram/DTACKr 33: ram/RefDone -Signal 1 2 3 4 5 FB -Name 0----+----0----+----0----+----0----+----0----+----0 Inputs -nROMCS ..........XXXX.....................X.............. 5 -nCAS ........................................X......... 1 -nOE ......................................XX.......... 2 -RA<4> .X............X..........................X........ 3 -RA<3> .........XX..............................X........ 3 -RA<5> ..X............X.........................X........ 3 -cnt/LTimerTC ......................XXXXXXXXXXXX................ 12 -RA<2> ......X..........X.......................X........ 3 -RA<6> ...X............X........................X........ 3 -ALE0S .....................................X............ 1 -cnt/LTimer<0> XXXXXXXXXXXXXX....XXXXXXXXXXXXXXXXX.X.XX.......... 34 - 0----+----1----+----2----+----3----+----4----+----5 - 0 0 0 0 0 +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +ram/RS_FSM_FFd7 .......XX.....XXXXX.X..X.X.X...XX....... 13 +nROMCS .....XXXX.......X....................... 5 +nCAS .......XX.....XX.XX.XX.X.XXXX.XXX....... 16 +nOE .................XXXXX.................. 5 +ram/RASEN .......XX.....XX.XX.X..X.XXXXXXXX....... 16 +RA<4> X........X............X................. 3 +ram/RASEL .......XX.......XXX...XX.XXXXXXX........ 14 +RA<3> ....XX................X................. 3 +RA<5> .X........X...........X................. 3 +ram/RASrr .......XX.....XXXXX.X..XXXXXXXXXX....... 18 +RA<2> ...X........X.........X................. 3 +RA<6> ..X........X..........X................. 3 +RAMReady .......XX....XXX.XX.X..X.XXXXXXXX....... 17 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 *********************************** FB6 *********************************** Number of function block inputs used/remaining: 36/18 Number of signals used by logic mapping into function block: 36 @@ -655,40 +651,40 @@ cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 10 5<- 0 0 FB7_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<10> 15: A_FSB<23> 29: cnt/LTimer<1> - 2: A_FSB<11> 16: A_FSB<2> 30: cnt/LTimer<2> - 3: A_FSB<12> 17: A_FSB<6> 31: cnt/LTimer<3> - 4: A_FSB<13> 18: A_FSB<7> 32: cnt/LTimer<4> - 5: A_FSB<14> 19: A_FSB<8> 33: cnt/LTimer<5> - 6: A_FSB<15> 20: A_FSB<9> 34: cnt/LTimer<6> - 7: A_FSB<16> 21: RefUrg 35: cnt/LTimer<7> - 8: A_FSB<17> 22: cnt/Er<0> 36: cnt/LTimer<8> - 9: A_FSB<18> 23: cnt/Er<1> 37: cnt/LTimer<9> - 10: A_FSB<19> 24: cnt/IS_FSM_FFd1 38: fsb/ASrf - 11: A_FSB<1> 25: cnt/IS_FSM_FFd2 39: nAS_FSB - 12: A_FSB<20> 26: cnt/LTimer<0> 40: nWE_FSB - 13: A_FSB<21> 27: cnt/LTimer<10> 41: ram/RASEL - 14: A_FSB<22> 28: cnt/LTimer<11> + 1: A_FSB<10> 15: A_FSB<23> 29: cnt/LTimer<2> + 2: A_FSB<11> 16: A_FSB<2> 30: cnt/LTimer<3> + 3: A_FSB<12> 17: A_FSB<6> 31: cnt/LTimer<4> + 4: A_FSB<13> 18: A_FSB<7> 32: cnt/LTimer<5> + 5: A_FSB<14> 19: A_FSB<8> 33: cnt/LTimer<6> + 6: A_FSB<15> 20: A_FSB<9> 34: cnt/LTimer<7> + 7: A_FSB<16> 21: cnt/Er<0> 35: cnt/LTimer<8> + 8: A_FSB<17> 22: cnt/Er<1> 36: cnt/LTimer<9> + 9: A_FSB<18> 23: cnt/IS_FSM_FFd1 37: cnt/TimerTC + 10: A_FSB<19> 24: cnt/IS_FSM_FFd2 38: fsb/ASrf + 11: A_FSB<1> 25: cnt/LTimer<0> 39: nAS_FSB + 12: A_FSB<20> 26: cnt/LTimer<10> 40: nWE_FSB + 13: A_FSB<21> 27: cnt/LTimer<11> 41: ram/RASEL + 14: A_FSB<22> 28: cnt/LTimer<1> Signal 1 2 3 4 5 FB Name 0----+----0----+----0----+----0----+----0----+----0 Inputs -cnt/LTimer<9> ....................XXXXXX..XXXXXXXXX............. 15 +cnt/LTimer<9> ....................XXXXX..XXXXXXXXXX............. 15 RA<1> X..............X........................X......... 3 -cnt/LTimer<8> ....................XXXXXX..XXXXXXXX.............. 14 -cnt/LTimer<7> ....................XXXXXX..XXXXXXX............... 13 +cnt/LTimer<8> ....................XXXXX..XXXXXXXX.X............. 14 +cnt/LTimer<7> ....................XXXXX..XXXXXXX..X............. 13 RA<7> ....X...........X.......................X......... 3 RA<0> ..........X........X....................X......... 3 -cnt/LTimer<6> ....................XXXXXX..XXXXXX................ 12 +cnt/LTimer<6> ....................XXXXX..XXXXXX...X............. 12 RA<8> ........X...X...........................X......... 3 RA<10> .......X.........X......................X......... 3 -cnt/LTimer<5> ....................XXXXXX..XXXXX................. 11 +cnt/LTimer<5> ....................XXXXX..XXXXX....X............. 11 RA<9> .....X............X.....................X......... 3 C25MEN .................................................. 0 -cnt/LTimer<4> ....................XXXXXX..XXXX.................. 10 -cnt/LTimer<3> ....................XXXXXX..XXX................... 9 -cnt/LTimer<2> ....................XXXXXX..XX.................... 8 +cnt/LTimer<4> ....................XXXXX..XXXX.....X............. 10 +cnt/LTimer<3> ....................XXXXX..XXX......X............. 9 +cnt/LTimer<2> ....................XXXXX..XX.......X............. 8 cnt/LTimer<11> ....................XXXXXXXXXXXXXXXXX............. 17 -cnt/LTimer<10> ....................XXXXXXX.XXXXXXXXX............. 16 +cnt/LTimer<10> ....................XXXXXX.XXXXXXXXXX............. 16 cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 XXXXXXXXXX.XXXX...XXXXXXXXXXXXXXXXXXXXXX.......... 36 0----+----1----+----2----+----3----+----4----+----5 @@ -718,17 +714,17 @@ iobs/IORW1 8 4<- \/1 0 FB8_17 73 I/O (b) (unused) 0 0 \/5 0 FB8_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<13> 15: RefUrg 29: iobs/TS_FSM_FFd1 - 2: A_FSB<14> 16: cnt/Er<0> 30: iobs/TS_FSM_FFd2 - 3: A_FSB<16> 17: cnt/Er<1> 31: nADoutLE1 - 4: A_FSB<17> 18: cnt/IS_FSM_FFd1 32: nAS_FSB - 5: A_FSB<18> 19: cnt/IS_FSM_FFd2 33: nBERR_FSB - 6: A_FSB<19> 20: cnt/LTimerTC 34: nBR_IOB + 1: A_FSB<13> 15: cnt/Er<0> 29: iobs/TS_FSM_FFd1 + 2: A_FSB<14> 16: cnt/Er<1> 30: iobs/TS_FSM_FFd2 + 3: A_FSB<16> 17: cnt/IS_FSM_FFd1 31: nADoutLE1 + 4: A_FSB<17> 18: cnt/IS_FSM_FFd2 32: nAS_FSB + 5: A_FSB<18> 19: cnt/LTimerTC 33: nBERR_FSB + 6: A_FSB<19> 20: cnt/TimerTC 34: nBR_IOB 7: A_FSB<20> 21: cnt/nIPL2r 35: nLDS_FSB 8: A_FSB<21> 22: cs/nOverlay 36: nUDS_FSB 9: A_FSB<22> 23: fsb/ASrf 37: nWE_FSB - 10: A_FSB<23> 24: iobs/IOACTr 38: ram/RAMEN - 11: IOBERR 25: iobs/IOL1 39: ram/RASEL + 10: A_FSB<23> 24: iobs/IOACTr 38: ram/RASEL + 11: IOBERR 25: iobs/IOL1 39: ram/RASEN 12: IOL0 26: iobs/IORW1 40: ram/RASrf 13: IOU0 27: iobs/IOU1 41: ram/RASrr 14: IOWRREQ 28: iobs/Sent @@ -736,15 +732,15 @@ Signals Used by Logic in Function Block Signal 1 2 3 4 5 FB Name 0----+----0----+----0----+----0----+----0----+----0 Inputs IOL0 XXXXXXXXXX.X.........XX.X..XXXXX..X.X............. 21 -RA<11> .....XX...............................X........... 3 +RA<11> .....XX..............................X............ 3 iobs/TS_FSM_FFd2 XXXXXXXXXX...........XXX...XXXXX....X............. 19 -nRAS ........XX...........X.........X.....X.XX......... 7 -nRAMLWE ...............................X..X.XX............ 4 -nRAMUWE ...............................X...XXX............ 4 +nRAS ........XX...........X.........X......XXX......... 7 +nRAMLWE ..................................X.XX............ 3 +nRAMUWE ...................................XXX............ 3 IOWRREQ XXXXXXXXXX...X.......XXX.X.XXXXX....X............. 21 nBERR_FSB ..........X...........X....X...XX................. 5 IOU0 XXXXXXXXXX..X........XX...XXXXXX...XX............. 21 -nBR_IOB .................XX.X............X................ 4 +nBR_IOB ................XX..X............X................ 4 cnt/IS_FSM_FFd1 ..............XXXXXXX............................. 7 iobs/IORW1 XXXXXXXXXX............X..X.XXXXX....X............. 18 0----+----1----+----2----+----3----+----4----+----5 @@ -754,7 +750,7 @@ iobs/IORW1 XXXXXXXXXX............X..X.XXXXX....X............. 18 ********** Mapped Logic ********** -$OpTx$$OpTx$FX_DC$354_INV$541 <= (nAS_FSB AND NOT fsb/ASrf); +$OpTx$$OpTx$FX_DC$346_INV$533 <= (nAS_FSB AND NOT fsb/ASrf); FDCPE_ALE0M: FDCPE port map (ALE0M,ALE0M_D,C16M,'0','0'); ALE0M_D <= ((iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd3 AND @@ -791,6 +787,20 @@ C25MEN <= '1'; + + + + + + + + + + + + + + @@ -855,23 +865,16 @@ IONPReady_D <= ((NOT iobs/Sent AND NOT IONPReady) A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND NOT nWE_FSB AND NOT IONPReady)); -FDCPE_IOPWReady: FDCPE port map (IOPWReady,IOPWReady_D,FCLK,'0','0'); -IOPWReady_D <= ((iobs/Clear1) - OR (NOT iobs/Load1 AND nADoutLE1)); - FDCPE_IORDREQ: FDCPE port map (IORDREQ,IORDREQ_D,FCLK,'0','0'); -IORDREQ_D <= ((NOT iobs/IORW1 AND NOT iobs/TS_FSM_FFd2 AND NOT nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/TS_FSM_FFd2 AND - nADoutLE1) - OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND - nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND cs/nOverlay AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2) - OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr) +IORDREQ_D <= ((iobs/Load1.EXP) OR (iobs/TS_FSM_FFd2 AND NOT IORDREQ) OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT nWE_FSB AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1)); + OR (NOT nWE_FSB AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT iobs/IORW1 AND NOT iobs/TS_FSM_FFd2 AND NOT nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/TS_FSM_FFd2 AND + nADoutLE1) + OR (iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2) + OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr)); FTCPE_IOU0: FTCPE port map (IOU0,IOU0_T,FCLK,'0','0'); IOU0_T <= ((iobs/TS_FSM_FFd1) @@ -932,32 +935,30 @@ IOWRREQ_D <= ((A_FSB(22) AND A_FSB(21) AND NOT iobs/Sent AND NOT nWE_FSB AND FDCPE_QoSReady: FDCPE port map (QoSReady,QoSReady_D,FCLK,'0','0'); QoSReady_D <= ((A_FSB(22) AND NOT A_FSB(17) AND - NOT $OpTx$$OpTx$FX_DC$354_INV$541) + NOT $OpTx$$OpTx$FX_DC$346_INV$533) OR (A_FSB(22) AND NOT A_FSB(16) AND - NOT $OpTx$$OpTx$FX_DC$354_INV$541) + NOT $OpTx$$OpTx$FX_DC$346_INV$533) OR (A_FSB(22) AND NOT A_FSB(13) AND - NOT $OpTx$$OpTx$FX_DC$354_INV$541) + NOT $OpTx$$OpTx$FX_DC$346_INV$533) OR (A_FSB(22) AND NOT A_FSB(14) AND - NOT $OpTx$$OpTx$FX_DC$354_INV$541) - OR (A_FSB(22) AND NOT A_FSB(10) AND - NOT $OpTx$$OpTx$FX_DC$354_INV$541) - OR (nRESout.EXP) + NOT $OpTx$$OpTx$FX_DC$346_INV$533) + OR (nROMWE_OBUF.EXP) OR (A_FSB(22) AND A_FSB(21) AND - NOT $OpTx$$OpTx$FX_DC$354_INV$541) + NOT $OpTx$$OpTx$FX_DC$346_INV$533) OR (A_FSB(22) AND A_FSB(19) AND - NOT $OpTx$$OpTx$FX_DC$354_INV$541) + NOT $OpTx$$OpTx$FX_DC$346_INV$533) OR (A_FSB(22) AND A_FSB(18) AND - NOT $OpTx$$OpTx$FX_DC$354_INV$541) + NOT $OpTx$$OpTx$FX_DC$346_INV$533) OR (A_FSB(22) AND A_FSB(15) AND - NOT $OpTx$$OpTx$FX_DC$354_INV$541) + NOT $OpTx$$OpTx$FX_DC$346_INV$533) OR (A_FSB(22) AND A_FSB(12) AND - NOT $OpTx$$OpTx$FX_DC$354_INV$541) - OR (A_FSB(23) AND NOT $OpTx$$OpTx$FX_DC$354_INV$541) + NOT $OpTx$$OpTx$FX_DC$346_INV$533) + OR (A_FSB(23) AND NOT $OpTx$$OpTx$FX_DC$346_INV$533) OR (NOT cnt/LTimer(0) AND NOT cnt/LTimer(1)) - OR (NOT nWE_FSB AND NOT $OpTx$$OpTx$FX_DC$354_INV$541) - OR (QoSReady AND NOT $OpTx$$OpTx$FX_DC$354_INV$541) + OR (NOT nWE_FSB AND NOT $OpTx$$OpTx$FX_DC$346_INV$533) + OR (QoSReady AND NOT $OpTx$$OpTx$FX_DC$346_INV$533) OR (A_FSB(22) AND A_FSB(20) AND - NOT $OpTx$$OpTx$FX_DC$354_INV$541)); + NOT $OpTx$$OpTx$FX_DC$346_INV$533)); RA(0) <= ((ram/RASEL AND A_FSB(1)) @@ -968,8 +969,8 @@ RA(1) <= ((A_FSB(10) AND NOT ram/RASEL) OR (ram/RASEL AND A_FSB(2))); -RA(2) <= ((A_FSB(16) AND NOT ram/RASEL) - OR (ram/RASEL AND A_FSB(7))); +RA(2) <= ((ram/RASEL AND A_FSB(7)) + OR (A_FSB(16) AND NOT ram/RASEL)); RA(3) <= ((A_FSB(20) AND ram/RASEL) @@ -1008,13 +1009,27 @@ RA(11) <= ((A_FSB(20) AND ram/RASEL) OR (A_FSB(19) AND NOT ram/RASEL)); FDCPE_RAMReady: FDCPE port map (RAMReady,RAMReady_D,FCLK,'0','0'); -RAMReady_D <= ((NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4 AND NOT RAMReady) - OR (nAS_FSB AND NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4 AND - NOT fsb/ASrf) - OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND - NOT ram/RS_FSM_FFd4 AND NOT fsb/ASrf) - OR (NOT ram/RefDone AND RefReq AND nAS_FSB AND - NOT ram/RS_FSM_FFd4 AND NOT fsb/ASrf)); +RAMReady_D <= ((EXP20_.EXP) + OR (NOT ram/RS_FSM_FFd7 AND NOT ram/RS_FSM_FFd3 AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd5 AND NOT RAMReady) + OR (A_FSB(23) AND RefUrg AND NOT ram/RefDone AND + ram/RS_FSM_FFd7 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd4 AND + NOT ram/RS_FSM_FFd5) + OR (A_FSB(22) AND RefUrg AND NOT ram/RefDone AND + ram/RS_FSM_FFd7 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd4 AND + NOT ram/RS_FSM_FFd5) + OR (RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd7 AND + NOT ram/RASEN AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd4 AND + NOT ram/RS_FSM_FFd5) + OR (RefUrg AND NOT ram/RefDone AND NOT ram/RS_FSM_FFd7 AND + ram/RS_FSM_FFd3 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd4 AND + NOT ram/RS_FSM_FFd5) + OR (NOT ram/RS_FSM_FFd7 AND NOT ram/RS_FSM_FFd3 AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd5 AND + ram/RS_FSM_FFd6) + OR (NOT ram/RS_FSM_FFd7 AND NOT ram/RS_FSM_FFd3 AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd5 AND + ram/RS_FSM_FFd2)); FDCPE_RefReq: FDCPE port map (RefReq,RefReq_D,FCLK,'0','0',RefReq_CE); RefReq_D <= (NOT cnt/Timer(0) AND cnt/Timer(1) AND NOT cnt/Timer(2) AND @@ -1022,8 +1037,7 @@ RefReq_D <= (NOT cnt/Timer(0) AND cnt/Timer(1) AND NOT cnt/Timer(2) AND RefReq_CE <= (NOT cnt/Er(0) AND cnt/Er(1)); FDCPE_RefUrg: FDCPE port map (RefUrg,RefUrg_D,FCLK,'0','0',RefUrg_CE); -RefUrg_D <= (cnt/Timer(0) AND NOT cnt/Timer(1) AND NOT cnt/Timer(2) AND - cnt/Timer(3)); +RefUrg_D <= (NOT cnt/Timer(1) AND NOT cnt/Timer(2) AND cnt/Timer(3)); RefUrg_CE <= (NOT cnt/Er(0) AND cnt/Er(1)); FDCPE_cnt/Er0: FDCPE port map (cnt/Er(0),E,FCLK,'0','0'); @@ -1031,17 +1045,17 @@ FDCPE_cnt/Er0: FDCPE port map (cnt/Er(0),E,FCLK,'0','0'); FDCPE_cnt/Er1: FDCPE port map (cnt/Er(1),cnt/Er(0),FCLK,'0','0'); FTCPE_cnt/IS_FSM_FFd1: FTCPE port map (cnt/IS_FSM_FFd1,cnt/IS_FSM_FFd1_T,FCLK,'0','0'); -cnt/IS_FSM_FFd1_T <= (RefUrg AND NOT cnt/IS_FSM_FFd1 AND cnt/IS_FSM_FFd2 AND +cnt/IS_FSM_FFd1_T <= (cnt/TimerTC AND NOT cnt/IS_FSM_FFd1 AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/nIPL2r AND cnt/Er(1) AND cnt/LTimerTC); FTCPE_cnt/IS_FSM_FFd2: FTCPE port map (cnt/IS_FSM_FFd2,cnt/IS_FSM_FFd2_T,FCLK,'0','0'); -cnt/IS_FSM_FFd2_T <= ((RefUrg AND cnt/IS_FSM_FFd1 AND cnt/IS_FSM_FFd2 AND +cnt/IS_FSM_FFd2_T <= ((cnt/TimerTC AND cnt/IS_FSM_FFd1 AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1) AND cnt/LTimerTC) - OR (RefUrg AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND + OR (cnt/TimerTC AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1) AND cnt/LTimerTC)); FDCPE_cnt/LTimer0: FDCPE port map (cnt/LTimer(0),cnt/LTimer_D(0),FCLK,'0','0'); -cnt/LTimer_D(0) <= ((nROMCS_OBUF.EXP) +cnt/LTimer_D(0) <= ((nAoutOE_OBUF.EXP) OR (NOT cnt/LTimer(0) AND cnt/LTimer(10) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) OR (NOT cnt/LTimer(0) AND cnt/LTimer(8) AND @@ -1055,7 +1069,7 @@ cnt/LTimer_D(0) <= ((nROMCS_OBUF.EXP) A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(8) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (ALE0S.EXP) + OR (cnt/LTimer(1).EXP) OR (NOT cnt/LTimer(0) AND cnt/LTimer(3) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) OR (NOT cnt/LTimer(0) AND cnt/LTimer(4) AND @@ -1078,7 +1092,8 @@ cnt/LTimer_D(0) <= ((nROMCS_OBUF.EXP) cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2)); FDCPE_cnt/LTimer1: FDCPE port map (cnt/LTimer(1),cnt/LTimer_D(1),FCLK,'0','0'); -cnt/LTimer_D(1) <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +cnt/LTimer_D(1) <= (($OpTx$$OpTx$FX_DC$346_INV$533.EXP) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND @@ -1086,147 +1101,132 @@ cnt/LTimer_D(1) <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND - NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(8) AND - fsb/ASrf AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND - NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND - A_FSB(9) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND - NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(8) AND - fsb/ASrf AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND - NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(9) AND - fsb/ASrf AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND - NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(9) AND - fsb/ASrf AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT cnt/LTimer(0) AND NOT cnt/LTimer(1)) - OR (NOT cnt/LTimer(1) AND - NOT cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (cnt/LTimer(0) AND cnt/LTimer(1) AND - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(8) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND + A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND + NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(8) AND + fsb/ASrf AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND - A_FSB(8) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2)); + A_FSB(9) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND + A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND + NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND + A_FSB(8) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) + OR (NOT cnt/LTimer(0) AND NOT cnt/LTimer(1)) + OR (NOT cnt/LTimer(1) AND + NOT cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) + OR (cnt/LTimer(0) AND cnt/LTimer(1) AND + cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2)); FTCPE_cnt/LTimer2: FTCPE port map (cnt/LTimer(2),cnt/LTimer_T(2),FCLK,'0','0'); cnt/LTimer_T(2) <= ((cnt/LTimer(2) AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2) - OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND + OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/TimerTC AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) - OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND + OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/TimerTC AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); FTCPE_cnt/LTimer3: FTCPE port map (cnt/LTimer(3),cnt/LTimer_T(3),FCLK,'0','0'); cnt/LTimer_T(3) <= ((cnt/LTimer(3) AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2) - OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND - cnt/LTimer(2) AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) - OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND - cnt/LTimer(2) AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); + OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND + cnt/TimerTC AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) + OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND + cnt/TimerTC AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); FTCPE_cnt/LTimer4: FTCPE port map (cnt/LTimer(4),cnt/LTimer_T(4),FCLK,'0','0'); cnt/LTimer_T(4) <= ((cnt/LTimer(4) AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2) - OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND - cnt/LTimer(2) AND cnt/LTimer(3) AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND + OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND + cnt/LTimer(3) AND cnt/TimerTC AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) - OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND - cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND + OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND + cnt/LTimer(3) AND cnt/TimerTC AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); FTCPE_cnt/LTimer5: FTCPE port map (cnt/LTimer(5),cnt/LTimer_T(5),FCLK,'0','0'); cnt/LTimer_T(5) <= ((cnt/LTimer(5) AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2) - OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND - cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND NOT cnt/IS_FSM_FFd1 AND + OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND + cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/TimerTC AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) - OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND - cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/IS_FSM_FFd2 AND + OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND + cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/TimerTC AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); FTCPE_cnt/LTimer6: FTCPE port map (cnt/LTimer(6),cnt/LTimer_T(6),FCLK,'0','0'); cnt/LTimer_T(6) <= ((cnt/LTimer(6) AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2) - OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND - cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND + OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND + cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/TimerTC AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) - OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND - cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND + OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND + cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/TimerTC AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); FTCPE_cnt/LTimer7: FTCPE port map (cnt/LTimer(7),cnt/LTimer_T(7),FCLK,'0','0'); cnt/LTimer_T(7) <= ((cnt/LTimer(7) AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2) - OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND - cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND - cnt/LTimer(6) AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) - OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND - cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND - cnt/LTimer(6) AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); + OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND + cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND + cnt/TimerTC AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) + OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND + cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND + cnt/TimerTC AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); FTCPE_cnt/LTimer8: FTCPE port map (cnt/LTimer(8),cnt/LTimer_T(8),FCLK,'0','0'); cnt/LTimer_T(8) <= ((cnt/LTimer(8) AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2) - OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND - cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND - cnt/LTimer(6) AND cnt/LTimer(7) AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND + OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND + cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND + cnt/LTimer(7) AND cnt/TimerTC AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) - OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND - cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND - cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND + OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND + cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND + cnt/LTimer(7) AND cnt/TimerTC AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); FTCPE_cnt/LTimer9: FTCPE port map (cnt/LTimer(9),cnt/LTimer_T(9),FCLK,'0','0'); -cnt/LTimer_T(9) <= ((RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND - cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND - cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/IS_FSM_FFd2 AND +cnt/LTimer_T(9) <= ((cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND + cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND + cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/TimerTC AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1)) OR (cnt/LTimer(9) AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2) - OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND - cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND - cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND NOT cnt/IS_FSM_FFd1 AND + OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND + cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND + cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/TimerTC AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1))); FTCPE_cnt/LTimer10: FTCPE port map (cnt/LTimer(10),cnt/LTimer_T(10),FCLK,'0','0'); cnt/LTimer_T(10) <= ((cnt/LTimer(10) AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2) - OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND - cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND - cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9) AND + OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND + cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND + cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9) AND cnt/TimerTC AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) - OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND - cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND - cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9) AND + OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND + cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND + cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9) AND cnt/TimerTC AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); FTCPE_cnt/LTimer11: FTCPE port map (cnt/LTimer(11),cnt/LTimer_T(11),FCLK,'0','0'); cnt/LTimer_T(11) <= ((cnt/LTimer(11) AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2) - OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND - cnt/LTimer(10) AND cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND - cnt/LTimer(5) AND cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND - cnt/LTimer(9) AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) - OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND - cnt/LTimer(10) AND cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND - cnt/LTimer(5) AND cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND - cnt/LTimer(9) AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); + OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(10) AND + cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND + cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9) AND + cnt/TimerTC AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) + OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(10) AND + cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND + cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9) AND + cnt/TimerTC AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); FDCPE_cnt/LTimerTC: FDCPE port map (cnt/LTimerTC,cnt/LTimerTC_D,FCLK,'0','0'); cnt/LTimerTC_D <= (NOT cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(10) AND @@ -1260,7 +1260,7 @@ cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 <= ((NOT A_FSB(23) AND NOT A_FSB(22 A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(9)) - OR (RefUrg AND NOT cnt/Er(0) AND cnt/Er(1)) + OR (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)) OR (NOT cnt/LTimer(0) AND NOT cnt/LTimer(1) AND NOT cnt/LTimer(10) AND NOT cnt/LTimer(2) AND NOT cnt/LTimer(3) AND NOT cnt/LTimer(4) AND NOT cnt/LTimer(5) AND NOT cnt/LTimer(6) AND NOT cnt/LTimer(7) AND NOT cnt/LTimer(8) AND NOT cnt/LTimer(9) AND @@ -1282,39 +1282,46 @@ cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 <= ((NOT A_FSB(23) AND NOT A_FSB(22 A_FSB(8))); FTCPE_cnt/Timer0: FTCPE port map (cnt/Timer(0),cnt/Timer_T(0),FCLK,'0','0',cnt/Timer_CE(0)); -cnt/Timer_T(0) <= (RefUrg AND NOT cnt/Timer(0) AND NOT cnt/Er(0) AND cnt/Er(1)); +cnt/Timer_T(0) <= (NOT cnt/Timer(0) AND cnt/TimerTC AND NOT cnt/Er(0) AND + cnt/Er(1)); cnt/Timer_CE(0) <= (NOT cnt/Er(0) AND cnt/Er(1)); FDCPE_cnt/Timer1: FDCPE port map (cnt/Timer(1),cnt/Timer_D(1),FCLK,'0','0',cnt/Timer_CE(1)); cnt/Timer_D(1) <= ((cnt/Timer(0) AND cnt/Timer(1)) OR (NOT cnt/Timer(0) AND NOT cnt/Timer(1)) - OR (RefUrg AND NOT cnt/Er(0) AND cnt/Er(1))); + OR (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1))); cnt/Timer_CE(1) <= (NOT cnt/Er(0) AND cnt/Er(1)); FDCPE_cnt/Timer2: FDCPE port map (cnt/Timer(2),cnt/Timer_D(2),FCLK,'0','0',cnt/Timer_CE(2)); cnt/Timer_D(2) <= ((NOT cnt/Timer(0) AND NOT cnt/Timer(2)) OR (NOT cnt/Timer(1) AND NOT cnt/Timer(2)) - OR (RefUrg AND NOT cnt/Er(0) AND cnt/Er(1)) - OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2))); + OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2)) + OR (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1))); cnt/Timer_CE(2) <= (NOT cnt/Er(0) AND cnt/Er(1)); FTCPE_cnt/Timer3: FTCPE port map (cnt/Timer(3),cnt/Timer_T(3),FCLK,'0','0',cnt/Timer_CE(3)); -cnt/Timer_T(3) <= ((RefUrg AND cnt/Timer(3) AND NOT cnt/Er(0) AND cnt/Er(1)) - OR (NOT RefUrg AND cnt/Timer(0) AND cnt/Timer(1) AND - cnt/Timer(2)) +cnt/Timer_T(3) <= ((cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2) AND + NOT cnt/TimerTC) OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2) AND cnt/Er(0)) OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2) AND - NOT cnt/Er(1))); + NOT cnt/Er(1)) + OR (cnt/Timer(3) AND cnt/TimerTC AND NOT cnt/Er(0) AND + cnt/Er(1))); cnt/Timer_CE(3) <= (NOT cnt/Er(0) AND cnt/Er(1)); +FDCPE_cnt/TimerTC: FDCPE port map (cnt/TimerTC,cnt/TimerTC_D,FCLK,'0','0',cnt/TimerTC_CE); +cnt/TimerTC_D <= (cnt/Timer(0) AND NOT cnt/Timer(1) AND NOT cnt/Timer(2) AND + cnt/Timer(3)); +cnt/TimerTC_CE <= (NOT cnt/Er(0) AND cnt/Er(1)); + FTCPE_cnt/WS0: FTCPE port map (cnt/WS(0),cnt/WS_T(0),FCLK,'0','0'); cnt/WS_T(0) <= (nAS_FSB AND NOT cnt/WS(0) AND NOT fsb/ASrf); FDCPE_cnt/WS1: FDCPE port map (cnt/WS(1),cnt/WS_D(1),FCLK,'0','0'); cnt/WS_D(1) <= ((nAS_FSB AND NOT fsb/ASrf) - OR (NOT cnt/WS(0) AND NOT cnt/WS(1)) - OR (cnt/WS(0) AND cnt/WS(1))); + OR (cnt/WS(0) AND cnt/WS(1)) + OR (NOT cnt/WS(0) AND NOT cnt/WS(1))); FTCPE_cnt/WS2: FTCPE port map (cnt/WS(2),cnt/WS_T(2),FCLK,'0','0'); cnt/WS_T(2) <= ((nAS_FSB AND cnt/WS(2) AND NOT fsb/ASrf) @@ -1322,22 +1329,18 @@ cnt/WS_T(2) <= ((nAS_FSB AND cnt/WS(2) AND NOT fsb/ASrf) OR (cnt/WS(0) AND cnt/WS(1) AND fsb/ASrf)); FTCPE_cnt/WS3: FTCPE port map (cnt/WS(3),cnt/WS_T(3),FCLK,'0','0'); -cnt/WS_T(3) <= ((nAS_FSB AND cnt/WS(3) AND NOT fsb/ASrf) - OR (NOT nAS_FSB AND cnt/WS(0) AND cnt/WS(1) AND cnt/WS(2)) - OR (cnt/WS(0) AND cnt/WS(1) AND cnt/WS(2) AND fsb/ASrf)); +cnt/WS_T(3) <= ((NOT nAS_FSB AND cnt/WS(0) AND cnt/WS(1) AND cnt/WS(2)) + OR (cnt/WS(0) AND cnt/WS(1) AND cnt/WS(2) AND fsb/ASrf) + OR (nAS_FSB AND cnt/WS(3) AND NOT fsb/ASrf)); FDCPE_cnt/nIPL2r: FDCPE port map (cnt/nIPL2r,nIPL2,FCLK,'0','0'); -FDCPE_cs/ODCSr: FDCPE port map (cs/ODCSr,cs/ODCSr_D,FCLK,'0','0'); -cs/ODCSr_D <= ((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND - NOT nAS_FSB) - OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND - fsb/ASrf)); - FTCPE_cs/nOverlay: FTCPE port map (cs/nOverlay,cs/nOverlay_T,FCLK,'0','0'); cs/nOverlay_T <= ((NOT nRES.PIN AND cs/nOverlay AND nAS_FSB AND NOT fsb/ASrf) - OR (nRES.PIN AND NOT cs/nOverlay AND nAS_FSB AND cs/ODCSr AND - NOT fsb/ASrf)); + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND + NOT cs/nOverlay AND NOT nAS_FSB) + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND + NOT cs/nOverlay AND fsb/ASrf)); FDCPE_fsb/ASrf: FDCPE port map (fsb/ASrf,NOT nAS_FSB,NOT FCLK,'0','0'); @@ -1473,6 +1476,10 @@ FDCPE_iobs/IOU1: FDCPE port map (iobs/IOU1,NOT nUDS_FSB,FCLK,'0','0',iobs/Load1) FDCPE_iobs/Load1: FDCPE port map (iobs/Load1,iobs/Load1_D,FCLK,'0','0'); iobs/Load1_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND + NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd1 AND + nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND NOT iobs/Sent AND NOT nWE_FSB AND iobs/TS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) @@ -1485,8 +1492,8 @@ iobs/Load1_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AN NOT iobs/Sent AND NOT nWE_FSB AND iobs/TS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND - NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd1 AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND + NOT iobs/Sent AND NOT nWE_FSB AND iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND @@ -1499,23 +1506,10 @@ iobs/Load1_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AN OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd2 AND - nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND - NOT iobs/Sent AND NOT nWE_FSB AND iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1)); FTCPE_iobs/Sent: FTCPE port map (iobs/Sent,iobs/Sent_T,FCLK,'0','0'); -iobs/Sent_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND - NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND - NOT iobs/Sent AND NOT nWE_FSB AND fsb/ASrf AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND - NOT iobs/Sent AND NOT nWE_FSB AND fsb/ASrf AND nADoutLE1) - OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/Sent AND +iobs/Sent_T <= ((A_FSB(22) AND A_FSB(21) AND NOT iobs/Sent AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Sent AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) @@ -1524,8 +1518,17 @@ iobs/Sent_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND OR (A_FSB(22) AND NOT iobs/Sent AND NOT cs/nOverlay AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND + NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND + NOT iobs/Sent AND NOT nWE_FSB AND fsb/ASrf AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND + NOT iobs/Sent AND NOT nWE_FSB AND fsb/ASrf AND nADoutLE1) OR (iobs/Sent AND nAS_FSB AND NOT fsb/ASrf) OR (A_FSB(23) AND NOT iobs/Sent AND NOT nAS_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) @@ -1588,20 +1591,29 @@ nBR_IOB_T <= ((nBR_IOB AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2) OR (NOT nBR_IOB AND NOT cnt/IS_FSM_FFd1 AND cnt/IS_FSM_FFd2 AND NOT cnt/nIPL2r)); -FDCPE_nCAS: FDCPE port map (nCAS,NOT ram/CAS,NOT FCLK,'0','0'); +FDCPE_nCAS: FDCPE port map (nCAS,nCAS_D,NOT FCLK,'0','0'); +nCAS_D <= ((ram/RS_FSM_FFd1) + OR (ram/RS_FSM_FFd2) + OR (ram/RefDone AND ram/RS_FSM_FFd7) + OR (ram/RefDone AND ram/RS_FSM_FFd3) + OR (ram/RS_FSM_FFd4 AND ram/DTACKr) + OR (NOT RefUrg AND NOT RefReq AND ram/RS_FSM_FFd7) + OR (NOT RefUrg AND ram/RS_FSM_FFd7 AND ram/BACTr) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT RefUrg AND + ram/RS_FSM_FFd7) + OR (NOT RefUrg AND nAS_FSB AND ram/RS_FSM_FFd7 AND NOT fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT nAS_FSB AND + ram/RS_FSM_FFd7 AND ram/RASEN) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RS_FSM_FFd7 AND + ram/RASEN AND fsb/ASrf) + OR (NOT RefUrg AND ram/RS_FSM_FFd3) + OR (NOT RefUrg AND ram/RS_FSM_FFd6) + OR (ram/RefDone AND ram/RS_FSM_FFd6)); FDCPE_nDTACK_FSB: FDCPE port map (nDTACK_FSB,nDTACK_FSB_D,FCLK,'0','0'); -nDTACK_FSB_D <= ((NOT QoSReady) - OR (NOT A_FSB(22) AND NOT IONPReady AND NOT RAMReady) - OR (A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16)) - OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND - A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND NOT nWE_FSB AND NOT IONPReady AND - NOT IOPWReady) - OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND - A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND NOT nWE_FSB AND NOT IONPReady AND - NOT IOPWReady) +nDTACK_FSB_D <= ((cnt/WS(3).EXP) OR (A_FSB(23) AND NOT IONPReady) + OR (NOT IONPReady AND NOT QoSReady) OR (nAS_FSB AND NOT fsb/ASrf) OR (A_FSB(22) AND A_FSB(21) AND NOT IONPReady) OR (A_FSB(22) AND A_FSB(20) AND NOT IONPReady)); @@ -1629,20 +1641,22 @@ nLDS_IOB <= ((iobm/IOS_FSM_FFd7 AND NOT iobm/C8Mr AND IOL0 AND nLDS_IOB <= nLDS_IOB_I when nLDS_IOB_OE = '1' else 'Z'; nLDS_IOB_OE <= NOT nAoutOE; - -nOE <= NOT ((nWE_FSB AND NOT nAS_FSB)); +FDCPE_nOE: FDCPE port map (nOE,nOE_D,FCLK,'0','0'); +nOE_D <= ((NOT nWE_FSB) + OR (nAS_FSB AND NOT fsb/ASrf) + OR (ram/DTACKr AND ram/BACTr)); -nRAMLWE <= NOT ((ram/RAMEN AND NOT nWE_FSB AND NOT nLDS_FSB AND NOT nAS_FSB)); +nRAMLWE <= NOT ((NOT nWE_FSB AND NOT nLDS_FSB AND ram/RASEL)); -nRAMUWE <= NOT ((ram/RAMEN AND NOT nWE_FSB AND NOT nUDS_FSB AND NOT nAS_FSB)); +nRAMUWE <= NOT ((NOT nWE_FSB AND NOT nUDS_FSB AND ram/RASEL)); -nRAS <= NOT (((ram/RASrf) - OR (ram/RASrr) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND - NOT nAS_FSB))); +nRAS <= NOT (((ram/RASrr) + OR (ram/RASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND + ram/RASEN))); nRES_I <= '0'; @@ -1680,97 +1694,136 @@ nVMA_IOB_OE <= NOT nAoutOE; FDCPE_nVPA_FSB: FDCPE port map (nVPA_FSB,nVPA_FSB_D,FCLK,'0',nAS_FSB); nVPA_FSB_D <= ((A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND IONPReady AND - NOT nAS_FSB AND QoSReady) + NOT nAS_FSB) OR (A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND IONPReady AND - QoSReady AND fsb/ASrf)); + fsb/ASrf)); -FDCPE_ram/CAS: FDCPE port map (ram/CAS,ram/CAS_D,FCLK,'0','0'); -ram/CAS_D <= ((ram/RS_FSM_FFd7) - OR (ram/RS_FSM_FFd6) - OR (RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd5) - OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND - ram/RS_FSM_FFd8 AND NOT fsb/ASrf) - OR (NOT ram/RefDone AND RefReq AND nAS_FSB AND - ram/RS_FSM_FFd8 AND NOT fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND - NOT nAS_FSB AND ram/RS_FSM_FFd8) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND - ram/RS_FSM_FFd8 AND fsb/ASrf)); +FDCPE_ram/BACTr: FDCPE port map (ram/BACTr,ram/BACTr_D,FCLK,'0','0'); +ram/BACTr_D <= (nAS_FSB AND NOT fsb/ASrf); -FTCPE_ram/Once: FTCPE port map (ram/Once,ram/Once_T,FCLK,'0','0'); -ram/Once_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND - NOT ram/Once AND NOT nAS_FSB AND ram/RS_FSM_FFd8) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND - NOT ram/Once AND ram/RS_FSM_FFd8 AND fsb/ASrf) - OR (ram/Once AND nAS_FSB AND NOT fsb/ASrf)); - -FDCPE_ram/RAMEN: FDCPE port map (ram/RAMEN,ram/RAMEN_D,FCLK,'0','0'); -ram/RAMEN_D <= ((ram/RS_FSM_FFd7) - OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND - ram/RS_FSM_FFd8 AND NOT fsb/ASrf) - OR (NOT ram/RAMEN AND ram/Once AND NOT nAS_FSB) - OR (NOT ram/RAMEN AND ram/Once AND fsb/ASrf) - OR (NOT ram/RAMEN AND NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4) - OR (NOT ram/RefDone AND RefReq AND nAS_FSB AND - ram/RS_FSM_FFd8 AND NOT fsb/ASrf)); +FDCPE_ram/DTACKr: FDCPE port map (ram/DTACKr,NOT nDTACK_FSB,FCLK,'0','0'); FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,FCLK,'0','0'); -ram/RASEL_D <= ((ram/RS_FSM_FFd7) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND - NOT nAS_FSB AND ram/RS_FSM_FFd8) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND - ram/RS_FSM_FFd8 AND fsb/ASrf)); +ram/RASEL_D <= ((ram/RS_FSM_FFd5) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND + ram/RS_FSM_FFd7 AND ram/RASEN) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND + ram/RS_FSM_FFd7 AND ram/RASEN AND fsb/ASrf) + OR (ram/RASEL AND NOT ram/RS_FSM_FFd7 AND NOT ram/RS_FSM_FFd3 AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd6 AND + NOT ram/RS_FSM_FFd2)); -FDCPE_ram/RASrf: FDCPE port map (ram/RASrf,ram/RS_FSM_FFd7,NOT FCLK,'0','0'); +FDCPE_ram/RASEN: FDCPE port map (ram/RASEN,ram/RASEN_D,FCLK,'0','0'); +ram/RASEN_D <= ((ram/RS_FSM_FFd1) + OR (RA_4_OBUF.EXP) + OR (ram/RefDone AND ram/RS_FSM_FFd7) + OR (ram/RefDone AND ram/RS_FSM_FFd3) + OR (NOT RefUrg AND NOT RefReq AND ram/RS_FSM_FFd7) + OR (NOT RefUrg AND ram/RS_FSM_FFd7 AND ram/BACTr) + OR (NOT RefUrg AND nAS_FSB AND ram/RS_FSM_FFd7 AND NOT fsb/ASrf) + OR (NOT RefUrg AND ram/RS_FSM_FFd3) + OR (NOT ram/RS_FSM_FFd7 AND NOT ram/RS_FSM_FFd3 AND ram/RASEN AND + NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd6 AND + NOT ram/RS_FSM_FFd2)); + +FDCPE_ram/RASrf: FDCPE port map (ram/RASrf,ram/RASrf_D,NOT FCLK,'0','0'); +ram/RASrf_D <= (NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd6); FDCPE_ram/RASrr: FDCPE port map (ram/RASrr,ram/RASrr_D,FCLK,'0','0'); -ram/RASrr_D <= ((ram/RS_FSM_FFd3) - OR (ram/RS_FSM_FFd6) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND - NOT nAS_FSB AND ram/RS_FSM_FFd8) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND - ram/RS_FSM_FFd8 AND fsb/ASrf)); +ram/RASrr_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND + ram/RS_FSM_FFd7 AND ram/RASEN) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND + ram/RS_FSM_FFd7 AND ram/RASEN AND fsb/ASrf) + OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND + ram/RS_FSM_FFd7 AND NOT ram/BACTr) + OR (A_FSB(23) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND + ram/RS_FSM_FFd7 AND NOT ram/BACTr) + OR (A_FSB(23) AND RefReq AND NOT ram/RefDone AND + ram/RS_FSM_FFd7 AND NOT ram/BACTr AND fsb/ASrf) + OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND + ram/RS_FSM_FFd7 AND NOT ram/BACTr AND fsb/ASrf) + OR (NOT ram/RS_FSM_FFd7 AND NOT ram/RS_FSM_FFd3 AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd5 AND + NOT ram/RS_FSM_FFd6 AND NOT ram/RS_FSM_FFd2 AND ram/RASrr) + OR (RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd3) + OR (A_FSB(23) AND RefUrg AND NOT ram/RefDone AND + ram/RS_FSM_FFd7) + OR (A_FSB(22) AND RefUrg AND NOT ram/RefDone AND + ram/RS_FSM_FFd7) + OR (RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd7 AND + NOT ram/RASEN) + OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND + ram/RS_FSM_FFd7 AND NOT fsb/ASrf)); FDCPE_ram/RS_FSM_FFd1: FDCPE port map (ram/RS_FSM_FFd1,ram/RS_FSM_FFd2,FCLK,'0','0'); -FDCPE_ram/RS_FSM_FFd2: FDCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd3,FCLK,'0','0'); +FDCPE_ram/RS_FSM_FFd2: FDCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd6,FCLK,'0','0'); -FDCPE_ram/RS_FSM_FFd3: FDCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd6,FCLK,'0','0'); +FDCPE_ram/RS_FSM_FFd3: FDCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_D,FCLK,'0','0'); +ram/RS_FSM_FFd3_D <= (ram/RS_FSM_FFd4 AND ram/DTACKr); FDCPE_ram/RS_FSM_FFd4: FDCPE port map (ram/RS_FSM_FFd4,ram/RS_FSM_FFd4_D,FCLK,'0','0'); -ram/RS_FSM_FFd4_D <= ((NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd1) - OR (RefUrg AND NOT ram/RefDone AND NOT ram/RS_FSM_FFd1)); +ram/RS_FSM_FFd4_D <= ((ram/RS_FSM_FFd5) + OR (ram/RS_FSM_FFd4 AND NOT ram/DTACKr)); -FDCPE_ram/RS_FSM_FFd5: FDCPE port map (ram/RS_FSM_FFd5,ram/RS_FSM_FFd7,FCLK,'0','0'); +FDCPE_ram/RS_FSM_FFd5: FDCPE port map (ram/RS_FSM_FFd5,ram/RS_FSM_FFd5_D,FCLK,'0','0'); +ram/RS_FSM_FFd5_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND + ram/RS_FSM_FFd7 AND ram/RASEN) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND + ram/RS_FSM_FFd7 AND ram/RASEN AND fsb/ASrf)); FDCPE_ram/RS_FSM_FFd6: FDCPE port map (ram/RS_FSM_FFd6,ram/RS_FSM_FFd6_D,FCLK,'0','0'); -ram/RS_FSM_FFd6_D <= ((RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd5) +ram/RS_FSM_FFd6_D <= ((A_FSB(23) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND + ram/RS_FSM_FFd7 AND NOT ram/BACTr) + OR (A_FSB(23) AND RefReq AND NOT ram/RefDone AND + ram/RS_FSM_FFd7 AND NOT ram/BACTr AND fsb/ASrf) + OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND + ram/RS_FSM_FFd7 AND NOT ram/BACTr) + OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND + ram/RS_FSM_FFd7 AND NOT ram/BACTr AND fsb/ASrf) + OR (RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd3) + OR (A_FSB(23) AND RefUrg AND NOT ram/RefDone AND + ram/RS_FSM_FFd7) + OR (A_FSB(22) AND RefUrg AND NOT ram/RefDone AND + ram/RS_FSM_FFd7) + OR (RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd7 AND + NOT ram/RASEN) OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND - ram/RS_FSM_FFd8 AND NOT fsb/ASrf) - OR (NOT ram/RefDone AND RefReq AND nAS_FSB AND - ram/RS_FSM_FFd8 AND NOT fsb/ASrf)); + ram/RS_FSM_FFd7 AND NOT fsb/ASrf)); FDCPE_ram/RS_FSM_FFd7: FDCPE port map (ram/RS_FSM_FFd7,ram/RS_FSM_FFd7_D,FCLK,'0','0'); -ram/RS_FSM_FFd7_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND - NOT nAS_FSB AND ram/RS_FSM_FFd8) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND - ram/RS_FSM_FFd8 AND fsb/ASrf)); - -FDCPE_ram/RS_FSM_FFd8: FDCPE port map (ram/RS_FSM_FFd8,ram/RS_FSM_FFd8_D,FCLK,'0','0'); -ram/RS_FSM_FFd8_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND - NOT ram/RS_FSM_FFd4 AND fsb/ASrf) - OR (NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4) +ram/RS_FSM_FFd7_D <= ((A_FSB(23) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd3 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + OR (A_FSB(23) AND RefReq AND NOT ram/RefDone AND + NOT ram/RS_FSM_FFd3 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND + NOT ram/RS_FSM_FFd3 AND ram/RASEN AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf) + OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd3 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND + NOT ram/RS_FSM_FFd3 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) + OR (RefUrg AND NOT ram/RefDone AND NOT ram/RS_FSM_FFd7 AND + NOT ram/RS_FSM_FFd1) OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND - NOT ram/RS_FSM_FFd4 AND NOT fsb/ASrf) - OR (NOT ram/RefDone AND RefReq AND nAS_FSB AND - NOT ram/RS_FSM_FFd4 AND NOT fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND - NOT nAS_FSB AND NOT ram/RS_FSM_FFd4)); + NOT ram/RS_FSM_FFd1 AND NOT fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd3 AND ram/RASEN AND NOT ram/RS_FSM_FFd1) + OR (NOT ram/RS_FSM_FFd7 AND NOT ram/RS_FSM_FFd3 AND + NOT ram/RS_FSM_FFd1) + OR (A_FSB(23) AND RefUrg AND NOT ram/RefDone AND + NOT ram/RS_FSM_FFd1) + OR (A_FSB(22) AND RefUrg AND NOT ram/RefDone AND + NOT ram/RS_FSM_FFd1) + OR (RefUrg AND cs/nOverlay AND NOT ram/RefDone AND + NOT ram/RS_FSM_FFd1) + OR (RefUrg AND NOT ram/RefDone AND NOT ram/RASEN AND + NOT ram/RS_FSM_FFd1)); FDCPE_ram/RefDone: FDCPE port map (ram/RefDone,ram/RefDone_D,FCLK,'0','0'); ram/RefDone_D <= ((NOT RefUrg AND NOT RefReq) - OR (NOT ram/RefDone AND NOT ram/RS_FSM_FFd3 AND NOT ram/RS_FSM_FFd2)); + OR (NOT ram/RefDone AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd6 AND + NOT ram/RS_FSM_FFd2)); Register Legend: FDCPE (Q,D,C,CLR,PRE,CE); diff --git a/cpld/XC95144XL/WarpSE_html/fit/defeqns.htm b/cpld/XC95144XL/WarpSE_html/fit/defeqns.htm index 64ae039..a408a5b 100644 --- a/cpld/XC95144XL/WarpSE_html/fit/defeqns.htm +++ b/cpld/XC95144XL/WarpSE_html/fit/defeqns.htm @@ -6,7 +6,7 @@ ********** Mapped Logic ********** -$OpTx$$OpTx$FX_DC$354_INV$541 <= (nAS_FSB AND NOT fsb/ASrf); +$OpTx$$OpTx$FX_DC$346_INV$533 <= (nAS_FSB AND NOT fsb/ASrf); FDCPE_ALE0M: FDCPE port map (ALE0M,ALE0M_D,C16M,'0','0');
     ALE0M_D <= ((iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd3 AND @@ -50,6 +50,20 @@ C25MEN <= '1'; + + + + + + + + + + + + + + FDCPE_IOACT: FDCPE port map (IOACT,IOACT_D,C16M,'0','0');
     IOACT_D <= ((iobm/IOS_FSM_FFd4)
      OR (iobm/IOS_FSM_FFd5) @@ -107,23 +121,16 @@ FDCPE_IONPReady: FDCPE port map (IONPReady,IONPReady_D,FCLK,'0','0');
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND
      NOT nWE_FSB AND NOT IONPReady)); -FDCPE_IOPWReady: FDCPE port map (IOPWReady,IOPWReady_D,FCLK,'0','0'); -
     IOPWReady_D <= ((iobs/Clear1) -
      OR (NOT iobs/Load1 AND nADoutLE1)); - FDCPE_IORDREQ: FDCPE port map (IORDREQ,IORDREQ_D,FCLK,'0','0'); -
     IORDREQ_D <= ((NOT iobs/IORW1 AND NOT iobs/TS_FSM_FFd2 AND NOT nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/TS_FSM_FFd2 AND -
      nADoutLE1) -
      OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND -
      nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND cs/nOverlay AND -
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) -
      OR (iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2) -
      OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr) +
     IORDREQ_D <= ((iobs/Load1.EXP)
      OR (iobs/TS_FSM_FFd2 AND NOT IORDREQ)
      OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) -
      OR (NOT nWE_FSB AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1)); +
      OR (NOT nWE_FSB AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT iobs/IORW1 AND NOT iobs/TS_FSM_FFd2 AND NOT nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/TS_FSM_FFd2 AND +
      nADoutLE1) +
      OR (iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2) +
      OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr)); FTCPE_IOU0: FTCPE port map (IOU0,IOU0_T,FCLK,'0','0');
     IOU0_T <= ((iobs/TS_FSM_FFd1) @@ -184,32 +191,30 @@ FDCPE_IOWRREQ: FDCPE port map (IOWRREQ,IOWRREQ_D,FCLK,'0','0'); FDCPE_QoSReady: FDCPE port map (QoSReady,QoSReady_D,FCLK,'0','0');
     QoSReady_D <= ((A_FSB(22) AND NOT A_FSB(17) AND -
      NOT $OpTx$$OpTx$FX_DC$354_INV$541) +
      NOT $OpTx$$OpTx$FX_DC$346_INV$533)
      OR (A_FSB(22) AND NOT A_FSB(16) AND -
      NOT $OpTx$$OpTx$FX_DC$354_INV$541) +
      NOT $OpTx$$OpTx$FX_DC$346_INV$533)
      OR (A_FSB(22) AND NOT A_FSB(13) AND -
      NOT $OpTx$$OpTx$FX_DC$354_INV$541) +
      NOT $OpTx$$OpTx$FX_DC$346_INV$533)
      OR (A_FSB(22) AND NOT A_FSB(14) AND -
      NOT $OpTx$$OpTx$FX_DC$354_INV$541) -
      OR (A_FSB(22) AND NOT A_FSB(10) AND -
      NOT $OpTx$$OpTx$FX_DC$354_INV$541) -
      OR (nRESout.EXP) +
      NOT $OpTx$$OpTx$FX_DC$346_INV$533) +
      OR (nROMWE_OBUF.EXP)
      OR (A_FSB(22) AND A_FSB(21) AND -
      NOT $OpTx$$OpTx$FX_DC$354_INV$541) +
      NOT $OpTx$$OpTx$FX_DC$346_INV$533)
      OR (A_FSB(22) AND A_FSB(19) AND -
      NOT $OpTx$$OpTx$FX_DC$354_INV$541) +
      NOT $OpTx$$OpTx$FX_DC$346_INV$533)
      OR (A_FSB(22) AND A_FSB(18) AND -
      NOT $OpTx$$OpTx$FX_DC$354_INV$541) +
      NOT $OpTx$$OpTx$FX_DC$346_INV$533)
      OR (A_FSB(22) AND A_FSB(15) AND -
      NOT $OpTx$$OpTx$FX_DC$354_INV$541) +
      NOT $OpTx$$OpTx$FX_DC$346_INV$533)
      OR (A_FSB(22) AND A_FSB(12) AND -
      NOT $OpTx$$OpTx$FX_DC$354_INV$541) -
      OR (A_FSB(23) AND NOT $OpTx$$OpTx$FX_DC$354_INV$541) +
      NOT $OpTx$$OpTx$FX_DC$346_INV$533) +
      OR (A_FSB(23) AND NOT $OpTx$$OpTx$FX_DC$346_INV$533)
      OR (NOT cnt/LTimer(0) AND NOT cnt/LTimer(1)) -
      OR (NOT nWE_FSB AND NOT $OpTx$$OpTx$FX_DC$354_INV$541) -
      OR (QoSReady AND NOT $OpTx$$OpTx$FX_DC$354_INV$541) +
      OR (NOT nWE_FSB AND NOT $OpTx$$OpTx$FX_DC$346_INV$533) +
      OR (QoSReady AND NOT $OpTx$$OpTx$FX_DC$346_INV$533)
      OR (A_FSB(22) AND A_FSB(20) AND -
      NOT $OpTx$$OpTx$FX_DC$354_INV$541)); +
      NOT $OpTx$$OpTx$FX_DC$346_INV$533)); RA(0) <= ((ram/RASEL AND A_FSB(1)) @@ -220,8 +225,8 @@ RA(1) <= ((A_FSB(10) AND NOT ram/RASEL)
      OR (ram/RASEL AND A_FSB(2))); -RA(2) <= ((A_FSB(16) AND NOT ram/RASEL) -
      OR (ram/RASEL AND A_FSB(7))); +RA(2) <= ((ram/RASEL AND A_FSB(7)) +
      OR (A_FSB(16) AND NOT ram/RASEL)); RA(3) <= ((A_FSB(20) AND ram/RASEL) @@ -260,13 +265,27 @@ RA(11) <= ((A_FSB(20) AND ram/RASEL)
      OR (A_FSB(19) AND NOT ram/RASEL)); FDCPE_RAMReady: FDCPE port map (RAMReady,RAMReady_D,FCLK,'0','0'); -
     RAMReady_D <= ((NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4 AND NOT RAMReady) -
      OR (nAS_FSB AND NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4 AND -
      NOT fsb/ASrf) -
      OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND -
      NOT ram/RS_FSM_FFd4 AND NOT fsb/ASrf) -
      OR (NOT ram/RefDone AND RefReq AND nAS_FSB AND -
      NOT ram/RS_FSM_FFd4 AND NOT fsb/ASrf)); +
     RAMReady_D <= ((EXP20_.EXP) +
      OR (NOT ram/RS_FSM_FFd7 AND NOT ram/RS_FSM_FFd3 AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd5 AND NOT RAMReady) +
      OR (A_FSB(23) AND RefUrg AND NOT ram/RefDone AND +
      ram/RS_FSM_FFd7 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd4 AND +
      NOT ram/RS_FSM_FFd5) +
      OR (A_FSB(22) AND RefUrg AND NOT ram/RefDone AND +
      ram/RS_FSM_FFd7 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd4 AND +
      NOT ram/RS_FSM_FFd5) +
      OR (RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd7 AND +
      NOT ram/RASEN AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd4 AND +
      NOT ram/RS_FSM_FFd5) +
      OR (RefUrg AND NOT ram/RefDone AND NOT ram/RS_FSM_FFd7 AND +
      ram/RS_FSM_FFd3 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd4 AND +
      NOT ram/RS_FSM_FFd5) +
      OR (NOT ram/RS_FSM_FFd7 AND NOT ram/RS_FSM_FFd3 AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd5 AND +
      ram/RS_FSM_FFd6) +
      OR (NOT ram/RS_FSM_FFd7 AND NOT ram/RS_FSM_FFd3 AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd5 AND +
      ram/RS_FSM_FFd2)); FDCPE_RefReq: FDCPE port map (RefReq,RefReq_D,FCLK,'0','0',RefReq_CE);
     RefReq_D <= (NOT cnt/Timer(0) AND cnt/Timer(1) AND NOT cnt/Timer(2) AND @@ -274,8 +293,7 @@ FDCPE_RefReq: FDCPE port map (RefReq,RefReq_D,FCLK,'0','0',RefReq_CE);
     RefReq_CE <= (NOT cnt/Er(0) AND cnt/Er(1)); FDCPE_RefUrg: FDCPE port map (RefUrg,RefUrg_D,FCLK,'0','0',RefUrg_CE); -
     RefUrg_D <= (cnt/Timer(0) AND NOT cnt/Timer(1) AND NOT cnt/Timer(2) AND -
      cnt/Timer(3)); +
     RefUrg_D <= (NOT cnt/Timer(1) AND NOT cnt/Timer(2) AND cnt/Timer(3));
     RefUrg_CE <= (NOT cnt/Er(0) AND cnt/Er(1)); FDCPE_cnt/Er0: FDCPE port map (cnt/Er(0),E,FCLK,'0','0'); @@ -283,17 +301,17 @@ FDCPE_cnt/Er0: FDCPE port map (cnt/Er(0),E,FCLK,'0','0'); FDCPE_cnt/Er1: FDCPE port map (cnt/Er(1),cnt/Er(0),FCLK,'0','0'); FTCPE_cnt/IS_FSM_FFd1: FTCPE port map (cnt/IS_FSM_FFd1,cnt/IS_FSM_FFd1_T,FCLK,'0','0'); -
     cnt/IS_FSM_FFd1_T <= (RefUrg AND NOT cnt/IS_FSM_FFd1 AND cnt/IS_FSM_FFd2 AND +
     cnt/IS_FSM_FFd1_T <= (cnt/TimerTC AND NOT cnt/IS_FSM_FFd1 AND cnt/IS_FSM_FFd2 AND
      NOT cnt/Er(0) AND cnt/nIPL2r AND cnt/Er(1) AND cnt/LTimerTC); FTCPE_cnt/IS_FSM_FFd2: FTCPE port map (cnt/IS_FSM_FFd2,cnt/IS_FSM_FFd2_T,FCLK,'0','0'); -
     cnt/IS_FSM_FFd2_T <= ((RefUrg AND cnt/IS_FSM_FFd1 AND cnt/IS_FSM_FFd2 AND +
     cnt/IS_FSM_FFd2_T <= ((cnt/TimerTC AND cnt/IS_FSM_FFd1 AND cnt/IS_FSM_FFd2 AND
      NOT cnt/Er(0) AND cnt/Er(1) AND cnt/LTimerTC) -
      OR (RefUrg AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND +
      OR (cnt/TimerTC AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND
      NOT cnt/Er(0) AND cnt/Er(1) AND cnt/LTimerTC)); FDCPE_cnt/LTimer0: FDCPE port map (cnt/LTimer(0),cnt/LTimer_D(0),FCLK,'0','0'); -
     cnt/LTimer_D(0) <= ((nROMCS_OBUF.EXP) +
     cnt/LTimer_D(0) <= ((nAoutOE_OBUF.EXP)
      OR (NOT cnt/LTimer(0) AND cnt/LTimer(10) AND
      cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2)
      OR (NOT cnt/LTimer(0) AND cnt/LTimer(8) AND @@ -307,7 +325,7 @@ FDCPE_cnt/LTimer0: FDCPE port map (cnt/LTimer(0),cnt/LTimer_D(0),FCLK,'0','0');
      A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND
      NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND
      A_FSB(8) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) -
      OR (ALE0S.EXP) +
      OR (cnt/LTimer(1).EXP)
      OR (NOT cnt/LTimer(0) AND cnt/LTimer(3) AND
      cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2)
      OR (NOT cnt/LTimer(0) AND cnt/LTimer(4) AND @@ -330,7 +348,8 @@ FDCPE_cnt/LTimer0: FDCPE port map (cnt/LTimer(0),cnt/LTimer_D(0),FCLK,'0','0');
      cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2)); FDCPE_cnt/LTimer1: FDCPE port map (cnt/LTimer(1),cnt/LTimer_D(1),FCLK,'0','0'); -
     cnt/LTimer_D(1) <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
     cnt/LTimer_D(1) <= (($OpTx$$OpTx$FX_DC$346_INV$533.EXP) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND
      A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND
      NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND @@ -338,147 +357,132 @@ FDCPE_cnt/LTimer1: FDCPE port map (cnt/LTimer(1),cnt/LTimer_D(1),FCLK,'0','0');
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND
      A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND -
      NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(8) AND -
      fsb/ASrf AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND -
      A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND -
      NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND -
      A_FSB(9) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND -
      A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND -
      NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(8) AND -
      fsb/ASrf AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND -
      A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND -
      NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(9) AND -
      fsb/ASrf AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND -
      A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND -
      NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(9) AND -
      fsb/ASrf AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) -
      OR (NOT cnt/LTimer(0) AND NOT cnt/LTimer(1)) -
      OR (NOT cnt/LTimer(1) AND -
      NOT cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) -
      OR (cnt/LTimer(0) AND cnt/LTimer(1) AND -
      cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND -
      A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND
      NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND
      A_FSB(8) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND +
      A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND +
      NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(8) AND +
      fsb/ASrf AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND
      A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND
      NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND -
      A_FSB(8) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2)); +
      A_FSB(9) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND +
      A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND +
      NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND +
      A_FSB(8) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) +
      OR (NOT cnt/LTimer(0) AND NOT cnt/LTimer(1)) +
      OR (NOT cnt/LTimer(1) AND +
      NOT cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) +
      OR (cnt/LTimer(0) AND cnt/LTimer(1) AND +
      cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2)); FTCPE_cnt/LTimer2: FTCPE port map (cnt/LTimer(2),cnt/LTimer_T(2),FCLK,'0','0');
     cnt/LTimer_T(2) <= ((cnt/LTimer(2) AND cnt/IS_FSM_FFd1 AND
      NOT cnt/IS_FSM_FFd2) -
      OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND +
      OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/TimerTC AND
      NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) -
      OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND +
      OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/TimerTC AND
      cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); FTCPE_cnt/LTimer3: FTCPE port map (cnt/LTimer(3),cnt/LTimer_T(3),FCLK,'0','0');
     cnt/LTimer_T(3) <= ((cnt/LTimer(3) AND cnt/IS_FSM_FFd1 AND
      NOT cnt/IS_FSM_FFd2) -
      OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND -
      cnt/LTimer(2) AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) -
      OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND -
      cnt/LTimer(2) AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); +
      OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND +
      cnt/TimerTC AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) +
      OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND +
      cnt/TimerTC AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); FTCPE_cnt/LTimer4: FTCPE port map (cnt/LTimer(4),cnt/LTimer_T(4),FCLK,'0','0');
     cnt/LTimer_T(4) <= ((cnt/LTimer(4) AND cnt/IS_FSM_FFd1 AND
      NOT cnt/IS_FSM_FFd2) -
      OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND -
      cnt/LTimer(2) AND cnt/LTimer(3) AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND +
      OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND +
      cnt/LTimer(3) AND cnt/TimerTC AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND
      cnt/Er(1)) -
      OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND -
      cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND +
      OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND +
      cnt/LTimer(3) AND cnt/TimerTC AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND
      cnt/Er(1))); FTCPE_cnt/LTimer5: FTCPE port map (cnt/LTimer(5),cnt/LTimer_T(5),FCLK,'0','0');
     cnt/LTimer_T(5) <= ((cnt/LTimer(5) AND cnt/IS_FSM_FFd1 AND
      NOT cnt/IS_FSM_FFd2) -
      OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND -
      cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND NOT cnt/IS_FSM_FFd1 AND +
      OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND +
      cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/TimerTC AND NOT cnt/IS_FSM_FFd1 AND
      NOT cnt/Er(0) AND cnt/Er(1)) -
      OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND -
      cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/IS_FSM_FFd2 AND +
      OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND +
      cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/TimerTC AND cnt/IS_FSM_FFd2 AND
      NOT cnt/Er(0) AND cnt/Er(1))); FTCPE_cnt/LTimer6: FTCPE port map (cnt/LTimer(6),cnt/LTimer_T(6),FCLK,'0','0');
     cnt/LTimer_T(6) <= ((cnt/LTimer(6) AND cnt/IS_FSM_FFd1 AND
      NOT cnt/IS_FSM_FFd2) -
      OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND -
      cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND +
      OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND +
      cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/TimerTC AND
      NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) -
      OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND -
      cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND +
      OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND +
      cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/TimerTC AND
      cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); FTCPE_cnt/LTimer7: FTCPE port map (cnt/LTimer(7),cnt/LTimer_T(7),FCLK,'0','0');
     cnt/LTimer_T(7) <= ((cnt/LTimer(7) AND cnt/IS_FSM_FFd1 AND
      NOT cnt/IS_FSM_FFd2) -
      OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND -
      cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND -
      cnt/LTimer(6) AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) -
      OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND -
      cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND -
      cnt/LTimer(6) AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); +
      OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND +
      cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND +
      cnt/TimerTC AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) +
      OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND +
      cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND +
      cnt/TimerTC AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); FTCPE_cnt/LTimer8: FTCPE port map (cnt/LTimer(8),cnt/LTimer_T(8),FCLK,'0','0');
     cnt/LTimer_T(8) <= ((cnt/LTimer(8) AND cnt/IS_FSM_FFd1 AND
      NOT cnt/IS_FSM_FFd2) -
      OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND -
      cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND -
      cnt/LTimer(6) AND cnt/LTimer(7) AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND +
      OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND +
      cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND +
      cnt/LTimer(7) AND cnt/TimerTC AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND
      cnt/Er(1)) -
      OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND -
      cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND -
      cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND +
      OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND +
      cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND +
      cnt/LTimer(7) AND cnt/TimerTC AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND
      cnt/Er(1))); FTCPE_cnt/LTimer9: FTCPE port map (cnt/LTimer(9),cnt/LTimer_T(9),FCLK,'0','0'); -
     cnt/LTimer_T(9) <= ((RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND -
      cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND -
      cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/IS_FSM_FFd2 AND +
     cnt/LTimer_T(9) <= ((cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND +
      cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND +
      cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/TimerTC AND cnt/IS_FSM_FFd2 AND
      NOT cnt/Er(0) AND cnt/Er(1))
      OR (cnt/LTimer(9) AND cnt/IS_FSM_FFd1 AND
      NOT cnt/IS_FSM_FFd2) -
      OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND -
      cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND -
      cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND NOT cnt/IS_FSM_FFd1 AND +
      OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND +
      cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND +
      cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/TimerTC AND NOT cnt/IS_FSM_FFd1 AND
      NOT cnt/Er(0) AND cnt/Er(1))); FTCPE_cnt/LTimer10: FTCPE port map (cnt/LTimer(10),cnt/LTimer_T(10),FCLK,'0','0');
     cnt/LTimer_T(10) <= ((cnt/LTimer(10) AND cnt/IS_FSM_FFd1 AND
      NOT cnt/IS_FSM_FFd2) -
      OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND -
      cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND -
      cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9) AND +
      OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND +
      cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND +
      cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9) AND cnt/TimerTC AND
      NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) -
      OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND -
      cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND -
      cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9) AND +
      OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND +
      cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND +
      cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9) AND cnt/TimerTC AND
      cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); FTCPE_cnt/LTimer11: FTCPE port map (cnt/LTimer(11),cnt/LTimer_T(11),FCLK,'0','0');
     cnt/LTimer_T(11) <= ((cnt/LTimer(11) AND cnt/IS_FSM_FFd1 AND
      NOT cnt/IS_FSM_FFd2) -
      OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND -
      cnt/LTimer(10) AND cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND -
      cnt/LTimer(5) AND cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND -
      cnt/LTimer(9) AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) -
      OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND -
      cnt/LTimer(10) AND cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND -
      cnt/LTimer(5) AND cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND -
      cnt/LTimer(9) AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); +
      OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(10) AND +
      cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND +
      cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9) AND +
      cnt/TimerTC AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) +
      OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(10) AND +
      cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND +
      cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9) AND +
      cnt/TimerTC AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); FDCPE_cnt/LTimerTC: FDCPE port map (cnt/LTimerTC,cnt/LTimerTC_D,FCLK,'0','0');
     cnt/LTimerTC_D <= (NOT cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(10) AND @@ -512,7 +516,7 @@ cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 <= ((NOT A_FSB(23) AND NOT A_FSB(22
      A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND
      NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND
      A_FSB(9)) -
      OR (RefUrg AND NOT cnt/Er(0) AND cnt/Er(1)) +
      OR (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1))
      OR (NOT cnt/LTimer(0) AND NOT cnt/LTimer(1) AND NOT cnt/LTimer(10) AND
      NOT cnt/LTimer(2) AND NOT cnt/LTimer(3) AND NOT cnt/LTimer(4) AND NOT cnt/LTimer(5) AND
      NOT cnt/LTimer(6) AND NOT cnt/LTimer(7) AND NOT cnt/LTimer(8) AND NOT cnt/LTimer(9) AND @@ -534,39 +538,46 @@ cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 <= ((NOT A_FSB(23) AND NOT A_FSB(22
      A_FSB(8))); FTCPE_cnt/Timer0: FTCPE port map (cnt/Timer(0),cnt/Timer_T(0),FCLK,'0','0',cnt/Timer_CE(0)); -
     cnt/Timer_T(0) <= (RefUrg AND NOT cnt/Timer(0) AND NOT cnt/Er(0) AND cnt/Er(1)); +
     cnt/Timer_T(0) <= (NOT cnt/Timer(0) AND cnt/TimerTC AND NOT cnt/Er(0) AND +
      cnt/Er(1));
     cnt/Timer_CE(0) <= (NOT cnt/Er(0) AND cnt/Er(1)); FDCPE_cnt/Timer1: FDCPE port map (cnt/Timer(1),cnt/Timer_D(1),FCLK,'0','0',cnt/Timer_CE(1));
     cnt/Timer_D(1) <= ((cnt/Timer(0) AND cnt/Timer(1))
      OR (NOT cnt/Timer(0) AND NOT cnt/Timer(1)) -
      OR (RefUrg AND NOT cnt/Er(0) AND cnt/Er(1))); +
      OR (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)));
     cnt/Timer_CE(1) <= (NOT cnt/Er(0) AND cnt/Er(1)); FDCPE_cnt/Timer2: FDCPE port map (cnt/Timer(2),cnt/Timer_D(2),FCLK,'0','0',cnt/Timer_CE(2));
     cnt/Timer_D(2) <= ((NOT cnt/Timer(0) AND NOT cnt/Timer(2))
      OR (NOT cnt/Timer(1) AND NOT cnt/Timer(2)) -
      OR (RefUrg AND NOT cnt/Er(0) AND cnt/Er(1)) -
      OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2))); +
      OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2)) +
      OR (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)));
     cnt/Timer_CE(2) <= (NOT cnt/Er(0) AND cnt/Er(1)); FTCPE_cnt/Timer3: FTCPE port map (cnt/Timer(3),cnt/Timer_T(3),FCLK,'0','0',cnt/Timer_CE(3)); -
     cnt/Timer_T(3) <= ((RefUrg AND cnt/Timer(3) AND NOT cnt/Er(0) AND cnt/Er(1)) -
      OR (NOT RefUrg AND cnt/Timer(0) AND cnt/Timer(1) AND -
      cnt/Timer(2)) +
     cnt/Timer_T(3) <= ((cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2) AND +
      NOT cnt/TimerTC)
      OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2) AND
      cnt/Er(0))
      OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2) AND -
      NOT cnt/Er(1))); +
      NOT cnt/Er(1)) +
      OR (cnt/Timer(3) AND cnt/TimerTC AND NOT cnt/Er(0) AND +
      cnt/Er(1)));
     cnt/Timer_CE(3) <= (NOT cnt/Er(0) AND cnt/Er(1)); +FDCPE_cnt/TimerTC: FDCPE port map (cnt/TimerTC,cnt/TimerTC_D,FCLK,'0','0',cnt/TimerTC_CE); +
     cnt/TimerTC_D <= (cnt/Timer(0) AND NOT cnt/Timer(1) AND NOT cnt/Timer(2) AND +
      cnt/Timer(3)); +
     cnt/TimerTC_CE <= (NOT cnt/Er(0) AND cnt/Er(1)); + FTCPE_cnt/WS0: FTCPE port map (cnt/WS(0),cnt/WS_T(0),FCLK,'0','0');
     cnt/WS_T(0) <= (nAS_FSB AND NOT cnt/WS(0) AND NOT fsb/ASrf); FDCPE_cnt/WS1: FDCPE port map (cnt/WS(1),cnt/WS_D(1),FCLK,'0','0');
     cnt/WS_D(1) <= ((nAS_FSB AND NOT fsb/ASrf) -
      OR (NOT cnt/WS(0) AND NOT cnt/WS(1)) -
      OR (cnt/WS(0) AND cnt/WS(1))); +
      OR (cnt/WS(0) AND cnt/WS(1)) +
      OR (NOT cnt/WS(0) AND NOT cnt/WS(1))); FTCPE_cnt/WS2: FTCPE port map (cnt/WS(2),cnt/WS_T(2),FCLK,'0','0');
     cnt/WS_T(2) <= ((nAS_FSB AND cnt/WS(2) AND NOT fsb/ASrf) @@ -574,22 +585,18 @@ FTCPE_cnt/WS2: FTCPE port map (cnt/WS(2),cnt/WS_T(2),FCLK,'0','0');
      OR (cnt/WS(0) AND cnt/WS(1) AND fsb/ASrf)); FTCPE_cnt/WS3: FTCPE port map (cnt/WS(3),cnt/WS_T(3),FCLK,'0','0'); -
     cnt/WS_T(3) <= ((nAS_FSB AND cnt/WS(3) AND NOT fsb/ASrf) -
      OR (NOT nAS_FSB AND cnt/WS(0) AND cnt/WS(1) AND cnt/WS(2)) -
      OR (cnt/WS(0) AND cnt/WS(1) AND cnt/WS(2) AND fsb/ASrf)); +
     cnt/WS_T(3) <= ((NOT nAS_FSB AND cnt/WS(0) AND cnt/WS(1) AND cnt/WS(2)) +
      OR (cnt/WS(0) AND cnt/WS(1) AND cnt/WS(2) AND fsb/ASrf) +
      OR (nAS_FSB AND cnt/WS(3) AND NOT fsb/ASrf)); FDCPE_cnt/nIPL2r: FDCPE port map (cnt/nIPL2r,nIPL2,FCLK,'0','0'); -FDCPE_cs/ODCSr: FDCPE port map (cs/ODCSr,cs/ODCSr_D,FCLK,'0','0'); -
     cs/ODCSr_D <= ((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND -
      NOT nAS_FSB) -
      OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND -
      fsb/ASrf)); - FTCPE_cs/nOverlay: FTCPE port map (cs/nOverlay,cs/nOverlay_T,FCLK,'0','0');
     cs/nOverlay_T <= ((NOT nRES.PIN AND cs/nOverlay AND nAS_FSB AND NOT fsb/ASrf) -
      OR (nRES.PIN AND NOT cs/nOverlay AND nAS_FSB AND cs/ODCSr AND -
      NOT fsb/ASrf)); +
      OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND +
      NOT cs/nOverlay AND NOT nAS_FSB) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND +
      NOT cs/nOverlay AND fsb/ASrf)); FDCPE_fsb/ASrf: FDCPE port map (fsb/ASrf,NOT nAS_FSB,NOT FCLK,'0','0'); @@ -726,6 +733,10 @@ FDCPE_iobs/IOU1: FDCPE port map (iobs/IOU1,NOT nUDS_FSB,FCLK,'0','0',iobs/Load1) FDCPE_iobs/Load1: FDCPE port map (iobs/Load1,iobs/Load1_D,FCLK,'0','0');
     iobs/Load1_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND +
      NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd1 AND +
      nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND
      NOT iobs/Sent AND NOT nWE_FSB AND iobs/TS_FSM_FFd1 AND fsb/ASrf AND
      nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND @@ -737,8 +748,8 @@ FDCPE_iobs/Load1: FDCPE port map (iobs/Load1,iobs/Load1_D,FCLK,'0','0');
      NOT iobs/Sent AND NOT nWE_FSB AND iobs/TS_FSM_FFd1 AND fsb/ASrf AND
      nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND -
      NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd1 AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND +
      NOT iobs/Sent AND NOT nWE_FSB AND iobs/TS_FSM_FFd2 AND fsb/ASrf AND
      nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND @@ -751,23 +762,10 @@ FDCPE_iobs/Load1: FDCPE port map (iobs/Load1,iobs/Load1_D,FCLK,'0','0');
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND
      NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd2 AND -
      nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND -
      NOT iobs/Sent AND NOT nWE_FSB AND iobs/TS_FSM_FFd2 AND fsb/ASrf AND
      nADoutLE1)); FTCPE_iobs/Sent: FTCPE port map (iobs/Sent,iobs/Sent_T,FCLK,'0','0'); -
     iobs/Sent_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND -
      NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND -
      NOT iobs/Sent AND NOT nWE_FSB AND fsb/ASrf AND nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND -
      NOT iobs/Sent AND NOT nWE_FSB AND fsb/ASrf AND nADoutLE1) -
      OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/Sent AND +
     iobs/Sent_T <= ((A_FSB(22) AND A_FSB(21) AND NOT iobs/Sent AND
      NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1)
      OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Sent AND
      NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) @@ -776,8 +774,17 @@ FTCPE_iobs/Sent: FTCPE port map (iobs/Sent,iobs/Sent_T,FCLK,'0','0');
      OR (A_FSB(22) AND NOT iobs/Sent AND NOT cs/nOverlay AND
      NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND +
      NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND +
      NOT iobs/Sent AND NOT nWE_FSB AND fsb/ASrf AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND
      NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND +
      NOT iobs/Sent AND NOT nWE_FSB AND fsb/ASrf AND nADoutLE1)
      OR (iobs/Sent AND nAS_FSB AND NOT fsb/ASrf)
      OR (A_FSB(23) AND NOT iobs/Sent AND NOT nAS_FSB AND
      NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) @@ -840,20 +847,29 @@ FTCPE_nBR_IOB: FTCPE port map (nBR_IOB,nBR_IOB_T,FCLK,'0','0');
      OR (NOT nBR_IOB AND NOT cnt/IS_FSM_FFd1 AND cnt/IS_FSM_FFd2 AND
      NOT cnt/nIPL2r)); -FDCPE_nCAS: FDCPE port map (nCAS,NOT ram/CAS,NOT FCLK,'0','0'); +FDCPE_nCAS: FDCPE port map (nCAS,nCAS_D,NOT FCLK,'0','0'); +
     nCAS_D <= ((ram/RS_FSM_FFd1) +
      OR (ram/RS_FSM_FFd2) +
      OR (ram/RefDone AND ram/RS_FSM_FFd7) +
      OR (ram/RefDone AND ram/RS_FSM_FFd3) +
      OR (ram/RS_FSM_FFd4 AND ram/DTACKr) +
      OR (NOT RefUrg AND NOT RefReq AND ram/RS_FSM_FFd7) +
      OR (NOT RefUrg AND ram/RS_FSM_FFd7 AND ram/BACTr) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT RefUrg AND +
      ram/RS_FSM_FFd7) +
      OR (NOT RefUrg AND nAS_FSB AND ram/RS_FSM_FFd7 AND NOT fsb/ASrf) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT nAS_FSB AND +
      ram/RS_FSM_FFd7 AND ram/RASEN) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RS_FSM_FFd7 AND +
      ram/RASEN AND fsb/ASrf) +
      OR (NOT RefUrg AND ram/RS_FSM_FFd3) +
      OR (NOT RefUrg AND ram/RS_FSM_FFd6) +
      OR (ram/RefDone AND ram/RS_FSM_FFd6)); FDCPE_nDTACK_FSB: FDCPE port map (nDTACK_FSB,nDTACK_FSB_D,FCLK,'0','0'); -
     nDTACK_FSB_D <= ((NOT QoSReady) -
      OR (NOT A_FSB(22) AND NOT IONPReady AND NOT RAMReady) -
      OR (A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16)) -
      OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND -
      A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND NOT nWE_FSB AND NOT IONPReady AND -
      NOT IOPWReady) -
      OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND -
      A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND NOT nWE_FSB AND NOT IONPReady AND -
      NOT IOPWReady) +
     nDTACK_FSB_D <= ((cnt/WS(3).EXP)
      OR (A_FSB(23) AND NOT IONPReady) +
      OR (NOT IONPReady AND NOT QoSReady)
      OR (nAS_FSB AND NOT fsb/ASrf)
      OR (A_FSB(22) AND A_FSB(21) AND NOT IONPReady)
      OR (A_FSB(22) AND A_FSB(20) AND NOT IONPReady)); @@ -881,20 +897,22 @@ FDCPE_nLDS_IOB: FDCPE port map (nLDS_IOB_I,nLDS_IOB,NOT C16M,'0','0');
     nLDS_IOB <= nLDS_IOB_I when nLDS_IOB_OE = '1' else 'Z';
     nLDS_IOB_OE <= NOT nAoutOE; - -nOE <= NOT ((nWE_FSB AND NOT nAS_FSB)); +FDCPE_nOE: FDCPE port map (nOE,nOE_D,FCLK,'0','0'); +
     nOE_D <= ((NOT nWE_FSB) +
      OR (nAS_FSB AND NOT fsb/ASrf) +
      OR (ram/DTACKr AND ram/BACTr)); -nRAMLWE <= NOT ((ram/RAMEN AND NOT nWE_FSB AND NOT nLDS_FSB AND NOT nAS_FSB)); +nRAMLWE <= NOT ((NOT nWE_FSB AND NOT nLDS_FSB AND ram/RASEL)); -nRAMUWE <= NOT ((ram/RAMEN AND NOT nWE_FSB AND NOT nUDS_FSB AND NOT nAS_FSB)); +nRAMUWE <= NOT ((NOT nWE_FSB AND NOT nUDS_FSB AND ram/RASEL)); -nRAS <= NOT (((ram/RASrf) -
      OR (ram/RASrr) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND -
      NOT nAS_FSB))); +nRAS <= NOT (((ram/RASrr) +
      OR (ram/RASrf) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND +
      ram/RASEN))); nRES_I <= '0'; @@ -932,97 +950,136 @@ FTCPE_nVMA_IOB: FTCPE port map (nVMA_IOB_I,nVMA_IOB_T,C8M,'0','0'); FDCPE_nVPA_FSB: FDCPE port map (nVPA_FSB,nVPA_FSB_D,FCLK,'0',nAS_FSB);
     nVPA_FSB_D <= ((A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND IONPReady AND -
      NOT nAS_FSB AND QoSReady) +
      NOT nAS_FSB)
      OR (A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND IONPReady AND -
      QoSReady AND fsb/ASrf)); +
      fsb/ASrf)); -FDCPE_ram/CAS: FDCPE port map (ram/CAS,ram/CAS_D,FCLK,'0','0'); -
     ram/CAS_D <= ((ram/RS_FSM_FFd7) -
      OR (ram/RS_FSM_FFd6) -
      OR (RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd5) -
      OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND -
      ram/RS_FSM_FFd8 AND NOT fsb/ASrf) -
      OR (NOT ram/RefDone AND RefReq AND nAS_FSB AND -
      ram/RS_FSM_FFd8 AND NOT fsb/ASrf) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND -
      NOT nAS_FSB AND ram/RS_FSM_FFd8) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND -
      ram/RS_FSM_FFd8 AND fsb/ASrf)); +FDCPE_ram/BACTr: FDCPE port map (ram/BACTr,ram/BACTr_D,FCLK,'0','0'); +
     ram/BACTr_D <= (nAS_FSB AND NOT fsb/ASrf); -FTCPE_ram/Once: FTCPE port map (ram/Once,ram/Once_T,FCLK,'0','0'); -
     ram/Once_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND -
      NOT ram/Once AND NOT nAS_FSB AND ram/RS_FSM_FFd8) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND -
      NOT ram/Once AND ram/RS_FSM_FFd8 AND fsb/ASrf) -
      OR (ram/Once AND nAS_FSB AND NOT fsb/ASrf)); - -FDCPE_ram/RAMEN: FDCPE port map (ram/RAMEN,ram/RAMEN_D,FCLK,'0','0'); -
     ram/RAMEN_D <= ((ram/RS_FSM_FFd7) -
      OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND -
      ram/RS_FSM_FFd8 AND NOT fsb/ASrf) -
      OR (NOT ram/RAMEN AND ram/Once AND NOT nAS_FSB) -
      OR (NOT ram/RAMEN AND ram/Once AND fsb/ASrf) -
      OR (NOT ram/RAMEN AND NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4) -
      OR (NOT ram/RefDone AND RefReq AND nAS_FSB AND -
      ram/RS_FSM_FFd8 AND NOT fsb/ASrf)); +FDCPE_ram/DTACKr: FDCPE port map (ram/DTACKr,NOT nDTACK_FSB,FCLK,'0','0'); FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,FCLK,'0','0'); -
     ram/RASEL_D <= ((ram/RS_FSM_FFd7) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND -
      NOT nAS_FSB AND ram/RS_FSM_FFd8) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND -
      ram/RS_FSM_FFd8 AND fsb/ASrf)); +
     ram/RASEL_D <= ((ram/RS_FSM_FFd5) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND +
      ram/RS_FSM_FFd7 AND ram/RASEN) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND +
      ram/RS_FSM_FFd7 AND ram/RASEN AND fsb/ASrf) +
      OR (ram/RASEL AND NOT ram/RS_FSM_FFd7 AND NOT ram/RS_FSM_FFd3 AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd6 AND +
      NOT ram/RS_FSM_FFd2)); -FDCPE_ram/RASrf: FDCPE port map (ram/RASrf,ram/RS_FSM_FFd7,NOT FCLK,'0','0'); +FDCPE_ram/RASEN: FDCPE port map (ram/RASEN,ram/RASEN_D,FCLK,'0','0'); +
     ram/RASEN_D <= ((ram/RS_FSM_FFd1) +
      OR (RA_4_OBUF.EXP) +
      OR (ram/RefDone AND ram/RS_FSM_FFd7) +
      OR (ram/RefDone AND ram/RS_FSM_FFd3) +
      OR (NOT RefUrg AND NOT RefReq AND ram/RS_FSM_FFd7) +
      OR (NOT RefUrg AND ram/RS_FSM_FFd7 AND ram/BACTr) +
      OR (NOT RefUrg AND nAS_FSB AND ram/RS_FSM_FFd7 AND NOT fsb/ASrf) +
      OR (NOT RefUrg AND ram/RS_FSM_FFd3) +
      OR (NOT ram/RS_FSM_FFd7 AND NOT ram/RS_FSM_FFd3 AND ram/RASEN AND +
      NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd6 AND +
      NOT ram/RS_FSM_FFd2)); + +FDCPE_ram/RASrf: FDCPE port map (ram/RASrf,ram/RASrf_D,NOT FCLK,'0','0'); +
     ram/RASrf_D <= (NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd6); FDCPE_ram/RASrr: FDCPE port map (ram/RASrr,ram/RASrr_D,FCLK,'0','0'); -
     ram/RASrr_D <= ((ram/RS_FSM_FFd3) -
      OR (ram/RS_FSM_FFd6) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND -
      NOT nAS_FSB AND ram/RS_FSM_FFd8) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND -
      ram/RS_FSM_FFd8 AND fsb/ASrf)); +
     ram/RASrr_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND +
      ram/RS_FSM_FFd7 AND ram/RASEN) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND +
      ram/RS_FSM_FFd7 AND ram/RASEN AND fsb/ASrf) +
      OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND +
      ram/RS_FSM_FFd7 AND NOT ram/BACTr) +
      OR (A_FSB(23) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND +
      ram/RS_FSM_FFd7 AND NOT ram/BACTr) +
      OR (A_FSB(23) AND RefReq AND NOT ram/RefDone AND +
      ram/RS_FSM_FFd7 AND NOT ram/BACTr AND fsb/ASrf) +
      OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND +
      ram/RS_FSM_FFd7 AND NOT ram/BACTr AND fsb/ASrf) +
      OR (NOT ram/RS_FSM_FFd7 AND NOT ram/RS_FSM_FFd3 AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd5 AND +
      NOT ram/RS_FSM_FFd6 AND NOT ram/RS_FSM_FFd2 AND ram/RASrr) +
      OR (RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd3) +
      OR (A_FSB(23) AND RefUrg AND NOT ram/RefDone AND +
      ram/RS_FSM_FFd7) +
      OR (A_FSB(22) AND RefUrg AND NOT ram/RefDone AND +
      ram/RS_FSM_FFd7) +
      OR (RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd7 AND +
      NOT ram/RASEN) +
      OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND +
      ram/RS_FSM_FFd7 AND NOT fsb/ASrf)); FDCPE_ram/RS_FSM_FFd1: FDCPE port map (ram/RS_FSM_FFd1,ram/RS_FSM_FFd2,FCLK,'0','0'); -FDCPE_ram/RS_FSM_FFd2: FDCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd3,FCLK,'0','0'); +FDCPE_ram/RS_FSM_FFd2: FDCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd6,FCLK,'0','0'); -FDCPE_ram/RS_FSM_FFd3: FDCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd6,FCLK,'0','0'); +FDCPE_ram/RS_FSM_FFd3: FDCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_D,FCLK,'0','0'); +
     ram/RS_FSM_FFd3_D <= (ram/RS_FSM_FFd4 AND ram/DTACKr); FDCPE_ram/RS_FSM_FFd4: FDCPE port map (ram/RS_FSM_FFd4,ram/RS_FSM_FFd4_D,FCLK,'0','0'); -
     ram/RS_FSM_FFd4_D <= ((NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd1) -
      OR (RefUrg AND NOT ram/RefDone AND NOT ram/RS_FSM_FFd1)); +
     ram/RS_FSM_FFd4_D <= ((ram/RS_FSM_FFd5) +
      OR (ram/RS_FSM_FFd4 AND NOT ram/DTACKr)); -FDCPE_ram/RS_FSM_FFd5: FDCPE port map (ram/RS_FSM_FFd5,ram/RS_FSM_FFd7,FCLK,'0','0'); +FDCPE_ram/RS_FSM_FFd5: FDCPE port map (ram/RS_FSM_FFd5,ram/RS_FSM_FFd5_D,FCLK,'0','0'); +
     ram/RS_FSM_FFd5_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND +
      ram/RS_FSM_FFd7 AND ram/RASEN) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND +
      ram/RS_FSM_FFd7 AND ram/RASEN AND fsb/ASrf)); FDCPE_ram/RS_FSM_FFd6: FDCPE port map (ram/RS_FSM_FFd6,ram/RS_FSM_FFd6_D,FCLK,'0','0'); -
     ram/RS_FSM_FFd6_D <= ((RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd5) +
     ram/RS_FSM_FFd6_D <= ((A_FSB(23) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND +
      ram/RS_FSM_FFd7 AND NOT ram/BACTr) +
      OR (A_FSB(23) AND RefReq AND NOT ram/RefDone AND +
      ram/RS_FSM_FFd7 AND NOT ram/BACTr AND fsb/ASrf) +
      OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND +
      ram/RS_FSM_FFd7 AND NOT ram/BACTr) +
      OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND +
      ram/RS_FSM_FFd7 AND NOT ram/BACTr AND fsb/ASrf) +
      OR (RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd3) +
      OR (A_FSB(23) AND RefUrg AND NOT ram/RefDone AND +
      ram/RS_FSM_FFd7) +
      OR (A_FSB(22) AND RefUrg AND NOT ram/RefDone AND +
      ram/RS_FSM_FFd7) +
      OR (RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd7 AND +
      NOT ram/RASEN)
      OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND -
      ram/RS_FSM_FFd8 AND NOT fsb/ASrf) -
      OR (NOT ram/RefDone AND RefReq AND nAS_FSB AND -
      ram/RS_FSM_FFd8 AND NOT fsb/ASrf)); +
      ram/RS_FSM_FFd7 AND NOT fsb/ASrf)); FDCPE_ram/RS_FSM_FFd7: FDCPE port map (ram/RS_FSM_FFd7,ram/RS_FSM_FFd7_D,FCLK,'0','0'); -
     ram/RS_FSM_FFd7_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND -
      NOT nAS_FSB AND ram/RS_FSM_FFd8) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND -
      ram/RS_FSM_FFd8 AND fsb/ASrf)); - -FDCPE_ram/RS_FSM_FFd8: FDCPE port map (ram/RS_FSM_FFd8,ram/RS_FSM_FFd8_D,FCLK,'0','0'); -
     ram/RS_FSM_FFd8_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND -
      NOT ram/RS_FSM_FFd4 AND fsb/ASrf) -
      OR (NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4) +
     ram/RS_FSM_FFd7_D <= ((A_FSB(23) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND +
      NOT ram/RS_FSM_FFd3 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) +
      OR (A_FSB(23) AND RefReq AND NOT ram/RefDone AND +
      NOT ram/RS_FSM_FFd3 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND +
      NOT ram/RS_FSM_FFd3 AND ram/RASEN AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf) +
      OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND +
      NOT ram/RS_FSM_FFd3 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) +
      OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND +
      NOT ram/RS_FSM_FFd3 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) +
      OR (RefUrg AND NOT ram/RefDone AND NOT ram/RS_FSM_FFd7 AND +
      NOT ram/RS_FSM_FFd1)
      OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND -
      NOT ram/RS_FSM_FFd4 AND NOT fsb/ASrf) -
      OR (NOT ram/RefDone AND RefReq AND nAS_FSB AND -
      NOT ram/RS_FSM_FFd4 AND NOT fsb/ASrf) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND -
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd4)); +
      NOT ram/RS_FSM_FFd1 AND NOT fsb/ASrf) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND +
      NOT ram/RS_FSM_FFd3 AND ram/RASEN AND NOT ram/RS_FSM_FFd1) +
      OR (NOT ram/RS_FSM_FFd7 AND NOT ram/RS_FSM_FFd3 AND +
      NOT ram/RS_FSM_FFd1) +
      OR (A_FSB(23) AND RefUrg AND NOT ram/RefDone AND +
      NOT ram/RS_FSM_FFd1) +
      OR (A_FSB(22) AND RefUrg AND NOT ram/RefDone AND +
      NOT ram/RS_FSM_FFd1) +
      OR (RefUrg AND cs/nOverlay AND NOT ram/RefDone AND +
      NOT ram/RS_FSM_FFd1) +
      OR (RefUrg AND NOT ram/RefDone AND NOT ram/RASEN AND +
      NOT ram/RS_FSM_FFd1)); FDCPE_ram/RefDone: FDCPE port map (ram/RefDone,ram/RefDone_D,FCLK,'0','0');
     ram/RefDone_D <= ((NOT RefUrg AND NOT RefReq) -
      OR (NOT ram/RefDone AND NOT ram/RS_FSM_FFd3 AND NOT ram/RS_FSM_FFd2)); +
      OR (NOT ram/RefDone AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd6 AND +
      NOT ram/RS_FSM_FFd2)); Register Legend:
      FDCPE (Q,D,C,CLR,PRE,CE); diff --git a/cpld/XC95144XL/WarpSE_html/fit/eqns.htm b/cpld/XC95144XL/WarpSE_html/fit/eqns.htm index a71522c..92c9141 100644 --- a/cpld/XC95144XL/WarpSE_html/fit/eqns.htm +++ b/cpld/XC95144XL/WarpSE_html/fit/eqns.htm @@ -3,9 +3,9 @@ -
-
FCLK to nLDS_IOB + +
FCLK to nDinOE 0.000 14.500 -14.500