mirror of
https://github.com/garrettsworkshop/Warp-SE.git
synced 2025-02-21 04:29:21 +00:00
0.7b compiled and working
This commit is contained in:
parent
789ed488c3
commit
48cd502a7e
50
cpld/CNT.v
50
cpld/CNT.v
@ -27,7 +27,7 @@ module CNT(
|
||||
input SlowSCSI,
|
||||
input SlowSnd,
|
||||
input SlowClockGate,
|
||||
input [3:0] SlowTimeout,
|
||||
input [3:0] SlowInterval,
|
||||
/* QoS outputs */
|
||||
output reg QoSEN,
|
||||
output reg MCKE);
|
||||
@ -71,17 +71,15 @@ module CNT(
|
||||
always @(posedge CLK) TimerTick <= EFall && TimerTC;
|
||||
|
||||
/* QoS select latches */
|
||||
reg QoSCSr, SndQoSCSr;
|
||||
always @(posedge CLK) begin
|
||||
QoSCSr <= !nRESin ||
|
||||
(BACT && SlowIACK && IACKCS) ||
|
||||
(BACT && SlowVIA && VIACS) ||
|
||||
(BACT && SlowIWM && IWMCS) ||
|
||||
(BACT && SlowSCC && SCCCS) ||
|
||||
(BACT && SlowSCSI && SCSICS) ||
|
||||
(BACT && SlowSnd && SndCSWR);
|
||||
end
|
||||
always @(posedge CLK) SndQoSCSr <= BACT && SlowSnd && SndCSWR;
|
||||
reg IACKCSr, VIACSr, IWMCSr, SCCCSr, SCSICSr, SndCSWRr;
|
||||
reg nRESr;
|
||||
always @(posedge CLK) nRESr <= nRESin;
|
||||
always @(posedge CLK) IACKCSr <= BACT && IACKCS;
|
||||
always @(posedge CLK) VIACSr <= BACT && VIACS;
|
||||
always @(posedge CLK) IWMCSr <= BACT && IWMCS;
|
||||
always @(posedge CLK) SCCCSr <= BACT && SCCCS;
|
||||
always @(posedge CLK) SCSICSr <= BACT && SCSICS;
|
||||
always @(posedge CLK) SndCSWRr <= BACT && SndCSWR;
|
||||
|
||||
/* QoS timer
|
||||
* In the absence of a QoS trigger, QS==0.
|
||||
@ -90,28 +88,30 @@ module CNT(
|
||||
* QoS enable period is 196.588 us - 210.630 us */
|
||||
reg [3:0] QS;
|
||||
always @(posedge CLK) begin
|
||||
if (QoSCSr) QS <= SlowTimeout[3:0];
|
||||
else if (SndQoSCSr) QS <= 15;
|
||||
if (!nRESr) QS <= 4'h2;
|
||||
//else if (SCSICSr) QS <= 0;
|
||||
else if (IACKCSr) QS <= 4'hF;
|
||||
else if (VIACSr) QS[1] <= 1;
|
||||
else if (IWMCSr) QS[1] <= 1;
|
||||
else if (SCCCSr) QS[1] <= 1;
|
||||
else if (SndCSWRr) QS <= 4'hF;
|
||||
else if (QS==0) QS <= 0;
|
||||
else if (TimerTick) QS <= QS-1;
|
||||
end
|
||||
|
||||
reg ClockGateEN;
|
||||
always @(posedge CLK) begin
|
||||
if (!nRESr || IACKCSr || VIACSr || IWMCSr || SCCCSr || SCSICSr) ClockGateEN <= 0;
|
||||
else if (SndCSWRr) ClockGateEN <= 1;
|
||||
end
|
||||
|
||||
/* QoS enable control */
|
||||
always @(posedge CLK) if (!BACT) QoSEN <= QS!=0 || SlowTimeout==0;
|
||||
always @(posedge CLK) if (!BACT) QoSEN <= QS!=0;
|
||||
|
||||
/* Was last slowdown trigger from sound? */
|
||||
reg LastWasSound;
|
||||
always @(posedge CLK) begin
|
||||
if (QoSCSr) LastWasSound <= 0;
|
||||
else if (SndQoSCSr) LastWasSound <= 1;
|
||||
end
|
||||
|
||||
/* MC68k clock gating during QoS */
|
||||
always @(negedge CLK, negedge nAS) begin
|
||||
if (!nAS) MCKE <= 1;
|
||||
else MCKE <= SlowClockGate ?
|
||||
!(QoSEN && !ASrf && !C8MFall) :
|
||||
!(QoSEN && !ASrf && !C8MFall && LastWasSound);
|
||||
else MCKE <= !(QoSEN && !ASrf && !C8MFall && ClockGateEN);
|
||||
end
|
||||
|
||||
/* Long timer counts from 0 to 4095.
|
||||
|
@ -52,7 +52,7 @@ module CS(
|
||||
((A[15:12]==4'hF) && (A[11:8]==4'hD || A[11:8]==4'hE || A[11:8]==4'hF)) ||
|
||||
((A[15:12]==4'hA) && (A[11:8]==4'h1 || A[11:8]==4'h2 || A[11:8]==4'h3)));
|
||||
|
||||
assign SetCSWR = A[23:20]==4'hF && !A[19];
|
||||
assign SetCSWR = A[23:20]==4'hF && !A[19] && !nWE;
|
||||
|
||||
/* Select signals - IOB domain */
|
||||
assign IACS = A[23:20]==4'hF; // IACK
|
||||
|
38
cpld/SET.v
38
cpld/SET.v
@ -4,29 +4,39 @@ module SET(
|
||||
input BACT,
|
||||
input [11:1] A,
|
||||
input SetCSWR,
|
||||
output reg SlowIACK,
|
||||
output reg SlowVIA,
|
||||
output reg SlowIWM,
|
||||
output reg SlowSCC,
|
||||
output reg SlowSCSI,
|
||||
output reg SlowSnd,
|
||||
output reg SlowClockGate,
|
||||
output reg [3:0] SlowTimeout);
|
||||
output SlowIACK,
|
||||
output SlowVIA,
|
||||
output SlowIWM,
|
||||
output SlowSCC,
|
||||
output SlowSCSI,
|
||||
output SlowSnd,
|
||||
output SlowClockGate,
|
||||
output [3:0] SlowInterval);
|
||||
|
||||
reg SetWRr; always @(posedge CLK) SetWRr <= BACT && SetCSWR;
|
||||
//reg SetWRr; always @(posedge CLK) SetWRr <= BACT && SetCSWR;
|
||||
|
||||
|
||||
assign SlowInterval[3:0] = 4'hF;
|
||||
assign SlowIACK = 1;
|
||||
assign SlowVIA = 1;
|
||||
assign SlowIWM = 1;
|
||||
assign SlowSCC = 1;
|
||||
assign SlowSCSI = 1;
|
||||
assign SlowSnd = 1;
|
||||
assign SlowClockGate = 0;
|
||||
|
||||
always @(posedge CLK) begin
|
||||
/*always @(posedge CLK) begin
|
||||
if (!nPOR) begin
|
||||
SlowTimeout[3:0] <= 4'hF;
|
||||
SlowInterval[3:0] <= 4'hF;
|
||||
SlowIACK <= 1;
|
||||
SlowVIA <= 1;
|
||||
SlowIWM <= 1;
|
||||
SlowSCC <= 1;
|
||||
SlowSCSI <= 0;
|
||||
SlowSCSI <= 1;
|
||||
SlowSnd <= 1;
|
||||
SlowClockGate <= 0;
|
||||
end else if (SetWRr) begin
|
||||
SlowTimeout[3:0] <= A[11:8];
|
||||
SlowInterval[3:0] <= A[11:8];
|
||||
SlowIACK <= A[7];
|
||||
SlowVIA <= A[6];
|
||||
SlowIWM <= A[5];
|
||||
@ -35,6 +45,6 @@ module SET(
|
||||
SlowSnd <= A[2];
|
||||
SlowClockGate <= A[1];
|
||||
end
|
||||
end
|
||||
end*/
|
||||
|
||||
endmodule
|
||||
|
@ -208,8 +208,8 @@ module WarpSE(
|
||||
.IODONE(IODONE));
|
||||
|
||||
wire SlowIACK, SlowVIA, SlowIWM, SlowSCC, SlowSCSI, SlowSnd, SlowClockGate;
|
||||
wire [3:0] SlowTimeout;
|
||||
SET set(
|
||||
wire [3:0] SlowInterval;
|
||||
/*SET set(
|
||||
.CLK(FCLK),
|
||||
.nPOR(nPOR),
|
||||
.BACT(BACT),
|
||||
@ -222,7 +222,7 @@ module WarpSE(
|
||||
.SlowSCSI(SlowSCSI),
|
||||
.SlowSnd(SlowSnd),
|
||||
.SlowClockGate(SlowClockGate),
|
||||
.SlowTimeout(SlowTimeout));
|
||||
.SlowInterval(SlowInterval));*/
|
||||
|
||||
wire nBR_IOBout;
|
||||
assign nBR_IOB = nBR_IOBout ? 1'bZ : 1'b0;
|
||||
@ -261,7 +261,7 @@ module WarpSE(
|
||||
.SlowSCSI(SlowSCSI),
|
||||
.SlowSnd(SlowSnd),
|
||||
.SlowClockGate(SlowClockGate),
|
||||
.SlowTimeout(SlowTimeout),
|
||||
.SlowInterval(SlowInterval),
|
||||
/* QoS outputs */
|
||||
.QoSEN(QoSEN),
|
||||
.MCKE(MCKE));
|
||||
|
5163
cpld/XC95144XL/WarpSE-0.7b.svf
Normal file
5163
cpld/XC95144XL/WarpSE-0.7b.svf
Normal file
File diff suppressed because it is too large
Load Diff
@ -30,7 +30,7 @@ NGDBUILD Design Results Summary:
|
||||
Number of errors: 0
|
||||
Number of warnings: 0
|
||||
|
||||
Total memory usage is 154368 kilobytes
|
||||
Total memory usage is 154880 kilobytes
|
||||
|
||||
Writing NGD file "WarpSE.ngd" ...
|
||||
Total REAL time to NGDBUILD completion: 3 sec
|
||||
|
@ -1474,3 +1474,254 @@ XSLTProcess WarpSE_build.xml
|
||||
tsim -intstyle ise WarpSE WarpSE.nga
|
||||
taengine -intstyle ise -f WarpSE -w --format html1 -l WarpSE_html/tim/timing_report.htm
|
||||
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
|
||||
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.syr"
|
||||
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
|
||||
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
|
||||
XSLTProcess WarpSE_build.xml
|
||||
tsim -intstyle ise WarpSE WarpSE.nga
|
||||
taengine -intstyle ise -f WarpSE -w --format html1 -l WarpSE_html/tim/timing_report.htm
|
||||
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
|
||||
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.syr"
|
||||
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
|
||||
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
|
||||
XSLTProcess WarpSE_build.xml
|
||||
tsim -intstyle ise WarpSE WarpSE.nga
|
||||
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
|
||||
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.syr"
|
||||
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
|
||||
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.syr"
|
||||
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.syr"
|
||||
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
|
||||
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
|
||||
XSLTProcess WarpSE_build.xml
|
||||
tsim -intstyle ise WarpSE WarpSE.nga
|
||||
taengine -intstyle ise -f WarpSE -w --format html1 -l WarpSE_html/tim/timing_report.htm
|
||||
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
|
||||
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.syr"
|
||||
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.syr"
|
||||
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
|
||||
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
|
||||
XSLTProcess WarpSE_build.xml
|
||||
tsim -intstyle ise WarpSE WarpSE.nga
|
||||
taengine -intstyle ise -f WarpSE -w --format html1 -l WarpSE_html/tim/timing_report.htm
|
||||
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
|
||||
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.syr"
|
||||
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.syr"
|
||||
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
|
||||
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
|
||||
XSLTProcess WarpSE_build.xml
|
||||
tsim -intstyle ise WarpSE WarpSE.nga
|
||||
taengine -intstyle ise -f WarpSE -w --format html1 -l WarpSE_html/tim/timing_report.htm
|
||||
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
|
||||
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.syr"
|
||||
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
|
||||
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
|
||||
XSLTProcess WarpSE_build.xml
|
||||
tsim -intstyle ise WarpSE WarpSE.nga
|
||||
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
|
||||
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.syr"
|
||||
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
|
||||
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
|
||||
XSLTProcess WarpSE_build.xml
|
||||
tsim -intstyle ise WarpSE WarpSE.nga
|
||||
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
|
||||
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.syr"
|
||||
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
|
||||
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
|
||||
XSLTProcess WarpSE_build.xml
|
||||
tsim -intstyle ise WarpSE WarpSE.nga
|
||||
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
|
||||
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.syr"
|
||||
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
|
||||
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
|
||||
XSLTProcess WarpSE_build.xml
|
||||
tsim -intstyle ise WarpSE WarpSE.nga
|
||||
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
|
||||
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.syr"
|
||||
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
|
||||
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
|
||||
XSLTProcess WarpSE_build.xml
|
||||
tsim -intstyle ise WarpSE WarpSE.nga
|
||||
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
|
||||
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.syr"
|
||||
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
|
||||
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
|
||||
XSLTProcess WarpSE_build.xml
|
||||
tsim -intstyle ise WarpSE WarpSE.nga
|
||||
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
|
||||
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.syr"
|
||||
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
|
||||
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
|
||||
XSLTProcess WarpSE_build.xml
|
||||
tsim -intstyle ise WarpSE WarpSE.nga
|
||||
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
|
||||
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.syr"
|
||||
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
|
||||
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
|
||||
XSLTProcess WarpSE_build.xml
|
||||
tsim -intstyle ise WarpSE WarpSE.nga
|
||||
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
|
||||
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.syr"
|
||||
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
|
||||
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
|
||||
XSLTProcess WarpSE_build.xml
|
||||
tsim -intstyle ise WarpSE WarpSE.nga
|
||||
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
|
||||
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.syr"
|
||||
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
|
||||
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
|
||||
XSLTProcess WarpSE_build.xml
|
||||
tsim -intstyle ise WarpSE WarpSE.nga
|
||||
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
|
||||
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.syr"
|
||||
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.syr"
|
||||
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.syr"
|
||||
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.syr"
|
||||
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
|
||||
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
|
||||
XSLTProcess WarpSE_build.xml
|
||||
tsim -intstyle ise WarpSE WarpSE.nga
|
||||
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
|
||||
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.syr"
|
||||
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.syr"
|
||||
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.syr"
|
||||
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
|
||||
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
|
||||
XSLTProcess WarpSE_build.xml
|
||||
tsim -intstyle ise WarpSE WarpSE.nga
|
||||
taengine -intstyle ise -f WarpSE -w --format html1 -l WarpSE_html/tim/timing_report.htm
|
||||
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.syr"
|
||||
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.syr"
|
||||
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
|
||||
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
|
||||
XSLTProcess WarpSE_build.xml
|
||||
tsim -intstyle ise WarpSE WarpSE.nga
|
||||
taengine -intstyle ise -f WarpSE -w --format html1 -l WarpSE_html/tim/timing_report.htm
|
||||
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
|
||||
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.syr"
|
||||
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
|
||||
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
|
||||
XSLTProcess WarpSE_build.xml
|
||||
tsim -intstyle ise WarpSE WarpSE.nga
|
||||
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
|
||||
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.syr"
|
||||
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
|
||||
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
|
||||
XSLTProcess WarpSE_build.xml
|
||||
tsim -intstyle ise WarpSE WarpSE.nga
|
||||
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
|
||||
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.syr"
|
||||
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
|
||||
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
|
||||
XSLTProcess WarpSE_build.xml
|
||||
tsim -intstyle ise WarpSE WarpSE.nga
|
||||
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
|
||||
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.syr"
|
||||
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
|
||||
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
|
||||
XSLTProcess WarpSE_build.xml
|
||||
tsim -intstyle ise WarpSE WarpSE.nga
|
||||
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
|
||||
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.syr"
|
||||
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.syr"
|
||||
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
|
||||
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
|
||||
XSLTProcess WarpSE_build.xml
|
||||
tsim -intstyle ise WarpSE WarpSE.nga
|
||||
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
|
||||
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.syr"
|
||||
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
|
||||
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
|
||||
XSLTProcess WarpSE_build.xml
|
||||
tsim -intstyle ise WarpSE WarpSE.nga
|
||||
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
|
||||
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.syr"
|
||||
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.syr"
|
||||
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
|
||||
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
|
||||
XSLTProcess WarpSE_build.xml
|
||||
tsim -intstyle ise WarpSE WarpSE.nga
|
||||
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
|
||||
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.syr"
|
||||
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
|
||||
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
|
||||
XSLTProcess WarpSE_build.xml
|
||||
tsim -intstyle ise WarpSE WarpSE.nga
|
||||
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
|
||||
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.syr"
|
||||
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.syr"
|
||||
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
|
||||
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
|
||||
XSLTProcess WarpSE_build.xml
|
||||
tsim -intstyle ise WarpSE WarpSE.nga
|
||||
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
|
||||
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.syr"
|
||||
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
|
||||
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
|
||||
XSLTProcess WarpSE_build.xml
|
||||
tsim -intstyle ise WarpSE WarpSE.nga
|
||||
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
|
||||
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.syr"
|
||||
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.syr"
|
||||
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
|
||||
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.syr"
|
||||
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.syr"
|
||||
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
|
||||
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
|
||||
XSLTProcess WarpSE_build.xml
|
||||
tsim -intstyle ise WarpSE WarpSE.nga
|
||||
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
|
||||
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.syr"
|
||||
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
|
||||
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
|
||||
XSLTProcess WarpSE_build.xml
|
||||
tsim -intstyle ise WarpSE WarpSE.nga
|
||||
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
|
||||
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.syr"
|
||||
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
|
||||
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
|
||||
XSLTProcess WarpSE_build.xml
|
||||
tsim -intstyle ise WarpSE WarpSE.nga
|
||||
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
|
||||
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.syr"
|
||||
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
|
||||
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
|
||||
XSLTProcess WarpSE_build.xml
|
||||
tsim -intstyle ise WarpSE WarpSE.nga
|
||||
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
|
||||
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.syr"
|
||||
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
|
||||
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
|
||||
XSLTProcess WarpSE_build.xml
|
||||
tsim -intstyle ise WarpSE WarpSE.nga
|
||||
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
|
||||
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.syr"
|
||||
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
|
||||
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
|
||||
XSLTProcess WarpSE_build.xml
|
||||
tsim -intstyle ise WarpSE WarpSE.nga
|
||||
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
|
||||
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.syr"
|
||||
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
|
||||
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
|
||||
XSLTProcess WarpSE_build.xml
|
||||
tsim -intstyle ise WarpSE WarpSE.nga
|
||||
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
|
||||
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.syr"
|
||||
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
|
||||
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
|
||||
XSLTProcess WarpSE_build.xml
|
||||
tsim -intstyle ise WarpSE WarpSE.nga
|
||||
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
|
||||
taengine -intstyle ise -f WarpSE -w --format html1 -l WarpSE_html/tim/timing_report.htm
|
||||
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.syr"
|
||||
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
|
||||
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
|
||||
XSLTProcess WarpSE_build.xml
|
||||
xst -intstyle ise -ifn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/WarpSE.syr"
|
||||
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
|
||||
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
|
||||
XSLTProcess WarpSE_build.xml
|
||||
tsim -intstyle ise WarpSE WarpSE.nga
|
||||
taengine -intstyle ise -f WarpSE -w --format html1 -l WarpSE_html/tim/timing_report.htm
|
||||
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
|
||||
|
@ -62,15 +62,15 @@
|
||||
</files>
|
||||
|
||||
<transforms xmlns="http://www.xilinx.com/XMLSchema">
|
||||
<transform xil_pn:end_ts="1728713436" xil_pn:name="TRAN_copyInitialToXSTAbstractSynthesis" xil_pn:start_ts="1728713436">
|
||||
<transform xil_pn:end_ts="1728881387" xil_pn:name="TRAN_copyInitialToXSTAbstractSynthesis" xil_pn:start_ts="1728881387">
|
||||
<status xil_pn:value="SuccessfullyRun"/>
|
||||
<status xil_pn:value="ReadyToRun"/>
|
||||
</transform>
|
||||
<transform xil_pn:end_ts="1728713436" xil_pn:name="TRAN_schematicsToHdl" xil_pn:prop_ck="-8819683973431472423" xil_pn:start_ts="1728713436">
|
||||
<transform xil_pn:end_ts="1728881387" xil_pn:name="TRAN_schematicsToHdl" xil_pn:prop_ck="-8819683973431472423" xil_pn:start_ts="1728881387">
|
||||
<status xil_pn:value="SuccessfullyRun"/>
|
||||
<status xil_pn:value="ReadyToRun"/>
|
||||
</transform>
|
||||
<transform xil_pn:end_ts="1728713909" xil_pn:in_ck="1680431259208978880" xil_pn:name="TRANEXT_xstsynthesize_xc9500xl" xil_pn:prop_ck="-827049739915084467" xil_pn:start_ts="1728713902">
|
||||
<transform xil_pn:end_ts="1728881421" xil_pn:in_ck="5474524715461797957" xil_pn:name="TRANEXT_xstsynthesize_xc9500xl" xil_pn:prop_ck="-827049739915084467" xil_pn:start_ts="1728881414">
|
||||
<status xil_pn:value="SuccessfullyRun"/>
|
||||
<status xil_pn:value="WarningsGenerated"/>
|
||||
<status xil_pn:value="ReadyToRun"/>
|
||||
@ -86,11 +86,11 @@
|
||||
<outfile xil_pn:name="webtalk_pn.xml"/>
|
||||
<outfile xil_pn:name="xst"/>
|
||||
</transform>
|
||||
<transform xil_pn:end_ts="1728713444" xil_pn:in_ck="-6638154780101949348" xil_pn:name="TRAN_compileBCD2" xil_pn:prop_ck="5069202360897704756" xil_pn:start_ts="1728713444">
|
||||
<transform xil_pn:end_ts="1728881394" xil_pn:in_ck="-6638154780101949348" xil_pn:name="TRAN_compileBCD2" xil_pn:prop_ck="5069202360897704756" xil_pn:start_ts="1728881394">
|
||||
<status xil_pn:value="SuccessfullyRun"/>
|
||||
<status xil_pn:value="ReadyToRun"/>
|
||||
</transform>
|
||||
<transform xil_pn:end_ts="1728713915" xil_pn:in_ck="814020912342028692" xil_pn:name="TRAN_ngdbuild" xil_pn:prop_ck="1893441463969615248" xil_pn:start_ts="1728713909">
|
||||
<transform xil_pn:end_ts="1728881427" xil_pn:in_ck="814020912342028692" xil_pn:name="TRAN_ngdbuild" xil_pn:prop_ck="1893441463969615248" xil_pn:start_ts="1728881421">
|
||||
<status xil_pn:value="SuccessfullyRun"/>
|
||||
<status xil_pn:value="ReadyToRun"/>
|
||||
<outfile xil_pn:name="WarpSE.bld"/>
|
||||
@ -99,7 +99,7 @@
|
||||
<outfile xil_pn:name="_ngo"/>
|
||||
<outfile xil_pn:name="_xmsgs/ngdbuild.xmsgs"/>
|
||||
</transform>
|
||||
<transform xil_pn:end_ts="1728713934" xil_pn:in_ck="4179227257693753" xil_pn:name="TRANEXT_vm6File_xc9500xl" xil_pn:prop_ck="3294015560432670715" xil_pn:start_ts="1728713915">
|
||||
<transform xil_pn:end_ts="1728881446" xil_pn:in_ck="4179227257693753" xil_pn:name="TRANEXT_vm6File_xc9500xl" xil_pn:prop_ck="3294015560432670715" xil_pn:start_ts="1728881427">
|
||||
<status xil_pn:value="SuccessfullyRun"/>
|
||||
<status xil_pn:value="WarningsGenerated"/>
|
||||
<status xil_pn:value="ReadyToRun"/>
|
||||
@ -119,20 +119,18 @@
|
||||
<outfile xil_pn:name="WarpSE_html"/>
|
||||
<outfile xil_pn:name="WarpSE_pad.csv"/>
|
||||
</transform>
|
||||
<transform xil_pn:end_ts="1728713947" xil_pn:in_ck="4179227257702617" xil_pn:name="TRANEXT_crtProg_xc9500" xil_pn:prop_ck="-6294026017969277533" xil_pn:start_ts="1728713945">
|
||||
<transform xil_pn:end_ts="1728881533" xil_pn:in_ck="4179227257702617" xil_pn:name="TRANEXT_crtProg_xc9500" xil_pn:prop_ck="-6294026017969277533" xil_pn:start_ts="1728881531">
|
||||
<status xil_pn:value="SuccessfullyRun"/>
|
||||
<status xil_pn:value="ReadyToRun"/>
|
||||
<outfile xil_pn:name="WarpSE.jed"/>
|
||||
</transform>
|
||||
<transform xil_pn:end_ts="1728713802" xil_pn:in_ck="4179227257689331" xil_pn:name="TRAN_impactProgrammingTool_CPLD" xil_pn:prop_ck="-207801193714804843" xil_pn:start_ts="1728713802">
|
||||
<transform xil_pn:end_ts="1728881546" xil_pn:in_ck="4179227257689331" xil_pn:name="TRAN_impactProgrammingTool_CPLD" xil_pn:prop_ck="-207801193714804843" xil_pn:start_ts="1728881546">
|
||||
<status xil_pn:value="SuccessfullyRun"/>
|
||||
<status xil_pn:value="ReadyToRun"/>
|
||||
<status xil_pn:value="OutOfDateForInputs"/>
|
||||
<status xil_pn:value="InputChanged"/>
|
||||
<outfile xil_pn:name="_impactbatch.log"/>
|
||||
<outfile xil_pn:name="ise_impact.cmd"/>
|
||||
</transform>
|
||||
<transform xil_pn:end_ts="1728712671" xil_pn:in_ck="4179227257689331" xil_pn:name="TRAN_configureTargetDevice_CPLD" xil_pn:prop_ck="-742897827381199779" xil_pn:start_ts="1728712671">
|
||||
<transform xil_pn:end_ts="1728881285" xil_pn:in_ck="4179227257689331" xil_pn:name="TRAN_configureTargetDevice_CPLD" xil_pn:prop_ck="-742897827381199779" xil_pn:start_ts="1728881285">
|
||||
<status xil_pn:value="SuccessfullyRun"/>
|
||||
<status xil_pn:value="ReadyToRun"/>
|
||||
<status xil_pn:value="OutOfDateForInputs"/>
|
||||
@ -142,7 +140,7 @@
|
||||
<outfile xil_pn:name="_impactbatch.log"/>
|
||||
<outfile xil_pn:name="ise_impact.cmd"/>
|
||||
</transform>
|
||||
<transform xil_pn:end_ts="1728713937" xil_pn:in_ck="4179227257702617" xil_pn:name="TRAN_timRpt" xil_pn:prop_ck="111903974446" xil_pn:start_ts="1728713934">
|
||||
<transform xil_pn:end_ts="1728881448" xil_pn:in_ck="4179227257702617" xil_pn:name="TRAN_timRpt" xil_pn:prop_ck="111903974446" xil_pn:start_ts="1728881446">
|
||||
<status xil_pn:value="SuccessfullyRun"/>
|
||||
<status xil_pn:value="ReadyToRun"/>
|
||||
</transform>
|
||||
@ -150,7 +148,9 @@
|
||||
<status xil_pn:value="SuccessfullyRun"/>
|
||||
<status xil_pn:value="ReadyToRun"/>
|
||||
<status xil_pn:value="OutOfDateForInputs"/>
|
||||
<status xil_pn:value="InputAdded"/>
|
||||
<status xil_pn:value="InputChanged"/>
|
||||
<status xil_pn:value="InputRemoved"/>
|
||||
</transform>
|
||||
</transforms>
|
||||
|
||||
|
@ -79,43 +79,41 @@ nVPA_FSB S:PIN93
|
||||
;The remaining section of the .gyd file is for documentation purposes only.
|
||||
;It shows where your internal equations were placed in the last successful fit.
|
||||
|
||||
PARTITION FB1_1 cnt/LTimer<0> cnt/Er<1> cnt/C8Mr<3> cnt/C8Mr<2>
|
||||
cnt/C8Mr<1> iobs/IOU1 iobs/IOL1 cnt/Timer<2>
|
||||
cnt/LTimer<1> SlowTimeout<1> SlowTimeout<0> SlowSnd
|
||||
SlowSCSI SlowSCC SlowIWM SlowIACK
|
||||
SlowClockGate nPOR
|
||||
PARTITION FB2_6 iobs/IODONErf iobs/IODONEr<0> iobs/IOACTr iobm/VPAr
|
||||
iobm/IOS_FSM_FFd5 iobm/IOS_FSM_FFd4 iobm/IOS_FSM_FFd1 iobm/IOREQr
|
||||
iobm/Er iobm/C8Mr cnt/Er<0> cnt/C8Mr<0>
|
||||
ALE0S
|
||||
PARTITION FB3_1 cnt/LTimerTick cnt/QS<3> cnt/LTimer<9> cnt/LTimer<8>
|
||||
cnt/LTimer<7> cnt/LTimer<6> cnt/LTimer<5> cnt/LTimer<4>
|
||||
nDTACK_FSB_OBUF cnt/LTimer<3> cnt/LTimer<2> cnt/LTimer<11>
|
||||
cnt/LTimer<10> cnt/QS<2> cnt/QS<0> cnt/QS<1>
|
||||
nROMWE_OBUF QoSEN
|
||||
PARTITION FB4_1 cnt/TimerTick nAoutOE_OBUF nRESout nBR_IOBout
|
||||
nDoutOE_OBUF nDinOE_OBUF cnt/Timer<0> N0
|
||||
cnt/IS<1> SlowVIA nVPA_FSB_OBUF SlowTimeout<3>
|
||||
SlowTimeout<2> RefUrg RefReq cnt/Timer<3>
|
||||
cnt/Timer<1> cnt/IS<0>
|
||||
PARTITION FB5_1 cnt/QoSCSr nROMOE_OBUF
|
||||
PARTITION FB5_4 iobs/IODONEr<1> nCAS_OBUF nOE_OBUF ASrf
|
||||
ram/RASrf RA_4_OBUF ram/CASEndEN RA_11_OBUF
|
||||
RA_5_OBUF ram/RASEL RA_2_OBUF RA_6_OBUF
|
||||
cs/Overlay IONPReady EXP10_
|
||||
PARTITION FB6_1 iobm/IOS_FSM_FFd2 nVMA_IOBout iobm/IOS_FSM_FFd7 iobm/IOS_FSM_FFd3
|
||||
iobm/ES<2> iobm/ES<0> iobm/ES<3> iobm/ES<1>
|
||||
nLDS_IOBout ALE0M nUDS_IOBout nAS_IOBout
|
||||
iobm/DoutOE nADoutLE1_OBUF nADoutLE0_OBUF IOACT
|
||||
PARTITION FB1_1 iobm/IOS_FSM_FFd1 iobm/IOREQr iobm/Er iobm/C8Mr
|
||||
cnt/nRESr cnt/TimerTick cnt/Er<1> cnt/Er<0>
|
||||
cnt/C8Mr<0> ram/RefDone ram/RASrf cnt/Timer<2>
|
||||
cnt/Timer<0> cnt/LTimer<7> RefUrg RefReq
|
||||
cnt/Timer<3> cnt/Timer<1>
|
||||
PARTITION FB2_12 iobs/IODONErf iobs/IODONEr<1> iobs/IODONEr<0> iobs/IOACTr
|
||||
iobm/VPAr iobm/IOS_FSM_FFd5 iobm/IOS_FSM_FFd4
|
||||
PARTITION FB3_1 cnt/LTimer<0> ASrf ALE0S cnt/LTimer<6>
|
||||
cnt/LTimer<5> cnt/LTimer<4> cnt/LTimer<3> cnt/LTimer<2>
|
||||
nDTACK_FSB_OBUF cnt/LTimer<1> cnt/ClockGateEN QoSEN
|
||||
IORW cnt/QS<3> cnt/QS<1> cnt/QS<2>
|
||||
nROMWE_OBUF cnt/QS<0>
|
||||
PARTITION FB4_1 cnt/LTimerTick nAoutOE_OBUF cnt/C8Mr<3> cnt/C8Mr<2>
|
||||
nDoutOE_OBUF nDinOE_OBUF cnt/C8Mr<1> N0
|
||||
nRESout nBR_IOBout nVPA_FSB_OBUF cnt/LTimer<9>
|
||||
cnt/LTimer<8> cnt/LTimer<11> cnt/LTimer<10> cnt/IS<1>
|
||||
cnt/nPOR cnt/IS<0>
|
||||
PARTITION FB5_1 IOREQ nROMOE_OBUF cnt/IACKCSr ram/RASEL
|
||||
nCAS_OBUF nOE_OBUF cs/Overlay iobs/Load1
|
||||
RA_4_OBUF cnt/SndCSWRr RA_11_OBUF RA_5_OBUF
|
||||
iobs/Sent RA_2_OBUF RA_6_OBUF iobs/IORW1
|
||||
EXP10_ iobs/TS_FSM_FFd2
|
||||
PARTITION FB6_1 iobs/Clear1 nVMA_IOBout iobs/TS_FSM_FFd1 iobs/IOU1
|
||||
iobs/IOL1 iobm/ES<2> iobm/ES<0> IOU0
|
||||
nLDS_IOBout IOL0 nUDS_IOBout nAS_IOBout
|
||||
iobm/ES<3> nADoutLE1_OBUF nADoutLE0_OBUF iobm/ES<1>
|
||||
nDinLE_OBUF IODONE
|
||||
PARTITION FB7_1 IOREQ RA_1_OBUF BACTr set/SetWRr
|
||||
RA_7_OBUF RA_0_OBUF iobs/TS_FSM_FFd1 RA_8_OBUF
|
||||
RA_10_OBUF iobs/Sent RA_9_OBUF MCKE_OBUF
|
||||
iobs/Load1 RnW_IOBout GA_23_OBUF$BUF0 iobs/TS_FSM_FFd2
|
||||
GA_22_OBUF$BUF0 iobm/IOS_FSM_FFd6
|
||||
PARTITION FB8_1 RAMReady RA_11_OBUF$BUF0 ram/RefDone ram/RS<1>
|
||||
nRAS_OBUF nRAMLWE_OBUF IOU0 nRAMUWE_OBUF
|
||||
ram/RS<2> IOL0 iobs/IORW1 nBERR_FSB_OBUF
|
||||
ram/RS<0> ram/RefCAS N0$BUF0 IORW
|
||||
ram/RASEN iobs/Clear1
|
||||
PARTITION FB7_1 iobm/IOS_FSM_FFd6 RA_1_OBUF iobm/IOS_FSM_FFd2 BACTr
|
||||
RA_7_OBUF RA_0_OBUF iobm/IOS_FSM_FFd7 RA_8_OBUF
|
||||
RA_10_OBUF iobm/IOS_FSM_FFd3 RA_9_OBUF MCKE_OBUF
|
||||
ALE0M RnW_IOBout GA_23_OBUF$BUF0 iobm/DoutOE
|
||||
GA_22_OBUF$BUF0 IOACT
|
||||
PARTITION FB8_1 RAMReady RA_11_OBUF$BUF0 cnt/VIACSr cnt/SCSICSr
|
||||
nRAS_OBUF nRAMLWE_OBUF cnt/SCCCSr nRAMUWE_OBUF
|
||||
ram/RS<2> ram/RS<1> IONPReady nBERR_FSB_OBUF
|
||||
ram/RS<0> ram/RefCAS N0$BUF0 ram/RASEN
|
||||
ram/CASEndEN cnt/IWMCSr
|
||||
|
||||
|
File diff suppressed because it is too large
Load Diff
File diff suppressed because it is too large
Load Diff
File diff suppressed because one or more lines are too long
File diff suppressed because one or more lines are too long
File diff suppressed because one or more lines are too long
File diff suppressed because one or more lines are too long
@ -1,7 +1,7 @@
|
||||
Release 8.1i - Fit P.20131013
|
||||
Copyright(c) 1995-2003 Xilinx Inc. All rights reserved
|
||||
|
||||
10-12-2024 2:18AM
|
||||
10-14-2024 0:50AM
|
||||
|
||||
NOTE: This file is designed to be imported into a spreadsheet program
|
||||
such as Microsoft Excel for viewing, printing and sorting. The pipe '|'
|
||||
|
@ -15,4 +15,4 @@
|
||||
sr (SLOW|FAST|slow|fast) "SLOW"
|
||||
dir (BIDIR|bidir|INPUT|input|OUTPUT|output) "BIDIR">
|
||||
]>
|
||||
<ibis><part arch="xc9500xl" device="XC95144XL" pkg="TQ100" spg="-10"/><pin dir="input" nm="A_FSB<21>" no="19"/><pin dir="input" nm="A_FSB<20>" no="18"/><pin dir="input" nm="A_FSB<19>" no="17"/><pin dir="input" nm="A_FSB<18>" no="16"/><pin dir="input" nm="A_FSB<17>" no="15"/><pin dir="input" nm="A_FSB<16>" no="14"/><pin dir="input" nm="A_FSB<15>" no="13"/><pin dir="input" nm="A_FSB<13>" no="11"/><pin dir="input" nm="C8M" no="23"/><pin dir="input" nm="C16M" no="22"/><pin dir="input" nm="A_FSB<23>" no="24"/><pin dir="input" nm="A_FSB<22>" no="20"/><pin dir="input" nm="A_FSB<14>" no="12"/><pin dir="input" nm="A_FSB<12>" no="10"/><pin dir="input" nm="A_FSB<11>" no="9"/><pin dir="input" nm="A_FSB<10>" no="8"/><pin dir="input" nm="FCLK" no="27"/><pin dir="input" nm="nAS_FSB" no="32"/><pin dir="input" nm="nWE_FSB" no="29"/><pin dir="input" nm="nDTACK_IOB" no="78"/><pin dir="input" nm="nBERR_IOB" no="76"/><pin dir="input" nm="A_FSB<1>" no="94"/><pin dir="input" nm="A_FSB<7>" no="4"/><pin dir="input" nm="A_FSB<5>" no="2"/><pin dir="input" nm="A_FSB<4>" no="97"/><pin dir="input" nm="A_FSB<3>" no="96"/><pin dir="input" nm="A_FSB<2>" no="95"/><pin dir="input" nm="A_FSB<8>" no="6"/><pin dir="input" nm="A_FSB<9>" no="7"/><pin dir="input" nm="A_FSB<6>" no="3"/><pin dir="input" nm="nLDS_FSB" no="30"/><pin dir="input" nm="nUDS_FSB" no="33"/><pin dir="input" nm="E" no="25"/><pin dir="input" nm="nIPL2" no="92"/><pin dir="input" nm="nVPA_IOB" no="77"/><pin dir="output" nm="nVMA_IOB" no="74" sr="slow"/><pin dir="output" nm="RnW_IOB" no="59" sr="slow"/><pin dir="output" nm="nLDS_IOB" no="79" sr="slow"/><pin dir="output" nm="nUDS_IOB" no="80" sr="slow"/><pin dir="output" nm="nAS_IOB" no="81" sr="slow"/><pin dir="output" nm="nCAS" no="36" sr="fast"/><pin dir="output" nm="nDTACK_FSB" no="28" sr="slow"/><pin dir="output" nm="MCKE" no="58" sr="fast"/><pin dir="output" nm="nBERR_FSB" no="70" sr="slow"/><pin dir="output" nm="nOE" no="37" sr="slow"/><pin dir="output" nm="nVPA_FSB" no="93" sr="slow"/><pin dir="output" nm="RA<3>" no="41" sr="fast"/><pin dir="output" nm="RA<0>" no="53" sr="fast"/><pin dir="output" nm="RA<10>" no="55" sr="fast"/><pin dir="output" nm="RA<1>" no="50" sr="fast"/><pin dir="output" nm="RA<2>" no="43" sr="fast"/><pin dir="output" nm="RA<4>" no="40" sr="fast"/><pin dir="output" nm="RA<5>" no="42" sr="fast"/><pin dir="output" nm="RA<6>" no="46" sr="fast"/><pin dir="output" nm="RA<7>" no="52" sr="fast"/><pin dir="output" nm="RA<8>" no="54" sr="fast"/><pin dir="output" nm="RA<9>" no="56" sr="fast"/><pin dir="output" nm="nDoutOE" no="89" sr="fast"/><pin dir="output" nm="nADoutLE0" no="85" sr="slow"/><pin dir="output" nm="nDinLE" no="86" sr="fast"/><pin dir="output" nm="nRAS" no="64" sr="fast"/><pin dir="output" nm="nBR_IOB" no="72" sr="slow"/><pin dir="output" nm="GA<22>" no="61" sr="fast"/><pin dir="output" nm="GA<23>" no="60" sr="fast"/><pin dir="output" nm="RA<11>" no="63" sr="fast"/><pin dir="output" nm="nADoutLE1" no="82" sr="fast"/><pin dir="output" nm="nAoutOE" no="87" sr="slow"/><pin dir="output" nm="nDinOE" no="90" sr="slow"/><pin dir="output" nm="nRAMLWE" no="65" sr="slow"/><pin dir="output" nm="nRAMUWE" no="66" sr="slow"/><pin dir="output" nm="nROMOE" no="35" sr="slow"/><pin dir="output" nm="nROMWE" no="34" sr="slow"/><pin dir="bidir" nm="nRES" no="91" sr="slow"/></ibis>
|
||||
<ibis><part arch="xc9500xl" device="XC95144XL" pkg="TQ100" spg="-10"/><pin dir="input" nm="A_FSB<21>" no="19"/><pin dir="input" nm="A_FSB<20>" no="18"/><pin dir="input" nm="A_FSB<19>" no="17"/><pin dir="input" nm="A_FSB<18>" no="16"/><pin dir="input" nm="A_FSB<17>" no="15"/><pin dir="input" nm="A_FSB<16>" no="14"/><pin dir="input" nm="A_FSB<15>" no="13"/><pin dir="input" nm="A_FSB<13>" no="11"/><pin dir="input" nm="C8M" no="23"/><pin dir="input" nm="C16M" no="22"/><pin dir="input" nm="A_FSB<22>" no="20"/><pin dir="input" nm="A_FSB<23>" no="24"/><pin dir="input" nm="A_FSB<14>" no="12"/><pin dir="input" nm="A_FSB<12>" no="10"/><pin dir="input" nm="A_FSB<11>" no="9"/><pin dir="input" nm="A_FSB<10>" no="8"/><pin dir="input" nm="FCLK" no="27"/><pin dir="input" nm="nAS_FSB" no="32"/><pin dir="input" nm="nWE_FSB" no="29"/><pin dir="input" nm="nDTACK_IOB" no="78"/><pin dir="input" nm="nBERR_IOB" no="76"/><pin dir="input" nm="nLDS_FSB" no="30"/><pin dir="input" nm="nUDS_FSB" no="33"/><pin dir="input" nm="E" no="25"/><pin dir="input" nm="nIPL2" no="92"/><pin dir="input" nm="A_FSB<9>" no="7"/><pin dir="input" nm="A_FSB<8>" no="6"/><pin dir="input" nm="nVPA_IOB" no="77"/><pin dir="input" nm="A_FSB<1>" no="94"/><pin dir="input" nm="A_FSB<7>" no="4"/><pin dir="input" nm="A_FSB<2>" no="95"/><pin dir="input" nm="A_FSB<3>" no="96"/><pin dir="input" nm="A_FSB<4>" no="97"/><pin dir="input" nm="A_FSB<5>" no="2"/><pin dir="input" nm="A_FSB<6>" no="3"/><pin dir="output" nm="nVMA_IOB" no="74" sr="slow"/><pin dir="output" nm="RnW_IOB" no="59" sr="slow"/><pin dir="output" nm="nLDS_IOB" no="79" sr="slow"/><pin dir="output" nm="nUDS_IOB" no="80" sr="slow"/><pin dir="output" nm="nAS_IOB" no="81" sr="slow"/><pin dir="output" nm="nCAS" no="36" sr="fast"/><pin dir="output" nm="nDTACK_FSB" no="28" sr="slow"/><pin dir="output" nm="MCKE" no="58" sr="fast"/><pin dir="output" nm="nBERR_FSB" no="70" sr="slow"/><pin dir="output" nm="nOE" no="37" sr="slow"/><pin dir="output" nm="nVPA_FSB" no="93" sr="slow"/><pin dir="output" nm="RA<3>" no="41" sr="fast"/><pin dir="output" nm="RA<0>" no="53" sr="fast"/><pin dir="output" nm="RA<10>" no="55" sr="fast"/><pin dir="output" nm="RA<1>" no="50" sr="fast"/><pin dir="output" nm="RA<2>" no="43" sr="fast"/><pin dir="output" nm="RA<4>" no="40" sr="fast"/><pin dir="output" nm="RA<5>" no="42" sr="fast"/><pin dir="output" nm="RA<6>" no="46" sr="fast"/><pin dir="output" nm="RA<7>" no="52" sr="fast"/><pin dir="output" nm="RA<8>" no="54" sr="fast"/><pin dir="output" nm="RA<9>" no="56" sr="fast"/><pin dir="output" nm="nDoutOE" no="89" sr="fast"/><pin dir="output" nm="nADoutLE0" no="85" sr="slow"/><pin dir="output" nm="nDinLE" no="86" sr="fast"/><pin dir="output" nm="nRAS" no="64" sr="fast"/><pin dir="output" nm="nBR_IOB" no="72" sr="slow"/><pin dir="output" nm="GA<22>" no="61" sr="fast"/><pin dir="output" nm="GA<23>" no="60" sr="fast"/><pin dir="output" nm="RA<11>" no="63" sr="fast"/><pin dir="output" nm="nADoutLE1" no="82" sr="fast"/><pin dir="output" nm="nAoutOE" no="87" sr="slow"/><pin dir="output" nm="nDinOE" no="90" sr="slow"/><pin dir="output" nm="nRAMLWE" no="65" sr="slow"/><pin dir="output" nm="nRAMUWE" no="66" sr="slow"/><pin dir="output" nm="nROMOE" no="35" sr="slow"/><pin dir="output" nm="nROMWE" no="34" sr="slow"/><pin dir="bidir" nm="nRES" no="91" sr="slow"/></ibis>
|
||||
|
@ -1,4 +1,3 @@
|
||||
verilog work "../SET.v"
|
||||
verilog work "../RAM.v"
|
||||
verilog work "../IOBS.v"
|
||||
verilog work "../IOBM.v"
|
||||
|
File diff suppressed because it is too large
Load Diff
@ -4,13 +4,13 @@ Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.
|
||||
|
||||
|
||||
Total REAL time to Xst completion: 0.00 secs
|
||||
Total CPU time to Xst completion: 0.09 secs
|
||||
Total CPU time to Xst completion: 0.08 secs
|
||||
|
||||
--> Parameter xsthdpdir set to xst
|
||||
|
||||
|
||||
Total REAL time to Xst completion: 0.00 secs
|
||||
Total CPU time to Xst completion: 0.09 secs
|
||||
Total CPU time to Xst completion: 0.08 secs
|
||||
|
||||
--> Reading design: WarpSE.prj
|
||||
|
||||
@ -75,9 +75,7 @@ wysiwyg : NO
|
||||
=========================================================================
|
||||
* HDL Compilation *
|
||||
=========================================================================
|
||||
Compiling verilog file "../SET.v" in library work
|
||||
Compiling verilog file "../RAM.v" in library work
|
||||
Module <SET> compiled
|
||||
Compiling verilog file "../IOBS.v" in library work
|
||||
Module <RAM> compiled
|
||||
Compiling verilog file "../IOBM.v" in library work
|
||||
@ -108,8 +106,6 @@ Analyzing hierarchy for module <IOBS> in library <work>.
|
||||
|
||||
Analyzing hierarchy for module <IOBM> in library <work>.
|
||||
|
||||
Analyzing hierarchy for module <SET> in library <work>.
|
||||
|
||||
Analyzing hierarchy for module <CNT> in library <work>.
|
||||
|
||||
Analyzing hierarchy for module <FSB> in library <work>.
|
||||
@ -133,9 +129,6 @@ Module <IOBS> is correct for synthesis.
|
||||
Analyzing module <IOBM> in library <work>.
|
||||
Module <IOBM> is correct for synthesis.
|
||||
|
||||
Analyzing module <SET> in library <work>.
|
||||
Module <SET> is correct for synthesis.
|
||||
|
||||
Analyzing module <CNT> in library <work>.
|
||||
Module <CNT> is correct for synthesis.
|
||||
|
||||
@ -246,24 +239,16 @@ WARNING:Xst:646 - Signal <IOS0> is assigned but never used. This unconnected sig
|
||||
Unit <IOBM> synthesized.
|
||||
|
||||
|
||||
Synthesizing Unit <SET>.
|
||||
Related source file is "../SET.v".
|
||||
Found 1-bit register for signal <SlowSCC>.
|
||||
Found 1-bit register for signal <SlowIACK>.
|
||||
Found 1-bit register for signal <SlowIWM>.
|
||||
Found 1-bit register for signal <SlowSnd>.
|
||||
Found 4-bit register for signal <SlowTimeout>.
|
||||
Found 1-bit register for signal <SlowSCSI>.
|
||||
Found 1-bit register for signal <SlowVIA>.
|
||||
Found 1-bit register for signal <SlowClockGate>.
|
||||
Found 1-bit register for signal <SetWRr>.
|
||||
Summary:
|
||||
inferred 1 D-type flip-flop(s).
|
||||
Unit <SET> synthesized.
|
||||
|
||||
|
||||
Synthesizing Unit <CNT>.
|
||||
Related source file is "../CNT.v".
|
||||
WARNING:Xst:647 - Input <SlowSCC> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
|
||||
WARNING:Xst:647 - Input <SlowInterval> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
|
||||
WARNING:Xst:647 - Input <SlowIACK> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
|
||||
WARNING:Xst:647 - Input <SlowIWM> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
|
||||
WARNING:Xst:647 - Input <SlowSnd> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
|
||||
WARNING:Xst:647 - Input <SlowSCSI> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
|
||||
WARNING:Xst:647 - Input <SlowVIA> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
|
||||
WARNING:Xst:647 - Input <SlowClockGate> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
|
||||
Found 1-bit register for signal <RefUrg>.
|
||||
Found 1-bit register for signal <RefReq>.
|
||||
Found 1-bit register for signal <nBR_IOB>.
|
||||
@ -272,18 +257,27 @@ Synthesizing Unit <CNT>.
|
||||
Found 1-bit register for signal <nRESout>.
|
||||
Found 1-bit register for signal <AoutOE>.
|
||||
Found 1-bit register for signal <MCKE>.
|
||||
Found 4-bit subtractor for signal <$sub0000> created at line 99.
|
||||
Found 4-bit register for signal <C8Mr>.
|
||||
Found 1-bit register for signal <ClockGateEN>.
|
||||
Found 2-bit register for signal <Er>.
|
||||
Found 1-bit register for signal <IACKCSr>.
|
||||
Found 2-bit register for signal <IS>.
|
||||
Found 1-bit register for signal <IWMCSr>.
|
||||
Found 12-bit up counter for signal <LTimer>.
|
||||
Found 1-bit register for signal <LTimerTick>.
|
||||
Found 1-bit register for signal <QoSCSr>.
|
||||
Found 4-bit down counter for signal <QS>.
|
||||
Found 1-bit register for signal <nRESr>.
|
||||
Found 4-bit register for signal <QS>.
|
||||
Found 1-bit register for signal <SCCCSr>.
|
||||
Found 1-bit register for signal <SCSICSr>.
|
||||
Found 1-bit register for signal <SndCSWRr>.
|
||||
Found 4-bit up counter for signal <Timer>.
|
||||
Found 1-bit register for signal <TimerTick>.
|
||||
Found 1-bit register for signal <VIACSr>.
|
||||
Summary:
|
||||
inferred 3 Counter(s).
|
||||
inferred 10 D-type flip-flop(s).
|
||||
inferred 2 Counter(s).
|
||||
inferred 16 D-type flip-flop(s).
|
||||
inferred 1 Adder/Subtractor(s).
|
||||
Unit <CNT> synthesized.
|
||||
|
||||
|
||||
@ -302,6 +296,16 @@ Synthesizing Unit <WarpSE>.
|
||||
Related source file is "../WarpSE.v".
|
||||
WARNING:Xst:647 - Input <nBG_IOB> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
|
||||
WARNING:Xst:647 - Input <DBG> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
|
||||
WARNING:Xst:646 - Signal <nPOR> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
|
||||
WARNING:Xst:653 - Signal <SlowVIA> is used but never assigned. This sourceless signal will be automatically connected to value 0.
|
||||
WARNING:Xst:653 - Signal <SlowSnd> is used but never assigned. This sourceless signal will be automatically connected to value 0.
|
||||
WARNING:Xst:653 - Signal <SlowSCSI> is used but never assigned. This sourceless signal will be automatically connected to value 0.
|
||||
WARNING:Xst:653 - Signal <SlowSCC> is used but never assigned. This sourceless signal will be automatically connected to value 0.
|
||||
WARNING:Xst:653 - Signal <SlowInterval> is used but never assigned. This sourceless signal will be automatically connected to value 0000.
|
||||
WARNING:Xst:653 - Signal <SlowIWM> is used but never assigned. This sourceless signal will be automatically connected to value 0.
|
||||
WARNING:Xst:653 - Signal <SlowIACK> is used but never assigned. This sourceless signal will be automatically connected to value 0.
|
||||
WARNING:Xst:653 - Signal <SlowClockGate> is used but never assigned. This sourceless signal will be automatically connected to value 0.
|
||||
WARNING:Xst:646 - Signal <SetCSWR> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
|
||||
Found 1-bit tristate buffer for signal <RnW_IOB>.
|
||||
Found 1-bit tristate buffer for signal <nAS_IOB>.
|
||||
Found 1-bit tristate buffer for signal <nBR_IOB>.
|
||||
@ -320,15 +324,16 @@ HDL Synthesis Report
|
||||
Macro Statistics
|
||||
# ROMs : 1
|
||||
8x3-bit ROM : 1
|
||||
# Counters : 4
|
||||
# Adders/Subtractors : 1
|
||||
4-bit subtractor : 1
|
||||
# Counters : 3
|
||||
12-bit up counter : 1
|
||||
4-bit down counter : 1
|
||||
4-bit up counter : 2
|
||||
# Registers : 70
|
||||
1-bit register : 65
|
||||
# Registers : 72
|
||||
1-bit register : 68
|
||||
2-bit register : 2
|
||||
3-bit register : 1
|
||||
4-bit register : 2
|
||||
4-bit register : 1
|
||||
# Tristates : 7
|
||||
1-bit tristate buffer : 7
|
||||
|
||||
@ -369,12 +374,13 @@ Macro Statistics
|
||||
# FSMs : 2
|
||||
# ROMs : 1
|
||||
8x3-bit ROM : 1
|
||||
# Counters : 4
|
||||
# Adders/Subtractors : 1
|
||||
4-bit subtractor : 1
|
||||
# Counters : 3
|
||||
12-bit up counter : 1
|
||||
4-bit down counter : 1
|
||||
4-bit up counter : 2
|
||||
# Registers : 46
|
||||
Flip-Flops : 46
|
||||
# Registers : 51
|
||||
Flip-Flops : 51
|
||||
|
||||
=========================================================================
|
||||
|
||||
@ -396,8 +402,6 @@ Optimizing unit <IOBS> ...
|
||||
implementation constraint: INIT=r : Sent
|
||||
implementation constraint: INIT=r : TS_FSM_FFd1
|
||||
|
||||
Optimizing unit <SET> ...
|
||||
|
||||
Optimizing unit <IOBM> ...
|
||||
implementation constraint: INIT=s : IOS_FSM_FFd7
|
||||
implementation constraint: INIT=r : DoutOE
|
||||
@ -409,9 +413,9 @@ Optimizing unit <IOBM> ...
|
||||
implementation constraint: INIT=r : IOS_FSM_FFd4
|
||||
|
||||
Optimizing unit <CNT> ...
|
||||
implementation constraint: INIT=r : Timer_2
|
||||
implementation constraint: INIT=r : IS_0
|
||||
implementation constraint: INIT=r : IS_1
|
||||
implementation constraint: INIT=r : Timer_2
|
||||
implementation constraint: INIT=r : Timer_3
|
||||
implementation constraint: INIT=r : Timer_0
|
||||
implementation constraint: INIT=r : Timer_1
|
||||
@ -446,23 +450,23 @@ Design Statistics
|
||||
# IOs : 80
|
||||
|
||||
Cell Usage :
|
||||
# BELS : 691
|
||||
# AND2 : 209
|
||||
# BELS : 683
|
||||
# AND2 : 211
|
||||
# AND3 : 26
|
||||
# AND4 : 13
|
||||
# AND5 : 3
|
||||
# AND6 : 2
|
||||
# AND8 : 2
|
||||
# GND : 7
|
||||
# INV : 278
|
||||
# OR2 : 116
|
||||
# GND : 6
|
||||
# INV : 276
|
||||
# OR2 : 108
|
||||
# OR3 : 10
|
||||
# OR4 : 4
|
||||
# OR5 : 1
|
||||
# OR6 : 1
|
||||
# XOR2 : 21
|
||||
# FlipFlops/Latches : 113
|
||||
# FD : 61
|
||||
# FDCE : 47
|
||||
# FlipFlops/Latches : 108
|
||||
# FD : 66
|
||||
# FDCE : 37
|
||||
# FDCP : 1
|
||||
# FDP : 4
|
||||
# IO Buffers : 73
|
||||
@ -474,13 +478,13 @@ Cell Usage :
|
||||
|
||||
|
||||
Total REAL time to Xst completion: 5.00 secs
|
||||
Total CPU time to Xst completion: 5.00 secs
|
||||
Total CPU time to Xst completion: 4.93 secs
|
||||
|
||||
-->
|
||||
|
||||
Total memory usage is 263520 kilobytes
|
||||
Total memory usage is 262048 kilobytes
|
||||
|
||||
Number of errors : 0 ( 0 filtered)
|
||||
Number of warnings : 3 ( 0 filtered)
|
||||
Number of warnings : 21 ( 0 filtered)
|
||||
Number of infos : 0 ( 0 filtered)
|
||||
|
||||
|
@ -87,8 +87,8 @@ AUTO_TS_F2P:FROM:ALE0S.Q:TO:nADoutLE0:1
|
||||
AUTO_TS_P2P:FROM:C16M:TO:nDinLE:1
|
||||
AUTO_TS_F2P:FROM:nDinLE_OBUF.Q:TO:nDinLE:1
|
||||
AUTO_TS_P2P:FROM:FCLK:TO:nRAS:1
|
||||
AUTO_TS_P2P:FROM:A_FSB<23>:TO:nRAS:1
|
||||
AUTO_TS_P2P:FROM:A_FSB<22>:TO:nRAS:1
|
||||
AUTO_TS_P2P:FROM:A_FSB<23>:TO:nRAS:1
|
||||
AUTO_TS_P2P:FROM:nAS_FSB:TO:nRAS:1
|
||||
AUTO_TS_F2P:FROM:ram/RASrf.Q:TO:nRAS:1
|
||||
AUTO_TS_F2P:FROM:cs/Overlay.Q:TO:nRAS:1
|
||||
@ -114,25 +114,25 @@ AUTO_TS_P2P:FROM:A_FSB<22>:TO:nDinOE:1
|
||||
AUTO_TS_P2P:FROM:A_FSB<20>:TO:nDinOE:1
|
||||
AUTO_TS_F2P:FROM:BACTr.Q:TO:nDinOE:1
|
||||
AUTO_TS_P2P:FROM:nWE_FSB:TO:nRAMLWE:1
|
||||
AUTO_TS_P2P:FROM:FCLK:TO:nRAMLWE:1
|
||||
AUTO_TS_P2P:FROM:nLDS_FSB:TO:nRAMLWE:1
|
||||
AUTO_TS_P2P:FROM:FCLK:TO:nRAMLWE:1
|
||||
AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:nRAMLWE:1
|
||||
AUTO_TS_P2P:FROM:nWE_FSB:TO:nRAMUWE:1
|
||||
AUTO_TS_P2P:FROM:FCLK:TO:nRAMUWE:1
|
||||
AUTO_TS_P2P:FROM:nUDS_FSB:TO:nRAMUWE:1
|
||||
AUTO_TS_P2P:FROM:FCLK:TO:nRAMUWE:1
|
||||
AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:nRAMUWE:1
|
||||
AUTO_TS_P2P:FROM:A_FSB<21>:TO:nROMOE:1
|
||||
AUTO_TS_P2P:FROM:A_FSB<20>:TO:nROMOE:1
|
||||
AUTO_TS_P2P:FROM:A_FSB<22>:TO:nROMOE:1
|
||||
AUTO_TS_P2P:FROM:A_FSB<23>:TO:nROMOE:1
|
||||
AUTO_TS_P2P:FROM:nAS_FSB:TO:nROMOE:1
|
||||
AUTO_TS_P2P:FROM:nWE_FSB:TO:nROMOE:1
|
||||
AUTO_TS_P2P:FROM:FCLK:TO:nROMOE:1
|
||||
AUTO_TS_P2P:FROM:A_FSB<21>:TO:nROMOE:1
|
||||
AUTO_TS_P2P:FROM:A_FSB<20>:TO:nROMOE:1
|
||||
AUTO_TS_P2P:FROM:A_FSB<23>:TO:nROMOE:1
|
||||
AUTO_TS_P2P:FROM:A_FSB<22>:TO:nROMOE:1
|
||||
AUTO_TS_F2P:FROM:cs/Overlay.Q:TO:nROMOE:1
|
||||
AUTO_TS_P2P:FROM:A_FSB<21>:TO:nROMWE:1
|
||||
AUTO_TS_P2P:FROM:A_FSB<20>:TO:nROMWE:1
|
||||
AUTO_TS_P2P:FROM:A_FSB<23>:TO:nROMWE:1
|
||||
AUTO_TS_P2P:FROM:A_FSB<22>:TO:nROMWE:1
|
||||
AUTO_TS_P2P:FROM:A_FSB<23>:TO:nROMWE:1
|
||||
AUTO_TS_P2P:FROM:nAS_FSB:TO:nROMWE:1
|
||||
AUTO_TS_P2P:FROM:nWE_FSB:TO:nROMWE:1
|
||||
AUTO_TS_F2F:FROM:ram/RS<1>.Q:TO:nCAS_OBUF.D:1
|
||||
@ -159,12 +159,6 @@ AUTO_TS_P2F:FROM:A_FSB<18>:TO:nDTACK_FSB_OBUF.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<17>:TO:nDTACK_FSB_OBUF.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<16>:TO:nDTACK_FSB_OBUF.D:1
|
||||
AUTO_TS_P2F:FROM:nWE_FSB:TO:nDTACK_FSB_OBUF.D:1
|
||||
AUTO_TS_F2F:FROM:nPOR.Q:TO:nPOR.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/C8Mr<1>.Q:TO:nPOR.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/C8Mr<0>.Q:TO:nPOR.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/C8Mr<2>.Q:TO:nPOR.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/C8Mr<3>.Q:TO:nPOR.D:1
|
||||
AUTO_TS_P2F:FROM:FCLK:TO:nPOR.D:1
|
||||
AUTO_TS_F2F:FROM:iobs/Sent.Q:TO:iobs/Sent.D:1
|
||||
AUTO_TS_F2F:FROM:iobs/TS_FSM_FFd1.Q:TO:iobs/Sent.D:1
|
||||
AUTO_TS_F2F:FROM:iobs/TS_FSM_FFd2.Q:TO:iobs/Sent.D:1
|
||||
@ -176,10 +170,10 @@ AUTO_TS_P2F:FROM:A_FSB<22>:TO:iobs/Sent.D:1
|
||||
AUTO_TS_P2F:FROM:nAS_FSB:TO:iobs/Sent.D:1
|
||||
AUTO_TS_P2F:FROM:FCLK:TO:iobs/Sent.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<20>:TO:iobs/Sent.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<16>:TO:iobs/Sent.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<19>:TO:iobs/Sent.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<18>:TO:iobs/Sent.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<17>:TO:iobs/Sent.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<16>:TO:iobs/Sent.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<23>:TO:iobs/Sent.D:1
|
||||
AUTO_TS_P2F:FROM:nWE_FSB:TO:iobs/Sent.D:1
|
||||
AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:IORW.D:1
|
||||
@ -193,19 +187,20 @@ AUTO_TS_F2F:FROM:cnt/QS<0>.Q:TO:QoSEN.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/QS<1>.Q:TO:QoSEN.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/QS<2>.Q:TO:QoSEN.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/QS<3>.Q:TO:QoSEN.D:1
|
||||
AUTO_TS_F2F:FROM:SlowTimeout<0>.Q:TO:QoSEN.D:1
|
||||
AUTO_TS_F2F:FROM:SlowTimeout<1>.Q:TO:QoSEN.D:1
|
||||
AUTO_TS_F2F:FROM:SlowTimeout<2>.Q:TO:QoSEN.D:1
|
||||
AUTO_TS_F2F:FROM:SlowTimeout<3>.Q:TO:QoSEN.D:1
|
||||
AUTO_TS_P2F:FROM:FCLK:TO:QoSEN.D:1
|
||||
AUTO_TS_F2F:FROM:ASrf.Q:TO:QoSEN.CE:1
|
||||
AUTO_TS_P2F:FROM:nAS_FSB:TO:QoSEN.CE:1
|
||||
AUTO_TS_P2F:FROM:FCLK:TO:QoSEN.CE:1
|
||||
AUTO_TS_F2F:FROM:cnt/TimerTick.Q:TO:cnt/LTimer<0>.CE:1
|
||||
AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<0>.CE:1
|
||||
AUTO_TS_F2F:FROM:cnt/nRESr.Q:TO:cnt/QS<0>.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/QS<0>.Q:TO:cnt/QS<0>.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/TimerTick.Q:TO:cnt/QS<0>.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/QoSCSr.Q:TO:cnt/QS<0>.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/IACKCSr.Q:TO:cnt/QS<0>.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/SndCSWRr.Q:TO:cnt/QS<0>.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/IWMCSr.Q:TO:cnt/QS<0>.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/SCCCSr.Q:TO:cnt/QS<0>.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/VIACSr.Q:TO:cnt/QS<0>.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/QS<1>.Q:TO:cnt/QS<0>.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/QS<2>.Q:TO:cnt/QS<0>.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/QS<3>.Q:TO:cnt/QS<0>.D:1
|
||||
@ -338,13 +333,23 @@ AUTO_TS_F2F:FROM:cnt/TimerTick.Q:TO:cnt/LTimer<9>.CE:1
|
||||
AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<9>.CE:1
|
||||
AUTO_TS_F2F:FROM:cnt/QS<0>.Q:TO:cnt/QS<1>.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/QS<1>.Q:TO:cnt/QS<1>.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/QoSCSr.Q:TO:cnt/QS<1>.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/IACKCSr.Q:TO:cnt/QS<1>.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/SndCSWRr.Q:TO:cnt/QS<1>.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/IWMCSr.Q:TO:cnt/QS<1>.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/SCCCSr.Q:TO:cnt/QS<1>.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/VIACSr.Q:TO:cnt/QS<1>.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/nRESr.Q:TO:cnt/QS<1>.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/TimerTick.Q:TO:cnt/QS<1>.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/QS<2>.Q:TO:cnt/QS<1>.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/QS<3>.Q:TO:cnt/QS<1>.D:1
|
||||
AUTO_TS_P2F:FROM:FCLK:TO:cnt/QS<1>.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/QS<2>.Q:TO:cnt/QS<2>.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/QoSCSr.Q:TO:cnt/QS<2>.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/nRESr.Q:TO:cnt/QS<2>.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/IACKCSr.Q:TO:cnt/QS<2>.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/SndCSWRr.Q:TO:cnt/QS<2>.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/IWMCSr.Q:TO:cnt/QS<2>.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/SCCCSr.Q:TO:cnt/QS<2>.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/VIACSr.Q:TO:cnt/QS<2>.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/QS<0>.Q:TO:cnt/QS<2>.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/QS<1>.Q:TO:cnt/QS<2>.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/TimerTick.Q:TO:cnt/QS<2>.D:1
|
||||
@ -375,12 +380,23 @@ AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<11>.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/TimerTick.Q:TO:cnt/LTimer<11>.CE:1
|
||||
AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<11>.CE:1
|
||||
AUTO_TS_F2F:FROM:cnt/QS<3>.Q:TO:cnt/QS<3>.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/QoSCSr.Q:TO:cnt/QS<3>.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/nRESr.Q:TO:cnt/QS<3>.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/IACKCSr.Q:TO:cnt/QS<3>.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/SndCSWRr.Q:TO:cnt/QS<3>.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/IWMCSr.Q:TO:cnt/QS<3>.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/SCCCSr.Q:TO:cnt/QS<3>.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/VIACSr.Q:TO:cnt/QS<3>.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/QS<0>.Q:TO:cnt/QS<3>.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/QS<1>.Q:TO:cnt/QS<3>.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/QS<2>.Q:TO:cnt/QS<3>.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/TimerTick.Q:TO:cnt/QS<3>.D:1
|
||||
AUTO_TS_P2F:FROM:FCLK:TO:cnt/QS<3>.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/nPOR.Q:TO:cnt/nPOR.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/C8Mr<1>.Q:TO:cnt/nPOR.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/C8Mr<0>.Q:TO:cnt/nPOR.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/C8Mr<2>.Q:TO:cnt/nPOR.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/C8Mr<3>.Q:TO:cnt/nPOR.D:1
|
||||
AUTO_TS_P2F:FROM:FCLK:TO:cnt/nPOR.D:1
|
||||
AUTO_TS_F2F:FROM:ASrf.Q:TO:cs/Overlay.D:1
|
||||
AUTO_TS_F2F:FROM:nRESout.Q:TO:cs/Overlay.D:1
|
||||
AUTO_TS_F2F:FROM:cs/Overlay.Q:TO:cs/Overlay.D:1
|
||||
@ -389,8 +405,8 @@ AUTO_TS_P2F:FROM:FCLK:TO:cs/Overlay.D:1
|
||||
AUTO_TS_P2F:FROM:nRES:TO:cs/Overlay.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<21>:TO:cs/Overlay.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<20>:TO:cs/Overlay.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<23>:TO:cs/Overlay.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<22>:TO:cs/Overlay.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<23>:TO:cs/Overlay.D:1
|
||||
AUTO_TS_F2F:FROM:ram/RS<2>.Q:TO:ram/RefDone.D:1
|
||||
AUTO_TS_F2F:FROM:RefReq.Q:TO:ram/RefDone.D:1
|
||||
AUTO_TS_F2F:FROM:ram/RefDone.Q:TO:ram/RefDone.D:1
|
||||
@ -409,64 +425,18 @@ AUTO_TS_P2F:FROM:A_FSB<19>:TO:IONPReady.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<18>:TO:IONPReady.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<17>:TO:IONPReady.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<16>:TO:IONPReady.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<23>:TO:IONPReady.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<22>:TO:IONPReady.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<23>:TO:IONPReady.D:1
|
||||
AUTO_TS_P2F:FROM:nWE_FSB:TO:IONPReady.D:1
|
||||
AUTO_TS_F2F:FROM:nPOR.Q:TO:SlowClockGate.D:1
|
||||
AUTO_TS_F2F:FROM:set/SetWRr.Q:TO:SlowClockGate.D:1
|
||||
AUTO_TS_F2F:FROM:SlowClockGate.Q:TO:SlowClockGate.D:1
|
||||
AUTO_TS_P2F:FROM:FCLK:TO:SlowClockGate.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<1>:TO:SlowClockGate.D:1
|
||||
AUTO_TS_F2F:FROM:nPOR.Q:TO:SlowIACK.D:1
|
||||
AUTO_TS_F2F:FROM:set/SetWRr.Q:TO:SlowIACK.D:1
|
||||
AUTO_TS_F2F:FROM:SlowIACK.Q:TO:SlowIACK.D:1
|
||||
AUTO_TS_P2F:FROM:FCLK:TO:SlowIACK.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<7>:TO:SlowIACK.D:1
|
||||
AUTO_TS_F2F:FROM:nPOR.Q:TO:SlowIWM.D:1
|
||||
AUTO_TS_F2F:FROM:set/SetWRr.Q:TO:SlowIWM.D:1
|
||||
AUTO_TS_F2F:FROM:SlowIWM.Q:TO:SlowIWM.D:1
|
||||
AUTO_TS_P2F:FROM:FCLK:TO:SlowIWM.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<5>:TO:SlowIWM.D:1
|
||||
AUTO_TS_F2F:FROM:nPOR.Q:TO:SlowSCC.D:1
|
||||
AUTO_TS_F2F:FROM:set/SetWRr.Q:TO:SlowSCC.D:1
|
||||
AUTO_TS_F2F:FROM:SlowSCC.Q:TO:SlowSCC.D:1
|
||||
AUTO_TS_P2F:FROM:FCLK:TO:SlowSCC.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<4>:TO:SlowSCC.D:1
|
||||
AUTO_TS_F2F:FROM:nPOR.Q:TO:SlowSCSI.D:1
|
||||
AUTO_TS_F2F:FROM:set/SetWRr.Q:TO:SlowSCSI.D:1
|
||||
AUTO_TS_F2F:FROM:SlowSCSI.Q:TO:SlowSCSI.D:1
|
||||
AUTO_TS_P2F:FROM:FCLK:TO:SlowSCSI.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<3>:TO:SlowSCSI.D:1
|
||||
AUTO_TS_F2F:FROM:nPOR.Q:TO:SlowSnd.D:1
|
||||
AUTO_TS_F2F:FROM:set/SetWRr.Q:TO:SlowSnd.D:1
|
||||
AUTO_TS_F2F:FROM:SlowSnd.Q:TO:SlowSnd.D:1
|
||||
AUTO_TS_P2F:FROM:FCLK:TO:SlowSnd.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<2>:TO:SlowSnd.D:1
|
||||
AUTO_TS_F2F:FROM:nPOR.Q:TO:SlowTimeout<0>.D:1
|
||||
AUTO_TS_F2F:FROM:SlowTimeout<0>.Q:TO:SlowTimeout<0>.D:1
|
||||
AUTO_TS_F2F:FROM:set/SetWRr.Q:TO:SlowTimeout<0>.D:1
|
||||
AUTO_TS_P2F:FROM:FCLK:TO:SlowTimeout<0>.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<8>:TO:SlowTimeout<0>.D:1
|
||||
AUTO_TS_F2F:FROM:nPOR.Q:TO:SlowTimeout<1>.D:1
|
||||
AUTO_TS_F2F:FROM:SlowTimeout<1>.Q:TO:SlowTimeout<1>.D:1
|
||||
AUTO_TS_F2F:FROM:set/SetWRr.Q:TO:SlowTimeout<1>.D:1
|
||||
AUTO_TS_P2F:FROM:FCLK:TO:SlowTimeout<1>.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<9>:TO:SlowTimeout<1>.D:1
|
||||
AUTO_TS_F2F:FROM:nPOR.Q:TO:SlowTimeout<2>.D:1
|
||||
AUTO_TS_F2F:FROM:set/SetWRr.Q:TO:SlowTimeout<2>.D:1
|
||||
AUTO_TS_F2F:FROM:SlowTimeout<2>.Q:TO:SlowTimeout<2>.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<10>:TO:SlowTimeout<2>.D:1
|
||||
AUTO_TS_P2F:FROM:FCLK:TO:SlowTimeout<2>.D:1
|
||||
AUTO_TS_F2F:FROM:nPOR.Q:TO:SlowTimeout<3>.D:1
|
||||
AUTO_TS_F2F:FROM:set/SetWRr.Q:TO:SlowTimeout<3>.D:1
|
||||
AUTO_TS_F2F:FROM:SlowTimeout<3>.Q:TO:SlowTimeout<3>.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<11>:TO:SlowTimeout<3>.D:1
|
||||
AUTO_TS_P2F:FROM:FCLK:TO:SlowTimeout<3>.D:1
|
||||
AUTO_TS_F2F:FROM:nPOR.Q:TO:SlowVIA.D:1
|
||||
AUTO_TS_F2F:FROM:set/SetWRr.Q:TO:SlowVIA.D:1
|
||||
AUTO_TS_F2F:FROM:SlowVIA.Q:TO:SlowVIA.D:1
|
||||
AUTO_TS_P2F:FROM:FCLK:TO:SlowVIA.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<6>:TO:SlowVIA.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/IACKCSr.Q:TO:cnt/ClockGateEN.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/SndCSWRr.Q:TO:cnt/ClockGateEN.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/IWMCSr.Q:TO:cnt/ClockGateEN.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/SCCCSr.Q:TO:cnt/ClockGateEN.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/VIACSr.Q:TO:cnt/ClockGateEN.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/nRESr.Q:TO:cnt/ClockGateEN.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/SCSICSr.Q:TO:cnt/ClockGateEN.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/ClockGateEN.Q:TO:cnt/ClockGateEN.D:1
|
||||
AUTO_TS_P2F:FROM:FCLK:TO:cnt/ClockGateEN.D:1
|
||||
AUTO_TS_F2F:FROM:iobs/Load1.Q:TO:iobs/IOL1.CE:1
|
||||
AUTO_TS_P2F:FROM:FCLK:TO:iobs/IOL1.CE:1
|
||||
AUTO_TS_F2F:FROM:QoSEN.Q:TO:iobs/IORW1.D:1
|
||||
@ -474,25 +444,25 @@ AUTO_TS_F2F:FROM:iobs/Sent.Q:TO:iobs/IORW1.D:1
|
||||
AUTO_TS_F2F:FROM:iobs/TS_FSM_FFd1.Q:TO:iobs/IORW1.D:1
|
||||
AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:iobs/IORW1.D:1
|
||||
AUTO_TS_F2F:FROM:iobs/IORW1.Q:TO:iobs/IORW1.D:1
|
||||
AUTO_TS_F2F:FROM:iobs/TS_FSM_FFd2.Q:TO:iobs/IORW1.D:1
|
||||
AUTO_TS_F2F:FROM:ASrf.Q:TO:iobs/IORW1.D:1
|
||||
AUTO_TS_F2F:FROM:iobs/TS_FSM_FFd2.Q:TO:iobs/IORW1.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<21>:TO:iobs/IORW1.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<20>:TO:iobs/IORW1.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<19>:TO:iobs/IORW1.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<18>:TO:iobs/IORW1.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<17>:TO:iobs/IORW1.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<16>:TO:iobs/IORW1.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<23>:TO:iobs/IORW1.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<22>:TO:iobs/IORW1.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<23>:TO:iobs/IORW1.D:1
|
||||
AUTO_TS_P2F:FROM:nAS_FSB:TO:iobs/IORW1.D:1
|
||||
AUTO_TS_P2F:FROM:nWE_FSB:TO:iobs/IORW1.D:1
|
||||
AUTO_TS_P2F:FROM:FCLK:TO:iobs/IORW1.D:1
|
||||
AUTO_TS_F2F:FROM:iobs/Load1.Q:TO:iobs/IOU1.CE:1
|
||||
AUTO_TS_P2F:FROM:FCLK:TO:iobs/IOU1.CE:1
|
||||
AUTO_TS_F2F:FROM:QoSEN.Q:TO:MCKE_OBUF.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/C8Mr<1>.Q:TO:MCKE_OBUF.D:1
|
||||
AUTO_TS_F2F:FROM:ASrf.Q:TO:MCKE_OBUF.D:1
|
||||
AUTO_TS_F2F:FROM:SlowClockGate.Q:TO:MCKE_OBUF.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/C8Mr<1>.Q:TO:MCKE_OBUF.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/ClockGateEN.Q:TO:MCKE_OBUF.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/C8Mr<0>.Q:TO:MCKE_OBUF.D:1
|
||||
AUTO_TS_P2F:FROM:FCLK:TO:MCKE_OBUF.D:1
|
||||
AUTO_TS_F2F:FROM:ASrf.Q:TO:nBERR_FSB_OBUF.D:1
|
||||
@ -504,15 +474,15 @@ AUTO_TS_P2F:FROM:nAS_FSB:TO:nBERR_FSB_OBUF.D:1
|
||||
AUTO_TS_P2F:FROM:FCLK:TO:nBERR_FSB_OBUF.D:1
|
||||
AUTO_TS_P2F:FROM:nBERR_IOB:TO:nBERR_FSB_OBUF.D:1
|
||||
AUTO_TS_F2F:FROM:cs/Overlay.Q:TO:nOE_OBUF.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<23>:TO:nOE_OBUF.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<22>:TO:nOE_OBUF.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<23>:TO:nOE_OBUF.D:1
|
||||
AUTO_TS_P2F:FROM:nWE_FSB:TO:nOE_OBUF.D:1
|
||||
AUTO_TS_P2F:FROM:FCLK:TO:nOE_OBUF.D:1
|
||||
AUTO_TS_F2F:FROM:IONPReady.Q:TO:nVPA_FSB_OBUF.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<21>:TO:nVPA_FSB_OBUF.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<20>:TO:nVPA_FSB_OBUF.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<23>:TO:nVPA_FSB_OBUF.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<22>:TO:nVPA_FSB_OBUF.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<23>:TO:nVPA_FSB_OBUF.D:1
|
||||
AUTO_TS_P2F:FROM:FCLK:TO:nVPA_FSB_OBUF.D:1
|
||||
AUTO_TS_F2F:FROM:ram/RS<1>.Q:TO:ram/RASEL.D:1
|
||||
AUTO_TS_F2F:FROM:ram/RS<0>.Q:TO:ram/RASEL.D:1
|
||||
@ -520,8 +490,8 @@ AUTO_TS_F2F:FROM:ram/RS<2>.Q:TO:ram/RASEL.D:1
|
||||
AUTO_TS_F2F:FROM:cs/Overlay.Q:TO:ram/RASEL.D:1
|
||||
AUTO_TS_F2F:FROM:ASrf.Q:TO:ram/RASEL.D:1
|
||||
AUTO_TS_P2F:FROM:FCLK:TO:ram/RASEL.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/RASEL.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<22>:TO:ram/RASEL.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/RASEL.D:1
|
||||
AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/RASEL.D:1
|
||||
AUTO_TS_F2F:FROM:ram/RS<0>.Q:TO:ram/RS<1>.D:1
|
||||
AUTO_TS_F2F:FROM:ram/RS<1>.Q:TO:ram/RS<1>.D:1
|
||||
@ -538,8 +508,8 @@ AUTO_TS_F2F:FROM:ram/RS<1>.Q:TO:ram/RS<0>.D:1
|
||||
AUTO_TS_F2F:FROM:ram/RS<2>.Q:TO:ram/RS<0>.D:1
|
||||
AUTO_TS_F2F:FROM:nDTACK_FSB_OBUF.Q:TO:ram/RS<0>.D:1
|
||||
AUTO_TS_P2F:FROM:FCLK:TO:ram/RS<0>.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/RS<0>.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<22>:TO:ram/RS<0>.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/RS<0>.D:1
|
||||
AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/RS<0>.D:1
|
||||
AUTO_TS_F2F:FROM:ram/RS<1>.Q:TO:ram/RS<2>.D:1
|
||||
AUTO_TS_F2F:FROM:ram/RS<0>.Q:TO:ram/RS<2>.D:1
|
||||
@ -550,8 +520,8 @@ AUTO_TS_F2F:FROM:ASrf.Q:TO:ram/RS<2>.D:1
|
||||
AUTO_TS_F2F:FROM:RefReq.Q:TO:ram/RS<2>.D:1
|
||||
AUTO_TS_F2F:FROM:BACTr.Q:TO:ram/RS<2>.D:1
|
||||
AUTO_TS_P2F:FROM:FCLK:TO:ram/RS<2>.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/RS<2>.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<22>:TO:ram/RS<2>.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/RS<2>.D:1
|
||||
AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/RS<2>.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/Timer<0>.Q:TO:cnt/TimerTick.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/Timer<1>.Q:TO:cnt/TimerTick.D:1
|
||||
@ -567,63 +537,66 @@ AUTO_TS_P2F:FROM:FCLK:TO:iobs/TS_FSM_FFd1.D:1
|
||||
AUTO_TS_F2F:FROM:QoSEN.Q:TO:iobs/TS_FSM_FFd2.D:1
|
||||
AUTO_TS_F2F:FROM:iobs/TS_FSM_FFd2.Q:TO:iobs/TS_FSM_FFd2.D:1
|
||||
AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:iobs/TS_FSM_FFd2.D:1
|
||||
AUTO_TS_F2F:FROM:ASrf.Q:TO:iobs/TS_FSM_FFd2.D:1
|
||||
AUTO_TS_F2F:FROM:iobs/TS_FSM_FFd1.Q:TO:iobs/TS_FSM_FFd2.D:1
|
||||
AUTO_TS_F2F:FROM:iobs/IOACTr.Q:TO:iobs/TS_FSM_FFd2.D:1
|
||||
AUTO_TS_F2F:FROM:iobs/Sent.Q:TO:iobs/TS_FSM_FFd2.D:1
|
||||
AUTO_TS_F2F:FROM:ASrf.Q:TO:iobs/TS_FSM_FFd2.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<23>:TO:iobs/TS_FSM_FFd2.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<21>:TO:iobs/TS_FSM_FFd2.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<20>:TO:iobs/TS_FSM_FFd2.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<19>:TO:iobs/TS_FSM_FFd2.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<22>:TO:iobs/TS_FSM_FFd2.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<23>:TO:iobs/TS_FSM_FFd2.D:1
|
||||
AUTO_TS_P2F:FROM:nWE_FSB:TO:iobs/TS_FSM_FFd2.D:1
|
||||
AUTO_TS_P2F:FROM:FCLK:TO:iobs/TS_FSM_FFd2.D:1
|
||||
AUTO_TS_P2F:FROM:nAS_FSB:TO:iobs/TS_FSM_FFd2.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<18>:TO:iobs/TS_FSM_FFd2.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<17>:TO:iobs/TS_FSM_FFd2.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<16>:TO:iobs/TS_FSM_FFd2.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<21>:TO:iobs/TS_FSM_FFd2.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<20>:TO:iobs/TS_FSM_FFd2.D:1
|
||||
AUTO_TS_P2F:FROM:nWE_FSB:TO:iobs/TS_FSM_FFd2.D:1
|
||||
AUTO_TS_P2F:FROM:nAS_FSB:TO:iobs/TS_FSM_FFd2.D:1
|
||||
AUTO_TS_F2F:FROM:nPOR.Q:TO:cnt/IS<1>.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/nPOR.Q:TO:cnt/IS<1>.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/IS<1>.Q:TO:cnt/IS<1>.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/IS<0>.Q:TO:cnt/IS<1>.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/LTimerTick.Q:TO:cnt/IS<1>.D:1
|
||||
AUTO_TS_P2F:FROM:FCLK:TO:cnt/IS<1>.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/C8Mr<0>.Q:TO:cnt/C8Mr<1>.D:1
|
||||
AUTO_TS_P2F:FROM:FCLK:TO:cnt/C8Mr<1>.D:1
|
||||
AUTO_TS_F2F:FROM:nPOR.Q:TO:cnt/IS<0>.D:1
|
||||
AUTO_TS_F2F:FROM:ASrf.Q:TO:cnt/IACKCSr.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<21>:TO:cnt/IACKCSr.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<20>:TO:cnt/IACKCSr.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<22>:TO:cnt/IACKCSr.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<23>:TO:cnt/IACKCSr.D:1
|
||||
AUTO_TS_P2F:FROM:nAS_FSB:TO:cnt/IACKCSr.D:1
|
||||
AUTO_TS_P2F:FROM:FCLK:TO:cnt/IACKCSr.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/nPOR.Q:TO:cnt/IS<0>.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/IS<0>.Q:TO:cnt/IS<0>.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/IS<1>.Q:TO:cnt/IS<0>.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/LTimerTick.Q:TO:cnt/IS<0>.D:1
|
||||
AUTO_TS_P2F:FROM:FCLK:TO:cnt/IS<0>.D:1
|
||||
AUTO_TS_P2F:FROM:nIPL2:TO:cnt/IS<0>.D:1
|
||||
AUTO_TS_F2F:FROM:ASrf.Q:TO:cnt/SndCSWRr.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<20>:TO:cnt/SndCSWRr.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<19>:TO:cnt/SndCSWRr.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<21>:TO:cnt/SndCSWRr.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<18>:TO:cnt/SndCSWRr.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<17>:TO:cnt/SndCSWRr.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<16>:TO:cnt/SndCSWRr.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<15>:TO:cnt/SndCSWRr.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<13>:TO:cnt/SndCSWRr.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<22>:TO:cnt/SndCSWRr.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<23>:TO:cnt/SndCSWRr.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<14>:TO:cnt/SndCSWRr.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<12>:TO:cnt/SndCSWRr.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<11>:TO:cnt/SndCSWRr.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<10>:TO:cnt/SndCSWRr.D:1
|
||||
AUTO_TS_P2F:FROM:nWE_FSB:TO:cnt/SndCSWRr.D:1
|
||||
AUTO_TS_P2F:FROM:FCLK:TO:cnt/SndCSWRr.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<8>:TO:cnt/SndCSWRr.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<9>:TO:cnt/SndCSWRr.D:1
|
||||
AUTO_TS_P2F:FROM:nAS_FSB:TO:cnt/SndCSWRr.D:1
|
||||
AUTO_TS_F2F:FROM:nRESout.Q:TO:cnt/nRESr.D:1
|
||||
AUTO_TS_P2F:FROM:nRES:TO:cnt/nRESr.D:1
|
||||
AUTO_TS_P2F:FROM:FCLK:TO:cnt/nRESr.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/C8Mr<1>.Q:TO:cnt/C8Mr<2>.D:1
|
||||
AUTO_TS_P2F:FROM:FCLK:TO:cnt/C8Mr<2>.D:1
|
||||
AUTO_TS_F2F:FROM:nRESout.Q:TO:cnt/QoSCSr.D:1
|
||||
AUTO_TS_F2F:FROM:SlowIWM.Q:TO:cnt/QoSCSr.D:1
|
||||
AUTO_TS_F2F:FROM:SlowSnd.Q:TO:cnt/QoSCSr.D:1
|
||||
AUTO_TS_F2F:FROM:SlowSCC.Q:TO:cnt/QoSCSr.D:1
|
||||
AUTO_TS_F2F:FROM:SlowIACK.Q:TO:cnt/QoSCSr.D:1
|
||||
AUTO_TS_F2F:FROM:SlowVIA.Q:TO:cnt/QoSCSr.D:1
|
||||
AUTO_TS_F2F:FROM:SlowSCSI.Q:TO:cnt/QoSCSr.D:1
|
||||
AUTO_TS_P2F:FROM:nRES:TO:cnt/QoSCSr.D:1
|
||||
AUTO_TS_P2F:FROM:FCLK:TO:cnt/QoSCSr.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<21>:TO:cnt/QoSCSr.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<20>:TO:cnt/QoSCSr.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<23>:TO:cnt/QoSCSr.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<22>:TO:cnt/QoSCSr.D:1
|
||||
AUTO_TS_P2F:FROM:nAS_FSB:TO:cnt/QoSCSr.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<19>:TO:cnt/QoSCSr.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<18>:TO:cnt/QoSCSr.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<17>:TO:cnt/QoSCSr.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<16>:TO:cnt/QoSCSr.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<15>:TO:cnt/QoSCSr.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<13>:TO:cnt/QoSCSr.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<14>:TO:cnt/QoSCSr.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<12>:TO:cnt/QoSCSr.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<11>:TO:cnt/QoSCSr.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<10>:TO:cnt/QoSCSr.D:1
|
||||
AUTO_TS_P2F:FROM:nWE_FSB:TO:cnt/QoSCSr.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<8>:TO:cnt/QoSCSr.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<9>:TO:cnt/QoSCSr.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/C8Mr<2>.Q:TO:cnt/C8Mr<3>.D:1
|
||||
AUTO_TS_P2F:FROM:FCLK:TO:cnt/C8Mr<3>.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/TimerTick.Q:TO:cnt/LTimerTick.D:1
|
||||
@ -652,11 +625,31 @@ AUTO_TS_P2F:FROM:A_FSB<19>:TO:iobs/Load1.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<18>:TO:iobs/Load1.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<17>:TO:iobs/Load1.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<16>:TO:iobs/Load1.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<23>:TO:iobs/Load1.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<22>:TO:iobs/Load1.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<23>:TO:iobs/Load1.D:1
|
||||
AUTO_TS_P2F:FROM:nAS_FSB:TO:iobs/Load1.D:1
|
||||
AUTO_TS_P2F:FROM:nWE_FSB:TO:iobs/Load1.D:1
|
||||
AUTO_TS_P2F:FROM:FCLK:TO:iobs/Load1.D:1
|
||||
AUTO_TS_F2F:FROM:ASrf.Q:TO:cnt/IWMCSr.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<21>:TO:cnt/IWMCSr.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<20>:TO:cnt/IWMCSr.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<22>:TO:cnt/IWMCSr.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<23>:TO:cnt/IWMCSr.D:1
|
||||
AUTO_TS_P2F:FROM:nAS_FSB:TO:cnt/IWMCSr.D:1
|
||||
AUTO_TS_P2F:FROM:FCLK:TO:cnt/IWMCSr.D:1
|
||||
AUTO_TS_F2F:FROM:ASrf.Q:TO:cnt/SCCCSr.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<20>:TO:cnt/SCCCSr.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<22>:TO:cnt/SCCCSr.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<23>:TO:cnt/SCCCSr.D:1
|
||||
AUTO_TS_P2F:FROM:nAS_FSB:TO:cnt/SCCCSr.D:1
|
||||
AUTO_TS_P2F:FROM:FCLK:TO:cnt/SCCCSr.D:1
|
||||
AUTO_TS_F2F:FROM:ASrf.Q:TO:cnt/VIACSr.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<21>:TO:cnt/VIACSr.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<20>:TO:cnt/VIACSr.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<22>:TO:cnt/VIACSr.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<23>:TO:cnt/VIACSr.D:1
|
||||
AUTO_TS_P2F:FROM:nAS_FSB:TO:cnt/VIACSr.D:1
|
||||
AUTO_TS_P2F:FROM:FCLK:TO:cnt/VIACSr.D:1
|
||||
AUTO_TS_F2F:FROM:iobs/IODONErf.Q:TO:iobs/IODONEr<0>.D:1
|
||||
AUTO_TS_P2F:FROM:FCLK:TO:iobs/IODONEr<0>.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/IS<1>.Q:TO:nBR_IOBout.D:1
|
||||
@ -674,8 +667,8 @@ AUTO_TS_F2F:FROM:ASrf.Q:TO:ram/RASEN.D:1
|
||||
AUTO_TS_F2F:FROM:ram/RefDone.Q:TO:ram/RASEN.D:1
|
||||
AUTO_TS_F2F:FROM:nDTACK_FSB_OBUF.Q:TO:ram/RASEN.D:1
|
||||
AUTO_TS_P2F:FROM:FCLK:TO:ram/RASEN.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/RASEN.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<22>:TO:ram/RASEN.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/RASEN.D:1
|
||||
AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/RASEN.D:1
|
||||
AUTO_TS_F2F:FROM:ASrf.Q:TO:BACTr.D:1
|
||||
AUTO_TS_P2F:FROM:nAS_FSB:TO:BACTr.D:1
|
||||
@ -701,22 +694,22 @@ AUTO_TS_F2F:FROM:nRESout.Q:TO:nRESout.D:1
|
||||
AUTO_TS_P2F:FROM:FCLK:TO:nRESout.D:1
|
||||
AUTO_TS_F2F:FROM:iobs/TS_FSM_FFd2.Q:TO:ALE0S.D:1
|
||||
AUTO_TS_P2F:FROM:FCLK:TO:ALE0S.D:1
|
||||
AUTO_TS_F2F:FROM:QoSEN.Q:TO:IOREQ.D:1
|
||||
AUTO_TS_F2F:FROM:iobs/TS_FSM_FFd2.Q:TO:IOREQ.D:1
|
||||
AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:IOREQ.D:1
|
||||
AUTO_TS_F2F:FROM:QoSEN.Q:TO:IOREQ.D:1
|
||||
AUTO_TS_F2F:FROM:iobs/TS_FSM_FFd1.Q:TO:IOREQ.D:1
|
||||
AUTO_TS_F2F:FROM:iobs/IOACTr.Q:TO:IOREQ.D:1
|
||||
AUTO_TS_F2F:FROM:iobs/Sent.Q:TO:IOREQ.D:1
|
||||
AUTO_TS_F2F:FROM:ASrf.Q:TO:IOREQ.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<19>:TO:IOREQ.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<23>:TO:IOREQ.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<22>:TO:IOREQ.D:1
|
||||
AUTO_TS_P2F:FROM:FCLK:TO:IOREQ.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<18>:TO:IOREQ.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<17>:TO:IOREQ.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<22>:TO:IOREQ.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<23>:TO:IOREQ.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<16>:TO:IOREQ.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<21>:TO:IOREQ.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<20>:TO:IOREQ.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<19>:TO:IOREQ.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<18>:TO:IOREQ.D:1
|
||||
AUTO_TS_P2F:FROM:nWE_FSB:TO:IOREQ.D:1
|
||||
AUTO_TS_P2F:FROM:nAS_FSB:TO:IOREQ.D:1
|
||||
AUTO_TS_F2F:FROM:ram/RS<1>.Q:TO:RAMReady.D:1
|
||||
@ -729,10 +722,17 @@ AUTO_TS_F2F:FROM:RefReq.Q:TO:RAMReady.D:1
|
||||
AUTO_TS_F2F:FROM:BACTr.Q:TO:RAMReady.D:1
|
||||
AUTO_TS_P2F:FROM:FCLK:TO:RAMReady.D:1
|
||||
AUTO_TS_P2F:FROM:nAS_FSB:TO:RAMReady.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<23>:TO:RAMReady.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<22>:TO:RAMReady.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<23>:TO:RAMReady.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/Er<1>.D:1
|
||||
AUTO_TS_P2F:FROM:FCLK:TO:cnt/Er<1>.D:1
|
||||
AUTO_TS_F2F:FROM:ASrf.Q:TO:cnt/SCSICSr.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<21>:TO:cnt/SCSICSr.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<20>:TO:cnt/SCSICSr.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<22>:TO:cnt/SCSICSr.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<23>:TO:cnt/SCSICSr.D:1
|
||||
AUTO_TS_P2F:FROM:nAS_FSB:TO:cnt/SCSICSr.D:1
|
||||
AUTO_TS_P2F:FROM:FCLK:TO:cnt/SCSICSr.D:1
|
||||
AUTO_TS_F2F:FROM:iobs/TS_FSM_FFd1.Q:TO:iobs/Clear1.D:1
|
||||
AUTO_TS_F2F:FROM:iobs/TS_FSM_FFd2.Q:TO:iobs/Clear1.D:1
|
||||
AUTO_TS_P2F:FROM:FCLK:TO:iobs/Clear1.D:1
|
||||
@ -747,23 +747,15 @@ AUTO_TS_P2F:FROM:FCLK:TO:ram/RASrf.D:1
|
||||
AUTO_TS_F2F:FROM:ram/RS<1>.Q:TO:ram/RefCAS.D:1
|
||||
AUTO_TS_F2F:FROM:ram/RS<0>.Q:TO:ram/RefCAS.D:1
|
||||
AUTO_TS_F2F:FROM:ram/RS<2>.Q:TO:ram/RefCAS.D:1
|
||||
AUTO_TS_F2F:FROM:ASrf.Q:TO:ram/RefCAS.D:1
|
||||
AUTO_TS_F2F:FROM:RefReq.Q:TO:ram/RefCAS.D:1
|
||||
AUTO_TS_F2F:FROM:ram/RefDone.Q:TO:ram/RefCAS.D:1
|
||||
AUTO_TS_F2F:FROM:BACTr.Q:TO:ram/RefCAS.D:1
|
||||
AUTO_TS_F2F:FROM:ASrf.Q:TO:ram/RefCAS.D:1
|
||||
AUTO_TS_F2F:FROM:RefUrg.Q:TO:ram/RefCAS.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<22>:TO:ram/RefCAS.D:1
|
||||
AUTO_TS_P2F:FROM:FCLK:TO:ram/RefCAS.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/RefCAS.D:1
|
||||
AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/RefCAS.D:1
|
||||
AUTO_TS_P2F:FROM:FCLK:TO:ram/RefCAS.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<22>:TO:ram/RefCAS.D:1
|
||||
AUTO_TS_F2F:FROM:ASrf.Q:TO:set/SetWRr.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<21>:TO:set/SetWRr.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<20>:TO:set/SetWRr.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<19>:TO:set/SetWRr.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<23>:TO:set/SetWRr.D:1
|
||||
AUTO_TS_P2F:FROM:A_FSB<22>:TO:set/SetWRr.D:1
|
||||
AUTO_TS_P2F:FROM:nAS_FSB:TO:set/SetWRr.D:1
|
||||
AUTO_TS_P2F:FROM:FCLK:TO:set/SetWRr.D:1
|
||||
AUTO_TS_F2F:FROM:iobs/Load1.Q:TO:nADoutLE1_OBUF.D:1
|
||||
AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:nADoutLE1_OBUF.D:1
|
||||
AUTO_TS_F2F:FROM:iobs/Clear1.Q:TO:nADoutLE1_OBUF.D:1
|
||||
@ -772,15 +764,15 @@ AUTO_TS_F2F:FROM:cnt/IS<1>.Q:TO:nAoutOE_OBUF.D:1
|
||||
AUTO_TS_F2F:FROM:cnt/IS<0>.Q:TO:nAoutOE_OBUF.D:1
|
||||
AUTO_TS_F2F:FROM:nBR_IOBout.Q:TO:nAoutOE_OBUF.D:1
|
||||
AUTO_TS_P2F:FROM:FCLK:TO:nAoutOE_OBUF.D:1
|
||||
AUTO_TS_F2F:FROM:RnW_IOBout.Q:TO:RnW_IOBout.D:1
|
||||
AUTO_TS_F2F:FROM:iobm/IOREQr.Q:TO:RnW_IOBout.D:1
|
||||
AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:RnW_IOBout.D:1
|
||||
AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd4.Q:TO:RnW_IOBout.D:1
|
||||
AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd5.Q:TO:RnW_IOBout.D:1
|
||||
AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd6.Q:TO:RnW_IOBout.D:1
|
||||
AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:RnW_IOBout.D:1
|
||||
AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd7.Q:TO:RnW_IOBout.D:1
|
||||
AUTO_TS_F2F:FROM:RnW_IOBout.Q:TO:RnW_IOBout.D:1
|
||||
AUTO_TS_F2F:FROM:iobm/C8Mr.Q:TO:RnW_IOBout.D:1
|
||||
AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd7.Q:TO:RnW_IOBout.D:1
|
||||
AUTO_TS_F2F:FROM:iobm/IOREQr.Q:TO:RnW_IOBout.D:1
|
||||
AUTO_TS_F2F:FROM:IORW.Q:TO:RnW_IOBout.D:1
|
||||
AUTO_TS_P2F:FROM:C16M:TO:RnW_IOBout.D:1
|
||||
AUTO_TS_P2F:FROM:FCLK:TO:RnW_IOBout.D:1
|
||||
@ -825,8 +817,8 @@ AUTO_TS_F2F:FROM:iobm/ES<1>.Q:TO:IODONE.D:1
|
||||
AUTO_TS_F2F:FROM:iobm/ES<2>.Q:TO:IODONE.D:1
|
||||
AUTO_TS_F2F:FROM:iobm/ES<3>.Q:TO:IODONE.D:1
|
||||
AUTO_TS_F2F:FROM:nRESout.Q:TO:IODONE.D:1
|
||||
AUTO_TS_P2F:FROM:C16M:TO:IODONE.D:1
|
||||
AUTO_TS_P2F:FROM:C8M:TO:IODONE.D:1
|
||||
AUTO_TS_P2F:FROM:C16M:TO:IODONE.D:1
|
||||
AUTO_TS_P2F:FROM:nBERR_IOB:TO:IODONE.D:1
|
||||
AUTO_TS_P2F:FROM:nRES:TO:IODONE.D:1
|
||||
AUTO_TS_P2F:FROM:FCLK:TO:IODONE.D:1
|
||||
|
File diff suppressed because it is too large
Load Diff
@ -17,37 +17,33 @@
|
||||
<files>
|
||||
<file xil_pn:name="../WarpSE.v" xil_pn:type="FILE_VERILOG">
|
||||
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="1"/>
|
||||
<association xil_pn:name="Implementation" xil_pn:seqID="8"/>
|
||||
<association xil_pn:name="Implementation" xil_pn:seqID="7"/>
|
||||
</file>
|
||||
<file xil_pn:name="../WarpSE-XC95144XL.ucf" xil_pn:type="FILE_UCF">
|
||||
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
|
||||
</file>
|
||||
<file xil_pn:name="../CNT.v" xil_pn:type="FILE_VERILOG">
|
||||
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="3"/>
|
||||
<association xil_pn:name="Implementation" xil_pn:seqID="7"/>
|
||||
<association xil_pn:name="Implementation" xil_pn:seqID="6"/>
|
||||
</file>
|
||||
<file xil_pn:name="../CS.v" xil_pn:type="FILE_VERILOG">
|
||||
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="4"/>
|
||||
<association xil_pn:name="Implementation" xil_pn:seqID="6"/>
|
||||
<association xil_pn:name="Implementation" xil_pn:seqID="5"/>
|
||||
</file>
|
||||
<file xil_pn:name="../FSB.v" xil_pn:type="FILE_VERILOG">
|
||||
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="5"/>
|
||||
<association xil_pn:name="Implementation" xil_pn:seqID="5"/>
|
||||
<association xil_pn:name="Implementation" xil_pn:seqID="4"/>
|
||||
</file>
|
||||
<file xil_pn:name="../IOBM.v" xil_pn:type="FILE_VERILOG">
|
||||
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="6"/>
|
||||
<association xil_pn:name="Implementation" xil_pn:seqID="4"/>
|
||||
<association xil_pn:name="Implementation" xil_pn:seqID="3"/>
|
||||
</file>
|
||||
<file xil_pn:name="../IOBS.v" xil_pn:type="FILE_VERILOG">
|
||||
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="7"/>
|
||||
<association xil_pn:name="Implementation" xil_pn:seqID="3"/>
|
||||
<association xil_pn:name="Implementation" xil_pn:seqID="2"/>
|
||||
</file>
|
||||
<file xil_pn:name="../RAM.v" xil_pn:type="FILE_VERILOG">
|
||||
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="8"/>
|
||||
<association xil_pn:name="Implementation" xil_pn:seqID="2"/>
|
||||
</file>
|
||||
<file xil_pn:name="../SET.v" xil_pn:type="FILE_VERILOG">
|
||||
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="46"/>
|
||||
<association xil_pn:name="Implementation" xil_pn:seqID="1"/>
|
||||
</file>
|
||||
</files>
|
||||
@ -82,6 +78,7 @@
|
||||
<property xil_pn:name="Device Family" xil_pn:value="XC9500XL CPLDs" xil_pn:valueState="non-default"/>
|
||||
<property xil_pn:name="Device Speed Grade/Select ABS Minimum" xil_pn:value="-10" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Do Not Escape Signal and Instance Names in Netlist" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Enable Hardware Co-Simulation" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Enable Message Filtering" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Equivalent Register Removal XST" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Evaluation Development Board" xil_pn:value="None Specified" xil_pn:valueState="default"/>
|
||||
|
File diff suppressed because one or more lines are too long
Binary file not shown.
File diff suppressed because it is too large
Load Diff
@ -78,23 +78,23 @@ FDCPE_IONPReady: FDCPE port map (IONPReady,IONPReady_D,FCLK,'0','0');
|
||||
<br/> OR (NOT IONPReady AND NOT iobs/IODONEr(0))
|
||||
<br/> OR (NOT IONPReady AND iobs/IODONEr(1))
|
||||
<br/> OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND
|
||||
<br/> A_FSB(17) AND A_FSB(16) AND NOT A_FSB(23) AND NOT A_FSB(22) AND NOT QoSEN AND
|
||||
<br/> A_FSB(17) AND A_FSB(16) AND NOT A_FSB(22) AND NOT A_FSB(23) AND NOT QoSEN AND
|
||||
<br/> NOT IONPReady AND NOT nWE_FSB));
|
||||
</td></tr><tr><td>
|
||||
FDCPE_IOREQ: FDCPE port map (IOREQ,IOREQ_D,FCLK,'0','0');
|
||||
<br/> IOREQ_D <= ((NOT A_FSB(21) AND NOT A_FSB(23) AND NOT A_FSB(22) AND NOT QoSEN AND
|
||||
<br/> IOREQ_D <= ((NOT A_FSB(21) AND NOT A_FSB(22) AND NOT A_FSB(23) AND NOT QoSEN AND
|
||||
<br/> NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
|
||||
<br/> OR (NOT A_FSB(20) AND NOT A_FSB(23) AND NOT A_FSB(22) AND NOT QoSEN AND
|
||||
<br/> OR (NOT A_FSB(20) AND NOT A_FSB(22) AND NOT A_FSB(23) AND NOT QoSEN AND
|
||||
<br/> NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
|
||||
<br/> OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT QoSEN AND nWE_FSB AND
|
||||
<br/> OR (NOT A_FSB(19) AND NOT A_FSB(22) AND NOT A_FSB(23) AND NOT QoSEN AND
|
||||
<br/> NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
|
||||
<br/> OR (NOT A_FSB(19) AND NOT A_FSB(23) AND NOT A_FSB(22) AND NOT QoSEN AND
|
||||
<br/> OR (NOT A_FSB(18) AND NOT A_FSB(22) AND NOT A_FSB(23) AND NOT QoSEN AND
|
||||
<br/> NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
|
||||
<br/> OR (NOT A_FSB(18) AND NOT A_FSB(23) AND NOT A_FSB(22) AND NOT QoSEN AND
|
||||
<br/> OR (NOT A_FSB(22) AND NOT A_FSB(23) AND NOT QoSEN AND nWE_FSB AND
|
||||
<br/> NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
|
||||
<br/> OR (NOT A_FSB(17) AND NOT A_FSB(23) AND NOT A_FSB(22) AND NOT QoSEN AND
|
||||
<br/> OR (NOT A_FSB(17) AND NOT A_FSB(22) AND NOT A_FSB(23) AND NOT QoSEN AND
|
||||
<br/> NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
|
||||
<br/> OR (NOT A_FSB(16) AND NOT A_FSB(23) AND NOT A_FSB(22) AND NOT QoSEN AND
|
||||
<br/> OR (NOT A_FSB(16) AND NOT A_FSB(22) AND NOT A_FSB(23) AND NOT QoSEN AND
|
||||
<br/> NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
|
||||
<br/> OR (iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2)
|
||||
<br/> OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr)
|
||||
@ -114,18 +114,11 @@ FDCPE_IOU0: FDCPE port map (IOU0,IOU0_D,FCLK,'0','0');
|
||||
<br/> OR (iobs/IOU1 AND NOT iobs/TS_FSM_FFd1 AND NOT nADoutLE1));
|
||||
</td></tr><tr><td>
|
||||
FDCPE_MCKE: FDCPE port map (MCKE,MCKE_D,NOT FCLK,'0',NOT nAS_FSB);
|
||||
<br/> MCKE_D <= ((QoSEN AND SlowClockGate AND NOT cnt/C8Mr(1) AND NOT ASrf)
|
||||
<br/> OR (QoSEN AND SlowClockGate AND cnt/C8Mr(0) AND NOT ASrf));
|
||||
<br/> MCKE_D <= ((QoSEN AND cnt/ClockGateEN AND NOT cnt/C8Mr(1) AND NOT ASrf)
|
||||
<br/> OR (QoSEN AND cnt/ClockGateEN AND cnt/C8Mr(0) AND NOT ASrf));
|
||||
</td></tr><tr><td>
|
||||
FDCPE_QoSEN: FDCPE port map (QoSEN,QoSEN_D,FCLK,'0','0',QoSEN_CE);
|
||||
<br/> QoSEN_D <= ((NOT cnt/QS(0) AND NOT cnt/QS(1) AND NOT cnt/QS(2) AND NOT cnt/QS(3) AND
|
||||
<br/> SlowTimeout(0))
|
||||
<br/> OR (NOT cnt/QS(0) AND NOT cnt/QS(1) AND NOT cnt/QS(2) AND NOT cnt/QS(3) AND
|
||||
<br/> SlowTimeout(1))
|
||||
<br/> OR (NOT cnt/QS(0) AND NOT cnt/QS(1) AND NOT cnt/QS(2) AND NOT cnt/QS(3) AND
|
||||
<br/> SlowTimeout(2))
|
||||
<br/> OR (NOT cnt/QS(0) AND NOT cnt/QS(1) AND NOT cnt/QS(2) AND NOT cnt/QS(3) AND
|
||||
<br/> SlowTimeout(3)));
|
||||
<br/> QoSEN_D <= (NOT cnt/QS(0) AND NOT cnt/QS(1) AND NOT cnt/QS(2) AND NOT cnt/QS(3));
|
||||
<br/> QoSEN_CE <= (nAS_FSB AND NOT ASrf);
|
||||
</td></tr><tr><td>
|
||||
</td></tr><tr><td>
|
||||
@ -180,9 +173,9 @@ FDCPE_RAMReady: FDCPE port map (RAMReady,RAMReady_D,FCLK,'0','0');
|
||||
<br/> RAMReady_D <= ((ram/RS(1) AND NOT ram/RS(0) AND NOT ram/RS(2))
|
||||
<br/> OR (NOT ram/RS(1) AND ram/RS(0) AND NOT ram/RS(2))
|
||||
<br/> OR (nAS_FSB AND NOT RefUrg AND NOT ram/RS(2) AND NOT ASrf)
|
||||
<br/> OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT nAS_FSB AND NOT ram/RS(0) AND
|
||||
<br/> OR (NOT A_FSB(22) AND NOT A_FSB(23) AND NOT nAS_FSB AND NOT ram/RS(0) AND
|
||||
<br/> NOT ram/RS(2))
|
||||
<br/> OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT ram/RS(0) AND NOT ram/RS(2) AND
|
||||
<br/> OR (NOT A_FSB(22) AND NOT A_FSB(23) AND NOT ram/RS(0) AND NOT ram/RS(2) AND
|
||||
<br/> ASrf)
|
||||
<br/> OR (ram/RefDone AND NOT ram/RS(2))
|
||||
<br/> OR (NOT RefReq AND NOT RefUrg AND NOT ram/RS(2))
|
||||
@ -200,7 +193,7 @@ FDCPE_RefUrg: FDCPE port map (RefUrg,RefUrg_D,FCLK,'0','0',RefUrg_CE);
|
||||
<br/> RefUrg_CE <= (NOT cnt/Er(0) AND cnt/Er(1));
|
||||
</td></tr><tr><td>
|
||||
FTCPE_RnW_IOB: FTCPE port map (RnW_IOB_I,RnW_IOB_T,NOT C16M,'0','0');
|
||||
<br/> RnW_IOB_T <= ((NOT RnW_IOB AND NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOREQr AND
|
||||
<br/> RnW_IOB_T <= ((NOT RnW_IOB AND NOT iobm/IOS_FSM_FFd3 AND iobm/C8Mr AND
|
||||
<br/> NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND
|
||||
<br/> NOT iobm/IOS_FSM_FFd2)
|
||||
<br/> OR (RnW_IOB AND NOT IORW AND iobm/IOS_FSM_FFd7 AND NOT iobm/C8Mr AND
|
||||
@ -211,56 +204,12 @@ FTCPE_RnW_IOB: FTCPE port map (RnW_IOB_I,RnW_IOB_T,NOT C16M,'0','0');
|
||||
<br/> OR (NOT RnW_IOB AND NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd7 AND
|
||||
<br/> NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND
|
||||
<br/> NOT iobm/IOS_FSM_FFd2)
|
||||
<br/> OR (NOT RnW_IOB AND NOT iobm/IOS_FSM_FFd3 AND iobm/C8Mr AND
|
||||
<br/> OR (NOT RnW_IOB AND NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOREQr AND
|
||||
<br/> NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND
|
||||
<br/> NOT iobm/IOS_FSM_FFd2));
|
||||
<br/> RnW_IOB <= RnW_IOB_I when RnW_IOB_OE = '1' else 'Z';
|
||||
<br/> RnW_IOB_OE <= NOT nAoutOE;
|
||||
</td></tr><tr><td>
|
||||
FDCPE_SlowClockGate: FDCPE port map (SlowClockGate,SlowClockGate_D,FCLK,'0','0');
|
||||
<br/> SlowClockGate_D <= ((nPOR AND NOT SlowClockGate AND NOT set/SetWRr)
|
||||
<br/> OR (nPOR AND NOT A_FSB(1) AND set/SetWRr));
|
||||
</td></tr><tr><td>
|
||||
FDCPE_SlowIACK: FDCPE port map (SlowIACK,SlowIACK_D,FCLK,'0','0');
|
||||
<br/> SlowIACK_D <= ((nPOR AND NOT SlowIACK AND NOT set/SetWRr)
|
||||
<br/> OR (nPOR AND NOT A_FSB(7) AND set/SetWRr));
|
||||
</td></tr><tr><td>
|
||||
FDCPE_SlowIWM: FDCPE port map (SlowIWM,SlowIWM_D,FCLK,'0','0');
|
||||
<br/> SlowIWM_D <= ((nPOR AND NOT SlowIWM AND NOT set/SetWRr)
|
||||
<br/> OR (nPOR AND NOT A_FSB(5) AND set/SetWRr));
|
||||
</td></tr><tr><td>
|
||||
FDCPE_SlowSCC: FDCPE port map (SlowSCC,SlowSCC_D,FCLK,'0','0');
|
||||
<br/> SlowSCC_D <= ((nPOR AND NOT SlowSCC AND NOT set/SetWRr)
|
||||
<br/> OR (nPOR AND NOT A_FSB(4) AND set/SetWRr));
|
||||
</td></tr><tr><td>
|
||||
FDCPE_SlowSCSI: FDCPE port map (SlowSCSI,SlowSCSI_D,FCLK,'0','0');
|
||||
<br/> SlowSCSI_D <= ((nPOR AND NOT SlowSCSI AND NOT set/SetWRr)
|
||||
<br/> OR (nPOR AND NOT A_FSB(3) AND set/SetWRr));
|
||||
</td></tr><tr><td>
|
||||
FDCPE_SlowSnd: FDCPE port map (SlowSnd,SlowSnd_D,FCLK,'0','0');
|
||||
<br/> SlowSnd_D <= ((nPOR AND NOT SlowSnd AND NOT set/SetWRr)
|
||||
<br/> OR (nPOR AND NOT A_FSB(2) AND set/SetWRr));
|
||||
</td></tr><tr><td>
|
||||
FDCPE_SlowTimeout0: FDCPE port map (SlowTimeout(0),SlowTimeout_D(0),FCLK,'0','0');
|
||||
<br/> SlowTimeout_D(0) <= ((nPOR AND NOT SlowTimeout(0) AND NOT set/SetWRr)
|
||||
<br/> OR (nPOR AND NOT A_FSB(8) AND set/SetWRr));
|
||||
</td></tr><tr><td>
|
||||
FDCPE_SlowTimeout1: FDCPE port map (SlowTimeout(1),SlowTimeout_D(1),FCLK,'0','0');
|
||||
<br/> SlowTimeout_D(1) <= ((nPOR AND NOT SlowTimeout(1) AND NOT set/SetWRr)
|
||||
<br/> OR (nPOR AND NOT A_FSB(9) AND set/SetWRr));
|
||||
</td></tr><tr><td>
|
||||
FDCPE_SlowTimeout2: FDCPE port map (SlowTimeout(2),SlowTimeout_D(2),FCLK,'0','0');
|
||||
<br/> SlowTimeout_D(2) <= ((NOT A_FSB(10) AND nPOR AND set/SetWRr)
|
||||
<br/> OR (nPOR AND NOT SlowTimeout(2) AND NOT set/SetWRr));
|
||||
</td></tr><tr><td>
|
||||
FDCPE_SlowTimeout3: FDCPE port map (SlowTimeout(3),SlowTimeout_D(3),FCLK,'0','0');
|
||||
<br/> SlowTimeout_D(3) <= ((NOT A_FSB(11) AND nPOR AND set/SetWRr)
|
||||
<br/> OR (nPOR AND NOT SlowTimeout(3) AND NOT set/SetWRr));
|
||||
</td></tr><tr><td>
|
||||
FDCPE_SlowVIA: FDCPE port map (SlowVIA,SlowVIA_D,FCLK,'0','0');
|
||||
<br/> SlowVIA_D <= ((nPOR AND NOT SlowVIA AND NOT set/SetWRr)
|
||||
<br/> OR (nPOR AND NOT A_FSB(6) AND set/SetWRr));
|
||||
</td></tr><tr><td>
|
||||
FDCPE_cnt/C8Mr0: FDCPE port map (cnt/C8Mr(0),C8M,FCLK,'0','0');
|
||||
</td></tr><tr><td>
|
||||
FDCPE_cnt/C8Mr1: FDCPE port map (cnt/C8Mr(1),cnt/C8Mr(0),FCLK,'0','0');
|
||||
@ -269,18 +218,36 @@ FDCPE_cnt/C8Mr2: FDCPE port map (cnt/C8Mr(2),cnt/C8Mr(1),FCLK,'0','0');
|
||||
</td></tr><tr><td>
|
||||
FDCPE_cnt/C8Mr3: FDCPE port map (cnt/C8Mr(3),cnt/C8Mr(2),FCLK,'0','0');
|
||||
</td></tr><tr><td>
|
||||
FDCPE_cnt/ClockGateEN: FDCPE port map (cnt/ClockGateEN,cnt/ClockGateEN_D,FCLK,'0','0');
|
||||
<br/> cnt/ClockGateEN_D <= ((cnt/ClockGateEN AND NOT cnt/IACKCSr AND cnt/nRESr AND
|
||||
<br/> NOT cnt/IWMCSr AND NOT cnt/SCCCSr AND NOT cnt/VIACSr AND NOT cnt/SCSICSr)
|
||||
<br/> OR (NOT cnt/IACKCSr AND cnt/SndCSWRr AND cnt/nRESr AND
|
||||
<br/> NOT cnt/IWMCSr AND NOT cnt/SCCCSr AND NOT cnt/VIACSr AND NOT cnt/SCSICSr));
|
||||
</td></tr><tr><td>
|
||||
FDCPE_cnt/Er0: FDCPE port map (cnt/Er(0),E,FCLK,'0','0');
|
||||
</td></tr><tr><td>
|
||||
FDCPE_cnt/Er1: FDCPE port map (cnt/Er(1),cnt/Er(0),FCLK,'0','0');
|
||||
</td></tr><tr><td>
|
||||
FDCPE_cnt/IACKCSr: FDCPE port map (cnt/IACKCSr,cnt/IACKCSr_D,FCLK,'0','0');
|
||||
<br/> cnt/IACKCSr_D <= ((A_FSB(21) AND A_FSB(20) AND A_FSB(22) AND A_FSB(23) AND
|
||||
<br/> NOT nAS_FSB)
|
||||
<br/> OR (A_FSB(21) AND A_FSB(20) AND A_FSB(22) AND A_FSB(23) AND
|
||||
<br/> ASrf));
|
||||
</td></tr><tr><td>
|
||||
FTCPE_cnt/IS0: FTCPE port map (cnt/IS(0),cnt/IS_T(0),FCLK,'0','0');
|
||||
<br/> cnt/IS_T(0) <= ((NOT nPOR AND cnt/IS(0))
|
||||
<br/> OR (nPOR AND NOT cnt/IS(1) AND cnt/LTimerTick)
|
||||
<br/> OR (nPOR AND NOT cnt/IS(0) AND cnt/LTimerTick AND nIPL2));
|
||||
<br/> cnt/IS_T(0) <= ((NOT cnt/nPOR AND cnt/IS(0))
|
||||
<br/> OR (cnt/nPOR AND NOT cnt/IS(1) AND cnt/LTimerTick)
|
||||
<br/> OR (cnt/nPOR AND NOT cnt/IS(0) AND cnt/LTimerTick AND nIPL2));
|
||||
</td></tr><tr><td>
|
||||
FDCPE_cnt/IS1: FDCPE port map (cnt/IS(1),cnt/IS_D(1),FCLK,'0','0');
|
||||
<br/> cnt/IS_D(1) <= ((nPOR AND cnt/IS(1))
|
||||
<br/> OR (nPOR AND cnt/IS(0) AND cnt/LTimerTick));
|
||||
<br/> cnt/IS_D(1) <= ((cnt/nPOR AND cnt/IS(1))
|
||||
<br/> OR (cnt/nPOR AND cnt/IS(0) AND cnt/LTimerTick));
|
||||
</td></tr><tr><td>
|
||||
FDCPE_cnt/IWMCSr: FDCPE port map (cnt/IWMCSr,cnt/IWMCSr_D,FCLK,'0','0');
|
||||
<br/> cnt/IWMCSr_D <= ((NOT A_FSB(21) AND A_FSB(20) AND A_FSB(22) AND A_FSB(23) AND
|
||||
<br/> NOT nAS_FSB)
|
||||
<br/> OR (NOT A_FSB(21) AND A_FSB(20) AND A_FSB(22) AND A_FSB(23) AND
|
||||
<br/> ASrf));
|
||||
</td></tr><tr><td>
|
||||
FTCPE_cnt/LTimer0: FTCPE port map (cnt/LTimer(0),'1',FCLK,'0','0',cnt/TimerTick);
|
||||
</td></tr><tr><td>
|
||||
@ -335,58 +302,95 @@ FDCPE_cnt/LTimerTick: FDCPE port map (cnt/LTimerTick,cnt/LTimerTick_D,FCLK,'0','
|
||||
<br/> cnt/LTimer(11) AND cnt/TimerTick);
|
||||
</td></tr><tr><td>
|
||||
FDCPE_cnt/QS0: FDCPE port map (cnt/QS(0),cnt/QS_D(0),FCLK,'0','0');
|
||||
<br/> cnt/QS_D(0) <= ((cnt/QS(0) AND cnt/TimerTick AND NOT cnt/QoSCSr)
|
||||
<br/> OR (NOT cnt/QS(0) AND NOT cnt/TimerTick AND NOT cnt/QoSCSr)
|
||||
<br/> cnt/QS_D(0) <= ((NOT cnt/nRESr)
|
||||
<br/> OR (cnt/QS(0) AND cnt/TimerTick AND NOT cnt/IACKCSr AND
|
||||
<br/> NOT cnt/SndCSWRr AND NOT cnt/IWMCSr AND NOT cnt/SCCCSr AND NOT cnt/VIACSr)
|
||||
<br/> OR (NOT cnt/QS(0) AND NOT cnt/IACKCSr AND cnt/IWMCSr)
|
||||
<br/> OR (NOT cnt/QS(0) AND NOT cnt/IACKCSr AND cnt/SCCCSr)
|
||||
<br/> OR (NOT cnt/QS(0) AND NOT cnt/IACKCSr AND cnt/VIACSr)
|
||||
<br/> OR (NOT cnt/QS(0) AND NOT cnt/TimerTick AND NOT cnt/IACKCSr AND
|
||||
<br/> NOT cnt/SndCSWRr)
|
||||
<br/> OR (NOT cnt/QS(0) AND NOT cnt/QS(1) AND NOT cnt/QS(2) AND NOT cnt/QS(3) AND
|
||||
<br/> NOT cnt/QoSCSr));
|
||||
<br/> NOT cnt/IACKCSr AND NOT cnt/SndCSWRr));
|
||||
</td></tr><tr><td>
|
||||
FDCPE_cnt/QS1: FDCPE port map (cnt/QS(1),cnt/QS_D(1),FCLK,'0','0');
|
||||
<br/> cnt/QS_D(1) <= ((cnt/QS(0) AND NOT cnt/QS(1) AND NOT cnt/QoSCSr)
|
||||
<br/> OR (NOT cnt/QS(1) AND NOT cnt/TimerTick AND NOT cnt/QoSCSr)
|
||||
<br/> cnt/QS_D(1) <= ((cnt/QS(0) AND NOT cnt/QS(1) AND NOT cnt/IACKCSr AND
|
||||
<br/> NOT cnt/SndCSWRr AND cnt/nRESr AND NOT cnt/IWMCSr AND NOT cnt/SCCCSr AND
|
||||
<br/> NOT cnt/VIACSr)
|
||||
<br/> OR (NOT cnt/QS(1) AND NOT cnt/TimerTick AND NOT cnt/IACKCSr AND
|
||||
<br/> NOT cnt/SndCSWRr AND cnt/nRESr AND NOT cnt/IWMCSr AND NOT cnt/SCCCSr AND
|
||||
<br/> NOT cnt/VIACSr)
|
||||
<br/> OR (NOT cnt/QS(0) AND cnt/QS(1) AND cnt/TimerTick AND
|
||||
<br/> NOT cnt/QoSCSr)
|
||||
<br/> OR (NOT cnt/QS(1) AND NOT cnt/QS(2) AND NOT cnt/QS(3) AND NOT cnt/QoSCSr));
|
||||
<br/> NOT cnt/IACKCSr AND NOT cnt/SndCSWRr AND cnt/nRESr AND NOT cnt/IWMCSr AND
|
||||
<br/> NOT cnt/SCCCSr AND NOT cnt/VIACSr)
|
||||
<br/> OR (NOT cnt/QS(1) AND NOT cnt/QS(2) AND NOT cnt/QS(3) AND NOT cnt/IACKCSr AND
|
||||
<br/> NOT cnt/SndCSWRr AND cnt/nRESr AND NOT cnt/IWMCSr AND NOT cnt/SCCCSr AND
|
||||
<br/> NOT cnt/VIACSr));
|
||||
</td></tr><tr><td>
|
||||
FTCPE_cnt/QS2: FTCPE port map (cnt/QS(2),cnt/QS_T(2),FCLK,'0','0');
|
||||
<br/> cnt/QS_T(2) <= ((NOT cnt/QS(2) AND cnt/QoSCSr)
|
||||
<br/> cnt/QS_T(2) <= ((cnt/QS(2) AND NOT cnt/nRESr)
|
||||
<br/> OR (NOT cnt/QS(2) AND cnt/IACKCSr AND cnt/nRESr)
|
||||
<br/> OR (NOT cnt/QS(2) AND cnt/SndCSWRr AND cnt/nRESr AND
|
||||
<br/> NOT cnt/IWMCSr AND NOT cnt/SCCCSr AND NOT cnt/VIACSr)
|
||||
<br/> OR (NOT cnt/QS(0) AND NOT cnt/QS(1) AND cnt/QS(2) AND
|
||||
<br/> cnt/TimerTick AND NOT cnt/QoSCSr)
|
||||
<br/> cnt/TimerTick AND NOT cnt/IACKCSr AND NOT cnt/SndCSWRr AND NOT cnt/IWMCSr AND
|
||||
<br/> NOT cnt/SCCCSr AND NOT cnt/VIACSr)
|
||||
<br/> OR (NOT cnt/QS(0) AND NOT cnt/QS(1) AND NOT cnt/QS(2) AND cnt/QS(3) AND
|
||||
<br/> cnt/TimerTick));
|
||||
<br/> cnt/TimerTick AND cnt/nRESr AND NOT cnt/IWMCSr AND NOT cnt/SCCCSr AND
|
||||
<br/> NOT cnt/VIACSr));
|
||||
</td></tr><tr><td>
|
||||
FDCPE_cnt/QS3: FDCPE port map (cnt/QS(3),cnt/QS_D(3),FCLK,'0','0');
|
||||
<br/> cnt/QS_D(3) <= ((NOT cnt/QS(3) AND NOT cnt/QoSCSr)
|
||||
<br/> OR (NOT cnt/QS(0) AND NOT cnt/QS(1) AND NOT cnt/QS(2) AND
|
||||
<br/> cnt/TimerTick AND NOT cnt/QoSCSr));
|
||||
FTCPE_cnt/QS3: FTCPE port map (cnt/QS(3),cnt/QS_T(3),FCLK,'0','0');
|
||||
<br/> cnt/QS_T(3) <= ((cnt/QS(3) AND NOT cnt/nRESr)
|
||||
<br/> OR (NOT cnt/QS(3) AND cnt/IACKCSr AND cnt/nRESr)
|
||||
<br/> OR (NOT cnt/QS(3) AND cnt/SndCSWRr AND cnt/nRESr AND
|
||||
<br/> NOT cnt/IWMCSr AND NOT cnt/SCCCSr AND NOT cnt/VIACSr)
|
||||
<br/> OR (NOT cnt/QS(0) AND NOT cnt/QS(1) AND NOT cnt/QS(2) AND cnt/QS(3) AND
|
||||
<br/> cnt/TimerTick AND NOT cnt/IACKCSr AND NOT cnt/SndCSWRr AND NOT cnt/IWMCSr AND
|
||||
<br/> NOT cnt/SCCCSr AND NOT cnt/VIACSr));
|
||||
</td></tr><tr><td>
|
||||
FDCPE_cnt/QoSCSr: FDCPE port map (cnt/QoSCSr,cnt/QoSCSr_D,FCLK,'0','0');
|
||||
<br/> cnt/QoSCSr_D <= ((NOT nRES.PIN)
|
||||
<br/> OR (NOT A_FSB(21) AND A_FSB(20) AND A_FSB(23) AND A_FSB(22) AND
|
||||
<br/> NOT nAS_FSB AND SlowIWM)
|
||||
FDCPE_cnt/SCCCSr: FDCPE port map (cnt/SCCCSr,cnt/SCCCSr_D,FCLK,'0','0');
|
||||
<br/> cnt/SCCCSr_D <= ((A_FSB(20) AND NOT A_FSB(22) AND A_FSB(23) AND NOT nAS_FSB)
|
||||
<br/> OR (A_FSB(20) AND NOT A_FSB(22) AND A_FSB(23) AND ASrf));
|
||||
</td></tr><tr><td>
|
||||
FDCPE_cnt/SCSICSr: FDCPE port map (cnt/SCSICSr,cnt/SCSICSr_D,FCLK,'0','0');
|
||||
<br/> cnt/SCSICSr_D <= ((NOT A_FSB(21) AND A_FSB(20) AND A_FSB(22) AND NOT A_FSB(23) AND
|
||||
<br/> NOT nAS_FSB)
|
||||
<br/> OR (NOT A_FSB(21) AND A_FSB(20) AND A_FSB(22) AND NOT A_FSB(23) AND
|
||||
<br/> ASrf));
|
||||
</td></tr><tr><td>
|
||||
FDCPE_cnt/SndCSWRr: FDCPE port map (cnt/SndCSWRr,cnt/SndCSWRr_D,FCLK,'0','0');
|
||||
<br/> cnt/SndCSWRr_D <= ((A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND
|
||||
<br/> A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(22) AND
|
||||
<br/> NOT A_FSB(23) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND
|
||||
<br/> NOT nWE_FSB AND ASrf AND A_FSB(8))
|
||||
<br/> OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND
|
||||
<br/> A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND
|
||||
<br/> NOT A_FSB(22) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND
|
||||
<br/> NOT nAS_FSB AND SlowSnd AND NOT nWE_FSB AND A_FSB(9))
|
||||
<br/> A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(22) AND
|
||||
<br/> NOT A_FSB(23) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND
|
||||
<br/> NOT nWE_FSB AND ASrf AND A_FSB(9))
|
||||
<br/> OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND
|
||||
<br/> A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND
|
||||
<br/> NOT A_FSB(22) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND
|
||||
<br/> NOT nAS_FSB AND SlowSnd AND NOT nWE_FSB AND A_FSB(8))
|
||||
<br/> A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(22) AND
|
||||
<br/> NOT A_FSB(23) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND
|
||||
<br/> NOT nWE_FSB AND ASrf AND A_FSB(8))
|
||||
<br/> OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND
|
||||
<br/> A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND
|
||||
<br/> NOT A_FSB(22) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND
|
||||
<br/> NOT nAS_FSB AND SlowSnd AND NOT nWE_FSB AND A_FSB(9))
|
||||
<br/> A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(22) AND
|
||||
<br/> NOT A_FSB(23) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND
|
||||
<br/> NOT nAS_FSB AND NOT nWE_FSB AND A_FSB(9))
|
||||
<br/> OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND
|
||||
<br/> A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(23) AND
|
||||
<br/> NOT A_FSB(22) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND
|
||||
<br/> NOT nAS_FSB AND SlowSnd AND NOT nWE_FSB AND A_FSB(8))
|
||||
<br/> OR (A_FSB(20) AND A_FSB(23) AND NOT A_FSB(22) AND NOT nAS_FSB AND
|
||||
<br/> SlowSCC)
|
||||
<br/> OR (A_FSB(21) AND A_FSB(20) AND A_FSB(23) AND A_FSB(22) AND
|
||||
<br/> NOT nAS_FSB AND SlowIACK)
|
||||
<br/> OR (A_FSB(21) AND NOT A_FSB(20) AND A_FSB(23) AND A_FSB(22) AND
|
||||
<br/> NOT nAS_FSB AND SlowVIA)
|
||||
<br/> OR (NOT A_FSB(21) AND A_FSB(20) AND NOT A_FSB(23) AND A_FSB(22) AND
|
||||
<br/> NOT nAS_FSB AND SlowSCSI));
|
||||
<br/> A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(22) AND
|
||||
<br/> NOT A_FSB(23) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND
|
||||
<br/> NOT nAS_FSB AND NOT nWE_FSB AND A_FSB(8))
|
||||
<br/> OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND
|
||||
<br/> A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(22) AND
|
||||
<br/> NOT A_FSB(23) AND A_FSB(14) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND
|
||||
<br/> NOT nWE_FSB AND ASrf AND A_FSB(9))
|
||||
<br/> OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND
|
||||
<br/> A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(22) AND
|
||||
<br/> NOT A_FSB(23) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND
|
||||
<br/> NOT nAS_FSB AND NOT nWE_FSB AND A_FSB(9))
|
||||
<br/> OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND
|
||||
<br/> A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(22) AND
|
||||
<br/> NOT A_FSB(23) AND NOT A_FSB(14) AND NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND
|
||||
<br/> NOT nAS_FSB AND NOT nWE_FSB AND A_FSB(8)));
|
||||
</td></tr><tr><td>
|
||||
FTCPE_cnt/Timer0: FTCPE port map (cnt/Timer(0),cnt/Timer_T(0),FCLK,'0','0',cnt/Timer_CE(0));
|
||||
<br/> cnt/Timer_T(0) <= (NOT cnt/Timer(0) AND cnt/Timer(1) AND NOT cnt/Timer(2) AND
|
||||
@ -413,11 +417,26 @@ FDCPE_cnt/TimerTick: FDCPE port map (cnt/TimerTick,cnt/TimerTick_D,FCLK,'0','0')
|
||||
<br/> cnt/TimerTick_D <= (NOT cnt/Timer(0) AND cnt/Timer(1) AND NOT cnt/Timer(2) AND
|
||||
<br/> cnt/Timer(3) AND NOT cnt/Er(0) AND cnt/Er(1));
|
||||
</td></tr><tr><td>
|
||||
FDCPE_cnt/VIACSr: FDCPE port map (cnt/VIACSr,cnt/VIACSr_D,FCLK,'0','0');
|
||||
<br/> cnt/VIACSr_D <= ((A_FSB(21) AND NOT A_FSB(20) AND A_FSB(22) AND A_FSB(23) AND
|
||||
<br/> NOT nAS_FSB)
|
||||
<br/> OR (A_FSB(21) AND NOT A_FSB(20) AND A_FSB(22) AND A_FSB(23) AND
|
||||
<br/> ASrf));
|
||||
</td></tr><tr><td>
|
||||
FTCPE_cnt/nPOR: FTCPE port map (cnt/nPOR,cnt/nPOR_T,FCLK,'0','0');
|
||||
<br/> cnt/nPOR_T <= ((NOT cnt/nPOR AND NOT cnt/C8Mr(1) AND cnt/C8Mr(0))
|
||||
<br/> OR (cnt/nPOR AND cnt/C8Mr(1) AND cnt/C8Mr(0) AND
|
||||
<br/> cnt/C8Mr(2) AND cnt/C8Mr(3))
|
||||
<br/> OR (cnt/nPOR AND NOT cnt/C8Mr(1) AND NOT cnt/C8Mr(0) AND
|
||||
<br/> NOT cnt/C8Mr(2) AND NOT cnt/C8Mr(3)));
|
||||
</td></tr><tr><td>
|
||||
FDCPE_cnt/nRESr: FDCPE port map (cnt/nRESr,nRES.PIN,FCLK,'0','0');
|
||||
</td></tr><tr><td>
|
||||
FTCPE_cs/Overlay: FTCPE port map (cs/Overlay,cs/Overlay_T,FCLK,'0','0');
|
||||
<br/> cs/Overlay_T <= ((nAS_FSB AND NOT cs/Overlay AND NOT nRES.PIN AND NOT ASrf)
|
||||
<br/> OR (NOT A_FSB(21) AND NOT A_FSB(20) AND NOT A_FSB(23) AND A_FSB(22) AND
|
||||
<br/> OR (NOT A_FSB(21) AND NOT A_FSB(20) AND A_FSB(22) AND NOT A_FSB(23) AND
|
||||
<br/> NOT nAS_FSB AND cs/Overlay)
|
||||
<br/> OR (NOT A_FSB(21) AND NOT A_FSB(20) AND NOT A_FSB(23) AND A_FSB(22) AND
|
||||
<br/> OR (NOT A_FSB(21) AND NOT A_FSB(20) AND A_FSB(22) AND NOT A_FSB(23) AND
|
||||
<br/> cs/Overlay AND ASrf));
|
||||
</td></tr><tr><td>
|
||||
FDCPE_iobm/C8Mr: FDCPE port map (iobm/C8Mr,C8M,C16M,'0','0');
|
||||
@ -498,36 +517,36 @@ FDCPE_iobs/IOL1: FDCPE port map (iobs/IOL1,NOT nLDS_FSB,FCLK,'0','0',iobs/Load1)
|
||||
</td></tr><tr><td>
|
||||
FTCPE_iobs/IORW1: FTCPE port map (iobs/IORW1,iobs/IORW1_T,FCLK,'0','0');
|
||||
<br/> iobs/IORW1_T <= ((A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND
|
||||
<br/> A_FSB(17) AND A_FSB(16) AND NOT A_FSB(23) AND NOT A_FSB(22) AND NOT nAS_FSB AND
|
||||
<br/> A_FSB(17) AND A_FSB(16) AND NOT A_FSB(22) AND NOT A_FSB(23) AND NOT nAS_FSB AND
|
||||
<br/> NOT iobs/Sent AND NOT QoSEN AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd1 AND
|
||||
<br/> nADoutLE1)
|
||||
<br/> OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND
|
||||
<br/> A_FSB(17) AND A_FSB(16) AND NOT A_FSB(23) AND NOT A_FSB(22) AND NOT nAS_FSB AND
|
||||
<br/> NOT iobs/Sent AND NOT QoSEN AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd2 AND
|
||||
<br/> nADoutLE1)
|
||||
<br/> OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND
|
||||
<br/> A_FSB(17) AND A_FSB(16) AND NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/Sent AND
|
||||
<br/> A_FSB(17) AND A_FSB(16) AND NOT A_FSB(22) AND NOT A_FSB(23) AND NOT iobs/Sent AND
|
||||
<br/> NOT QoSEN AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd1 AND ASrf AND
|
||||
<br/> nADoutLE1)
|
||||
<br/> OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND
|
||||
<br/> A_FSB(17) AND A_FSB(16) AND NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/Sent AND
|
||||
<br/> A_FSB(17) AND A_FSB(16) AND NOT A_FSB(22) AND NOT A_FSB(23) AND NOT iobs/Sent AND
|
||||
<br/> NOT QoSEN AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd2 AND ASrf AND
|
||||
<br/> nADoutLE1)
|
||||
<br/> OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND
|
||||
<br/> A_FSB(17) AND A_FSB(16) AND NOT A_FSB(22) AND NOT A_FSB(23) AND NOT nAS_FSB AND
|
||||
<br/> NOT iobs/Sent AND NOT QoSEN AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd2 AND
|
||||
<br/> nADoutLE1));
|
||||
</td></tr><tr><td>
|
||||
FDCPE_iobs/IOU1: FDCPE port map (iobs/IOU1,NOT nUDS_FSB,FCLK,'0','0',iobs/Load1);
|
||||
</td></tr><tr><td>
|
||||
FDCPE_iobs/Load1: FDCPE port map (iobs/Load1,iobs/Load1_D,FCLK,'0','0');
|
||||
<br/> iobs/Load1_D <= ((A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND
|
||||
<br/> A_FSB(17) AND A_FSB(16) AND NOT A_FSB(23) AND NOT A_FSB(22) AND NOT nAS_FSB AND
|
||||
<br/> A_FSB(17) AND A_FSB(16) AND NOT A_FSB(22) AND NOT A_FSB(23) AND NOT nAS_FSB AND
|
||||
<br/> NOT iobs/Sent AND NOT QoSEN AND NOT nWE_FSB AND iobs/TS_FSM_FFd1 AND nADoutLE1)
|
||||
<br/> OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND
|
||||
<br/> A_FSB(17) AND A_FSB(16) AND NOT A_FSB(23) AND NOT A_FSB(22) AND NOT nAS_FSB AND
|
||||
<br/> A_FSB(17) AND A_FSB(16) AND NOT A_FSB(22) AND NOT A_FSB(23) AND NOT nAS_FSB AND
|
||||
<br/> NOT iobs/Sent AND NOT QoSEN AND NOT nWE_FSB AND iobs/TS_FSM_FFd2 AND nADoutLE1)
|
||||
<br/> OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND
|
||||
<br/> A_FSB(17) AND A_FSB(16) AND NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/Sent AND
|
||||
<br/> A_FSB(17) AND A_FSB(16) AND NOT A_FSB(22) AND NOT A_FSB(23) AND NOT iobs/Sent AND
|
||||
<br/> NOT QoSEN AND NOT nWE_FSB AND iobs/TS_FSM_FFd1 AND ASrf AND nADoutLE1)
|
||||
<br/> OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND
|
||||
<br/> A_FSB(17) AND A_FSB(16) AND NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/Sent AND
|
||||
<br/> A_FSB(17) AND A_FSB(16) AND NOT A_FSB(22) AND NOT A_FSB(23) AND NOT iobs/Sent AND
|
||||
<br/> NOT QoSEN AND NOT nWE_FSB AND iobs/TS_FSM_FFd2 AND ASrf AND nADoutLE1));
|
||||
</td></tr><tr><td>
|
||||
FTCPE_iobs/Sent: FTCPE port map (iobs/Sent,iobs/Sent_T,FCLK,'0','0');
|
||||
@ -540,10 +559,10 @@ FTCPE_iobs/Sent: FTCPE port map (iobs/Sent,iobs/Sent_T,FCLK,'0','0');
|
||||
<br/> OR (A_FSB(21) AND A_FSB(22) AND NOT iobs/Sent AND
|
||||
<br/> NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND ASrf AND nADoutLE1)
|
||||
<br/> OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND
|
||||
<br/> A_FSB(17) AND A_FSB(16) AND NOT A_FSB(23) AND NOT A_FSB(22) AND NOT nAS_FSB AND
|
||||
<br/> A_FSB(17) AND A_FSB(16) AND NOT A_FSB(22) AND NOT A_FSB(23) AND NOT nAS_FSB AND
|
||||
<br/> NOT iobs/Sent AND NOT QoSEN AND NOT nWE_FSB AND nADoutLE1)
|
||||
<br/> OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND
|
||||
<br/> A_FSB(17) AND A_FSB(16) AND NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/Sent AND
|
||||
<br/> A_FSB(17) AND A_FSB(16) AND NOT A_FSB(22) AND NOT A_FSB(23) AND NOT iobs/Sent AND
|
||||
<br/> NOT QoSEN AND NOT nWE_FSB AND ASrf AND nADoutLE1)
|
||||
<br/> OR (nAS_FSB AND iobs/Sent AND NOT ASrf)
|
||||
<br/> OR (A_FSB(23) AND NOT nAS_FSB AND NOT iobs/Sent AND
|
||||
@ -560,26 +579,19 @@ FDCPE_iobs/TS_FSM_FFd1: FDCPE port map (iobs/TS_FSM_FFd1,iobs/TS_FSM_FFd1_D,FCLK
|
||||
<br/> OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr));
|
||||
</td></tr><tr><td>
|
||||
FDCPE_iobs/TS_FSM_FFd2: FDCPE port map (iobs/TS_FSM_FFd2,iobs/TS_FSM_FFd2_D,FCLK,'0','0');
|
||||
<br/> iobs/TS_FSM_FFd2_D <= ((NOT A_FSB(19) AND NOT A_FSB(23) AND NOT A_FSB(22) AND NOT QoSEN AND
|
||||
<br/> iobs/TS_FSM_FFd2_D <= ((iobs/IORW1.EXP)
|
||||
<br/> OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT ASrf AND nADoutLE1)
|
||||
<br/> OR (NOT A_FSB(21) AND NOT A_FSB(20) AND NOT A_FSB(23) AND NOT QoSEN AND
|
||||
<br/> NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
|
||||
<br/> OR (NOT A_FSB(18) AND NOT A_FSB(23) AND NOT A_FSB(22) AND NOT QoSEN AND
|
||||
<br/> OR (NOT A_FSB(18) AND NOT A_FSB(22) AND NOT A_FSB(23) AND NOT QoSEN AND
|
||||
<br/> NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
|
||||
<br/> OR (NOT A_FSB(17) AND NOT A_FSB(23) AND NOT A_FSB(22) AND NOT QoSEN AND
|
||||
<br/> OR (NOT A_FSB(17) AND NOT A_FSB(22) AND NOT A_FSB(23) AND NOT QoSEN AND
|
||||
<br/> NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
|
||||
<br/> OR (NOT A_FSB(16) AND NOT A_FSB(23) AND NOT A_FSB(22) AND NOT QoSEN AND
|
||||
<br/> NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
|
||||
<br/> OR (NOT A_FSB(21) AND NOT A_FSB(23) AND NOT A_FSB(22) AND NOT QoSEN AND
|
||||
<br/> NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
|
||||
<br/> OR (NOT A_FSB(20) AND NOT A_FSB(23) AND NOT A_FSB(22) AND NOT QoSEN AND
|
||||
<br/> NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
|
||||
<br/> OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT QoSEN AND nWE_FSB AND
|
||||
<br/> OR (NOT A_FSB(16) AND NOT A_FSB(22) AND NOT A_FSB(23) AND NOT QoSEN AND
|
||||
<br/> NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
|
||||
<br/> OR (iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2)
|
||||
<br/> OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr)
|
||||
<br/> OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
|
||||
<br/> OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT ASrf AND nADoutLE1)
|
||||
<br/> OR (NOT A_FSB(21) AND NOT A_FSB(20) AND NOT A_FSB(23) AND NOT QoSEN AND
|
||||
<br/> NOT iobs/TS_FSM_FFd2 AND nADoutLE1));
|
||||
<br/> OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1));
|
||||
</td></tr><tr><td>
|
||||
</td></tr><tr><td>
|
||||
nADoutLE0 <= (NOT ALE0M AND NOT ALE0S);
|
||||
@ -625,7 +637,7 @@ FDCPE_nCAS: FDCPE port map (nCAS,nCAS_D,NOT FCLK,ram/RefCAS,nCAS_PRE);
|
||||
</td></tr><tr><td>
|
||||
FDCPE_nDTACK_FSB: FDCPE port map (nDTACK_FSB,nDTACK_FSB_D,FCLK,'0',nAS_FSB);
|
||||
<br/> nDTACK_FSB_D <= ((NOT A_FSB(22) AND NOT IONPReady AND NOT RAMReady)
|
||||
<br/> OR (A_FSB(21) AND A_FSB(20) AND A_FSB(23) AND A_FSB(22))
|
||||
<br/> OR (A_FSB(21) AND A_FSB(20) AND A_FSB(22) AND A_FSB(23))
|
||||
<br/> OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND
|
||||
<br/> A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND NOT IONPReady AND NOT nWE_FSB AND
|
||||
<br/> NOT nADoutLE1)
|
||||
@ -661,14 +673,7 @@ FDCPE_nLDS_IOB: FDCPE port map (nLDS_IOB_I,nLDS_IOB,NOT C16M,'0','0');
|
||||
<br/> nLDS_IOB_OE <= NOT nAoutOE;
|
||||
</td></tr><tr><td>
|
||||
FDCPE_nOE: FDCPE port map (nOE,nOE_D,FCLK,'0',nAS_FSB);
|
||||
<br/> nOE_D <= (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/Overlay AND nWE_FSB);
|
||||
</td></tr><tr><td>
|
||||
FTCPE_nPOR: FTCPE port map (nPOR,nPOR_T,FCLK,'0','0');
|
||||
<br/> nPOR_T <= ((NOT nPOR AND NOT cnt/C8Mr(1) AND cnt/C8Mr(0))
|
||||
<br/> OR (nPOR AND cnt/C8Mr(1) AND cnt/C8Mr(0) AND cnt/C8Mr(2) AND
|
||||
<br/> cnt/C8Mr(3))
|
||||
<br/> OR (nPOR AND NOT cnt/C8Mr(1) AND NOT cnt/C8Mr(0) AND NOT cnt/C8Mr(2) AND
|
||||
<br/> NOT cnt/C8Mr(3)));
|
||||
<br/> nOE_D <= (NOT A_FSB(22) AND NOT A_FSB(23) AND NOT cs/Overlay AND nWE_FSB);
|
||||
</td></tr><tr><td>
|
||||
</td></tr><tr><td>
|
||||
nRAMLWE <= NOT ((NOT nLDS_FSB AND NOT nWE_FSB AND ram/RASEL));
|
||||
@ -678,7 +683,7 @@ nRAMUWE <= NOT ((NOT nWE_FSB AND NOT nUDS_FSB AND ram/RASEL));
|
||||
</td></tr><tr><td>
|
||||
</td></tr><tr><td>
|
||||
nRAS <= NOT (((ram/RASrf)
|
||||
<br/> OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT nAS_FSB AND NOT cs/Overlay AND
|
||||
<br/> OR (NOT A_FSB(22) AND NOT A_FSB(23) AND NOT nAS_FSB AND NOT cs/Overlay AND
|
||||
<br/> ram/RASEN)));
|
||||
</td></tr><tr><td>
|
||||
</td></tr><tr><td>
|
||||
@ -692,11 +697,11 @@ FDCPE_nRESout: FDCPE port map (nRESout,nRESout_D,FCLK,'0','0');
|
||||
</td></tr><tr><td>
|
||||
</td></tr><tr><td>
|
||||
nROMOE <= NOT (((NOT nAS_FSB AND cs/Overlay AND nWE_FSB)
|
||||
<br/> OR (NOT A_FSB(21) AND NOT A_FSB(20) AND NOT A_FSB(23) AND A_FSB(22) AND
|
||||
<br/> OR (NOT A_FSB(21) AND NOT A_FSB(20) AND A_FSB(22) AND NOT A_FSB(23) AND
|
||||
<br/> NOT nAS_FSB AND nWE_FSB)));
|
||||
</td></tr><tr><td>
|
||||
</td></tr><tr><td>
|
||||
nROMWE <= NOT ((NOT A_FSB(21) AND NOT A_FSB(20) AND NOT A_FSB(23) AND A_FSB(22) AND
|
||||
nROMWE <= NOT ((NOT A_FSB(21) AND NOT A_FSB(20) AND A_FSB(22) AND NOT A_FSB(23) AND
|
||||
<br/> NOT nAS_FSB AND NOT nWE_FSB));
|
||||
</td></tr><tr><td>
|
||||
FDCPE_nUDS_IOB: FDCPE port map (nUDS_IOB_I,nUDS_IOB,NOT C16M,'0','0');
|
||||
@ -721,7 +726,7 @@ FTCPE_nVMA_IOB: FTCPE port map (nVMA_IOB_I,nVMA_IOB_T,NOT C8M,'0','0');
|
||||
<br/> nVMA_IOB_OE <= NOT nAoutOE;
|
||||
</td></tr><tr><td>
|
||||
FDCPE_nVPA_FSB: FDCPE port map (nVPA_FSB,nVPA_FSB_D,FCLK,'0',nAS_FSB);
|
||||
<br/> nVPA_FSB_D <= (A_FSB(21) AND A_FSB(20) AND A_FSB(23) AND A_FSB(22) AND
|
||||
<br/> nVPA_FSB_D <= (A_FSB(21) AND A_FSB(20) AND A_FSB(22) AND A_FSB(23) AND
|
||||
<br/> IONPReady);
|
||||
</td></tr><tr><td>
|
||||
FDCPE_ram/CASEndEN: FDCPE port map (ram/CASEndEN,ram/CASEndEN_D,NOT FCLK,'0','0');
|
||||
@ -730,9 +735,9 @@ FDCPE_ram/CASEndEN: FDCPE port map (ram/CASEndEN,ram/CASEndEN_D,NOT FCLK,'0','0'
|
||||
</td></tr><tr><td>
|
||||
FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,FCLK,'0','0');
|
||||
<br/> ram/RASEL_D <= ((NOT ram/RS(1) AND ram/RS(0) AND NOT ram/RS(2))
|
||||
<br/> OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT nAS_FSB AND NOT cs/Overlay AND
|
||||
<br/> OR (NOT A_FSB(22) AND NOT A_FSB(23) AND NOT nAS_FSB AND NOT cs/Overlay AND
|
||||
<br/> NOT ram/RS(1) AND NOT ram/RS(2))
|
||||
<br/> OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/Overlay AND NOT ram/RS(1) AND
|
||||
<br/> OR (NOT A_FSB(22) AND NOT A_FSB(23) AND NOT cs/Overlay AND NOT ram/RS(1) AND
|
||||
<br/> NOT ram/RS(2) AND ASrf));
|
||||
</td></tr><tr><td>
|
||||
FDCPE_ram/RASEN: FDCPE port map (ram/RASEN,ram/RASEN_D,FCLK,'0','0');
|
||||
@ -740,9 +745,9 @@ FDCPE_ram/RASEN: FDCPE port map (ram/RASEN,ram/RASEN_D,FCLK,'0','0');
|
||||
<br/> NOT ram/RS(2))
|
||||
<br/> OR (NOT RefUrg AND NOT ram/RS(1) AND NOT ram/RS(0) AND NOT ram/RS(2) AND
|
||||
<br/> BACTr)
|
||||
<br/> OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT nAS_FSB AND NOT ram/RS(1) AND
|
||||
<br/> OR (NOT A_FSB(22) AND NOT A_FSB(23) AND NOT nAS_FSB AND NOT ram/RS(1) AND
|
||||
<br/> NOT ram/RS(0) AND NOT ram/RS(2))
|
||||
<br/> OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT ram/RS(1) AND NOT ram/RS(0) AND
|
||||
<br/> OR (NOT A_FSB(22) AND NOT A_FSB(23) AND NOT ram/RS(1) AND NOT ram/RS(0) AND
|
||||
<br/> NOT ram/RS(2) AND ASrf)
|
||||
<br/> OR (nAS_FSB AND NOT RefUrg AND NOT ram/RS(1) AND NOT ram/RS(0) AND
|
||||
<br/> NOT ram/RS(2) AND NOT ASrf)
|
||||
@ -757,7 +762,7 @@ FDCPE_ram/RASrf: FDCPE port map (ram/RASrf,ram/RASrf_D,NOT FCLK,'0','0');
|
||||
<br/> OR (NOT ram/RS(1) AND ram/RS(2)));
|
||||
</td></tr><tr><td>
|
||||
FDCPE_ram/RS0: FDCPE port map (ram/RS(0),ram/RS_D(0),FCLK,'0','0');
|
||||
<br/> ram/RS_D(0) <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/Overlay AND NOT ram/RS(0) AND
|
||||
<br/> ram/RS_D(0) <= ((NOT A_FSB(22) AND NOT A_FSB(23) AND NOT cs/Overlay AND NOT ram/RS(0) AND
|
||||
<br/> ram/RASEN AND ASrf)
|
||||
<br/> OR (ram/RS(1) AND NOT ram/RS(0))
|
||||
<br/> OR (NOT ram/RS(0) AND ram/RS(2))
|
||||
@ -765,7 +770,7 @@ FDCPE_ram/RS0: FDCPE port map (ram/RS(0),ram/RS_D(0),FCLK,'0','0');
|
||||
<br/> NOT ram/RS(2))
|
||||
<br/> OR (nDTACK_FSB AND NOT ram/RS(1) AND ram/RS(0) AND NOT ram/RS(2) AND
|
||||
<br/> ASrf)
|
||||
<br/> OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT nAS_FSB AND NOT cs/Overlay AND
|
||||
<br/> OR (NOT A_FSB(22) AND NOT A_FSB(23) AND NOT nAS_FSB AND NOT cs/Overlay AND
|
||||
<br/> NOT ram/RS(0) AND ram/RASEN));
|
||||
</td></tr><tr><td>
|
||||
FTCPE_ram/RS1: FTCPE port map (ram/RS(1),ram/RS_T(1),FCLK,'0','0');
|
||||
@ -776,34 +781,34 @@ FTCPE_ram/RS1: FTCPE port map (ram/RS(1),ram/RS_T(1),FCLK,'0','0');
|
||||
FTCPE_ram/RS2: FTCPE port map (ram/RS(2),ram/RS_T(2),FCLK,'0','0');
|
||||
<br/> ram/RS_T(2) <= ((ram/RS(1) AND ram/RS(0) AND ram/RS(2))
|
||||
<br/> OR (RefUrg AND NOT ram/RefDone AND ram/RS(1) AND ram/RS(0))
|
||||
<br/> OR (A_FSB(23) AND RefReq AND NOT ram/RefDone AND NOT ram/RS(1) AND
|
||||
<br/> NOT ram/RS(0) AND NOT ram/RS(2) AND ASrf AND NOT BACTr)
|
||||
<br/> OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND NOT ram/RS(1) AND
|
||||
<br/> NOT ram/RS(0) AND NOT ram/RS(2) AND ASrf AND NOT BACTr)
|
||||
<br/> OR (A_FSB(23) AND RefUrg AND NOT ram/RefDone AND NOT ram/RS(1) AND
|
||||
<br/> NOT ram/RS(0) AND NOT ram/RS(2))
|
||||
<br/> OR (A_FSB(23) AND RefReq AND NOT ram/RefDone AND NOT ram/RS(1) AND
|
||||
<br/> NOT ram/RS(0) AND NOT ram/RS(2) AND ASrf AND NOT BACTr)
|
||||
<br/> OR (A_FSB(22) AND RefUrg AND NOT ram/RefDone AND NOT ram/RS(1) AND
|
||||
<br/> NOT ram/RS(0) AND NOT ram/RS(2))
|
||||
<br/> OR (A_FSB(23) AND RefUrg AND NOT ram/RefDone AND NOT ram/RS(1) AND
|
||||
<br/> NOT ram/RS(0) AND NOT ram/RS(2))
|
||||
<br/> OR (nAS_FSB AND RefUrg AND NOT ram/RefDone AND NOT ram/RS(1) AND
|
||||
<br/> NOT ram/RS(0) AND NOT ram/RS(2) AND NOT ASrf)
|
||||
<br/> OR (A_FSB(23) AND NOT nAS_FSB AND RefReq AND NOT ram/RefDone AND
|
||||
<br/> NOT ram/RS(1) AND NOT ram/RS(0) AND NOT ram/RS(2) AND NOT BACTr)
|
||||
<br/> OR (A_FSB(22) AND NOT nAS_FSB AND RefReq AND NOT ram/RefDone AND
|
||||
<br/> NOT ram/RS(1) AND NOT ram/RS(0) AND NOT ram/RS(2) AND NOT BACTr)
|
||||
<br/> OR (A_FSB(23) AND NOT nAS_FSB AND RefReq AND NOT ram/RefDone AND
|
||||
<br/> NOT ram/RS(1) AND NOT ram/RS(0) AND NOT ram/RS(2) AND NOT BACTr));
|
||||
</td></tr><tr><td>
|
||||
FDCPE_ram/RefCAS: FDCPE port map (ram/RefCAS,ram/RefCAS_D,FCLK,'0','0');
|
||||
<br/> ram/RefCAS_D <= ((A_FSB(23) AND NOT nAS_FSB AND RefReq AND NOT ram/RefDone AND
|
||||
<br/> ram/RefCAS_D <= ((A_FSB(22) AND RefReq AND NOT ram/RefDone AND NOT ram/RS(1) AND
|
||||
<br/> NOT ram/RS(0) AND NOT ram/RS(2) AND ASrf AND NOT BACTr)
|
||||
<br/> OR (A_FSB(23) AND NOT nAS_FSB AND RefReq AND NOT ram/RefDone AND
|
||||
<br/> NOT ram/RS(1) AND NOT ram/RS(0) AND NOT ram/RS(2) AND NOT BACTr)
|
||||
<br/> OR (A_FSB(23) AND RefReq AND NOT ram/RefDone AND NOT ram/RS(1) AND
|
||||
<br/> NOT ram/RS(0) AND NOT ram/RS(2) AND ASrf AND NOT BACTr)
|
||||
<br/> OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND NOT ram/RS(1) AND
|
||||
<br/> NOT ram/RS(0) AND NOT ram/RS(2) AND ASrf AND NOT BACTr)
|
||||
<br/> OR (RefUrg AND NOT ram/RefDone AND ram/RS(1) AND ram/RS(0) AND
|
||||
<br/> NOT ram/RS(2))
|
||||
<br/> OR (A_FSB(23) AND RefUrg AND NOT ram/RefDone AND NOT ram/RS(1) AND
|
||||
<br/> NOT ram/RS(0) AND NOT ram/RS(2))
|
||||
<br/> OR (A_FSB(22) AND RefUrg AND NOT ram/RefDone AND NOT ram/RS(1) AND
|
||||
<br/> NOT ram/RS(0) AND NOT ram/RS(2))
|
||||
<br/> OR (A_FSB(23) AND RefUrg AND NOT ram/RefDone AND NOT ram/RS(1) AND
|
||||
<br/> NOT ram/RS(0) AND NOT ram/RS(2))
|
||||
<br/> OR (nAS_FSB AND RefUrg AND NOT ram/RefDone AND NOT ram/RS(1) AND
|
||||
<br/> NOT ram/RS(0) AND NOT ram/RS(2) AND NOT ASrf)
|
||||
<br/> OR (A_FSB(22) AND NOT nAS_FSB AND RefReq AND NOT ram/RefDone AND
|
||||
@ -813,12 +818,6 @@ FDCPE_ram/RefDone: FDCPE port map (ram/RefDone,ram/RefDone_D,FCLK,'0','0');
|
||||
<br/> ram/RefDone_D <= ((RefReq AND ram/RefDone)
|
||||
<br/> OR (RefReq AND ram/RS(2)));
|
||||
</td></tr><tr><td>
|
||||
FDCPE_set/SetWRr: FDCPE port map (set/SetWRr,set/SetWRr_D,FCLK,'0','0');
|
||||
<br/> set/SetWRr_D <= ((A_FSB(21) AND A_FSB(20) AND NOT A_FSB(19) AND A_FSB(23) AND
|
||||
<br/> A_FSB(22) AND NOT nAS_FSB)
|
||||
<br/> OR (A_FSB(21) AND A_FSB(20) AND NOT A_FSB(19) AND A_FSB(23) AND
|
||||
<br/> A_FSB(22) AND ASrf));
|
||||
</td></tr><tr><td>
|
||||
Register Legend:
|
||||
<br/> FDCPE (Q,D,C,CLR,PRE,CE);
|
||||
<br/> FTCPE (Q,D,C,CLR,PRE,CE);
|
||||
|
File diff suppressed because it is too large
Load Diff
@ -16,57 +16,57 @@
|
||||
<tr>
|
||||
<td align="center"><a href="javascript:showFBDetail('FB1');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
|
||||
<td align="center">18 / 18</td>
|
||||
<td align="center">31 / 54</td>
|
||||
<td align="center">32 / 90</td>
|
||||
<td align="center">24 / 54</td>
|
||||
<td align="center">29 / 90</td>
|
||||
<td align="center">10 / 11</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center"><a href="javascript:showFBDetail('FB2');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
|
||||
<td align="center">13 / 18</td>
|
||||
<td align="center">11 / 54</td>
|
||||
<td align="center">13 / 90</td>
|
||||
<td align="center">7 / 18</td>
|
||||
<td align="center">7 / 54</td>
|
||||
<td align="center">7 / 90</td>
|
||||
<td align="center">8 / 10</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center"><a href="javascript:showFBDetail('FB3');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
|
||||
<td align="center">18 / 18</td>
|
||||
<td align="center">38 / 54</td>
|
||||
<td align="center">47 / 90</td>
|
||||
<td align="center">51 / 90</td>
|
||||
<td align="center">9 / 10</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center"><a href="javascript:showFBDetail('FB4');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
|
||||
<td align="center">18 / 18</td>
|
||||
<td align="center">30 / 54</td>
|
||||
<td align="center">36 / 90</td>
|
||||
<td align="center">34 / 54</td>
|
||||
<td align="center">32 / 90</td>
|
||||
<td align="center">10 / 10</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center"><a href="javascript:showFBDetail('FB5');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB5</a></td>
|
||||
<td align="center">16 / 18</td>
|
||||
<td align="center">42 / 54</td>
|
||||
<td align="center">46 / 90</td>
|
||||
<td align="center">17 / 18</td>
|
||||
<td align="center">38 / 54</td>
|
||||
<td align="center">78 / 90</td>
|
||||
<td align="center">8 / 10</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center"><a href="javascript:showFBDetail('FB6');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB6</a></td>
|
||||
<td align="center">18 / 18</td>
|
||||
<td align="center">32 / 54</td>
|
||||
<td align="center">67 / 90</td>
|
||||
<td align="center">36 / 54</td>
|
||||
<td align="center">60 / 90</td>
|
||||
<td align="center">10 / 10</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center"><a href="javascript:showFBDetail('FB7');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB7</a></td>
|
||||
<td align="center">18 / 18</td>
|
||||
<td align="center">41 / 54</td>
|
||||
<td align="center">68 / 90</td>
|
||||
<td align="center">37 / 54</td>
|
||||
<td align="center">45 / 90</td>
|
||||
<td align="center">10 / 10</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center"><a href="javascript:showFBDetail('FB8');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
|
||||
<td align="center">18 / 18</td>
|
||||
<td align="center">40 / 54</td>
|
||||
<td align="center">72 / 90</td>
|
||||
<td align="center">33 / 54</td>
|
||||
<td align="center">71 / 90</td>
|
||||
<td align="center">6 / 10</td>
|
||||
</tr>
|
||||
</table></span><form><span class="pgRef"><table width="90%" align="center"><tr>
|
||||
|
@ -27,9 +27,9 @@
|
||||
<th width="10%">Pin Use</th>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer<0></a></td>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd1</a></td>
|
||||
<td align="center" width="10%">1</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <a href="Javascript:showPT('VCC')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''"></a>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a>
|
||||
</td>
|
||||
<td align="center" width="10%">MC1</td>
|
||||
<td align="center" width="10%">STD</td>
|
||||
@ -38,7 +38,7 @@
|
||||
<td align="center" width="10%">(b)</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntEr1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Er<1></a></td>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOREQr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOREQr</a></td>
|
||||
<td align="center" width="10%">1</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a>
|
||||
</td>
|
||||
@ -49,7 +49,7 @@
|
||||
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB<13>'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntC8Mr3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/C8Mr<3></a></td>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('iobmEr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er</a></td>
|
||||
<td align="center" width="10%">1</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a>
|
||||
</td>
|
||||
@ -60,7 +60,7 @@
|
||||
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB<14>'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntC8Mr2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/C8Mr<2></a></td>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('iobmC8Mr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/C8Mr</a></td>
|
||||
<td align="center" width="10%">1</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a>
|
||||
</td>
|
||||
@ -71,7 +71,7 @@
|
||||
<td align="center" width="10%">(b)</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntC8Mr1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/C8Mr<1></a></td>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntnRESr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/nRESr</a></td>
|
||||
<td align="center" width="10%">1</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_5_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_1</a>
|
||||
</td>
|
||||
@ -82,9 +82,9 @@
|
||||
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB<15>'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIOU1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOU1</a></td>
|
||||
<td align="center" width="10%">2</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a> <a href="Javascript:showPT('FB1_6_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_2</a>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntTimerTick_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/TimerTick</a></td>
|
||||
<td align="center" width="10%">1</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a>
|
||||
</td>
|
||||
<td align="center" width="10%">MC6</td>
|
||||
<td align="center" width="10%">STD</td>
|
||||
@ -93,9 +93,9 @@
|
||||
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB<16>'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIOL1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOL1</a></td>
|
||||
<td align="center" width="10%">2</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB1_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntEr1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Er<1></a></td>
|
||||
<td align="center" width="10%">1</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a>
|
||||
</td>
|
||||
<td align="center" width="10%">MC7</td>
|
||||
<td align="center" width="10%">STD</td>
|
||||
@ -104,9 +104,9 @@
|
||||
<td align="center" width="10%">(b)</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer<2></a></td>
|
||||
<td align="center" width="10%">2</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a> <a href="Javascript:showPT('FB1_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntEr0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Er<0></a></td>
|
||||
<td align="center" width="10%">1</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a>
|
||||
</td>
|
||||
<td align="center" width="10%">MC8</td>
|
||||
<td align="center" width="10%">STD</td>
|
||||
@ -115,9 +115,9 @@
|
||||
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB<17>'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer<1></a></td>
|
||||
<td align="center" width="10%">2</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a> <a href="Javascript:showPT('FB1_9_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_2</a>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntC8Mr0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/C8Mr<0></a></td>
|
||||
<td align="center" width="10%">1</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a>
|
||||
</td>
|
||||
<td align="center" width="10%">MC9</td>
|
||||
<td align="center" width="10%">STD</td>
|
||||
@ -126,7 +126,7 @@
|
||||
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB<18>'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('SlowTimeout1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">SlowTimeout<1></a></td>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('ramRefDone_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefDone</a></td>
|
||||
<td align="center" width="10%">2</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB1_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a>
|
||||
</td>
|
||||
@ -137,7 +137,7 @@
|
||||
<td align="center" width="10%">(b)</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('SlowTimeout0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">SlowTimeout<0></a></td>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('ramRASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASrf</a></td>
|
||||
<td align="center" width="10%">2</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_11_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_1</a> <a href="Javascript:showPT('FB1_11_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_2</a>
|
||||
</td>
|
||||
@ -148,7 +148,7 @@
|
||||
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB<19>'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('SlowSnd')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">SlowSnd</a></td>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer<2></a></td>
|
||||
<td align="center" width="10%">2</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a> <a href="Javascript:showPT('FB1_12_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_2</a>
|
||||
</td>
|
||||
@ -159,7 +159,7 @@
|
||||
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB<20>'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('SlowSCSI')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">SlowSCSI</a></td>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer<0></a></td>
|
||||
<td align="center" width="10%">2</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB1_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a>
|
||||
</td>
|
||||
@ -170,7 +170,7 @@
|
||||
<td align="center" width="10%">(b)</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('SlowSCC')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">SlowSCC</a></td>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer<7></a></td>
|
||||
<td align="center" width="10%">2</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB1_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a>
|
||||
</td>
|
||||
@ -181,7 +181,7 @@
|
||||
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB<21>'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('SlowIWM')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">SlowIWM</a></td>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('RefUrg')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RefUrg</a></td>
|
||||
<td align="center" width="10%">2</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('FB1_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a>
|
||||
</td>
|
||||
@ -192,7 +192,7 @@
|
||||
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB<22>'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('SlowIACK')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">SlowIACK</a></td>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('RefReq')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RefReq</a></td>
|
||||
<td align="center" width="10%">2</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB1_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a>
|
||||
</td>
|
||||
@ -203,9 +203,9 @@
|
||||
<td align="center" width="10%">(b)</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('SlowClockGate')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">SlowClockGate</a></td>
|
||||
<td align="center" width="10%">2</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB1_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntTimer3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer<3></a></td>
|
||||
<td align="center" width="10%">3</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB1_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB1_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a>
|
||||
</td>
|
||||
<td align="center" width="10%">MC17</td>
|
||||
<td align="center" width="10%">STD</td>
|
||||
@ -214,7 +214,7 @@
|
||||
<td align="center" width="10%">GCK</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('nPOR')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nPOR</a></td>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer<1></a></td>
|
||||
<td align="center" width="10%">3</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB1_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB1_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a>
|
||||
</td>
|
||||
@ -227,37 +227,30 @@
|
||||
</table></span></div>
|
||||
<div id="tipBox"></div>
|
||||
<br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol>
|
||||
<li>A_FSB<1></li>
|
||||
<li>A_FSB<2></li>
|
||||
<li>A_FSB<3></li>
|
||||
<li>A_FSB<4></li>
|
||||
<li>A_FSB<5></li>
|
||||
<li>A_FSB<7></li>
|
||||
<li>A_FSB<8></li>
|
||||
<li>A_FSB<9></li>
|
||||
<li><a href="Javascript:showEqn('SlowClockGate')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">SlowClockGate</a></li>
|
||||
<li><a href="Javascript:showEqn('SlowIACK')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">SlowIACK</a></li>
|
||||
<li><a href="Javascript:showEqn('SlowIWM')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">SlowIWM</a></li>
|
||||
<li><a href="Javascript:showEqn('SlowSCC')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">SlowSCC</a></li>
|
||||
<li><a href="Javascript:showEqn('SlowSCSI')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">SlowSCSI</a></li>
|
||||
<li><a href="Javascript:showEqn('SlowSnd')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">SlowSnd</a></li>
|
||||
<li><a href="Javascript:showEqn('SlowTimeout0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">SlowTimeout<0></a></li>
|
||||
<li><a href="Javascript:showEqn('SlowTimeout1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">SlowTimeout<1></a></li>
|
||||
<li><a href="Javascript:showEqn('cntC8Mr0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/C8Mr<0></a></li>
|
||||
<li><a href="Javascript:showEqn('cntC8Mr1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/C8Mr<1></a></li>
|
||||
<li><a href="Javascript:showEqn('cntC8Mr2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/C8Mr<2></a></li>
|
||||
<li><a href="Javascript:showEqn('cntC8Mr3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/C8Mr<3></a></li>
|
||||
<li>C8M</li>
|
||||
<li>E</li>
|
||||
<li><a href="Javascript:showEqn('IOREQ')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOREQ</a></li>
|
||||
<li>nRES.PIN</li>
|
||||
<li><a href="Javascript:showEqn('RefReq')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RefReq</a></li>
|
||||
<li><a href="Javascript:showEqn('cntEr0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Er<0></a></li>
|
||||
<li><a href="Javascript:showEqn('cntEr1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Er<1></a></li>
|
||||
<li><a href="Javascript:showEqn('cntLTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer<0></a></li>
|
||||
<li><a href="Javascript:showEqn('cntLTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer<1></a></li>
|
||||
<li><a href="Javascript:showEqn('cntLTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer<2></a></li>
|
||||
<li><a href="Javascript:showEqn('cntLTimer3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer<3></a></li>
|
||||
<li><a href="Javascript:showEqn('cntLTimer4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer<4></a></li>
|
||||
<li><a href="Javascript:showEqn('cntLTimer5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer<5></a></li>
|
||||
<li><a href="Javascript:showEqn('cntLTimer6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer<6></a></li>
|
||||
<li><a href="Javascript:showEqn('cntTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer<0></a></li>
|
||||
<li><a href="Javascript:showEqn('cntTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer<1></a></li>
|
||||
<li><a href="Javascript:showEqn('cntTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer<2></a></li>
|
||||
<li><a href="Javascript:showEqn('cntTimer3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer<3></a></li>
|
||||
<li><a href="Javascript:showEqn('cntTimerTick_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/TimerTick</a></li>
|
||||
<li><a href="Javascript:showEqn('iobsLoad1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Load1</a></li>
|
||||
<li>nLDS_FSB</li>
|
||||
<li><a href="Javascript:showEqn('nPOR')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nPOR</a></li>
|
||||
<li>nUDS_FSB</li>
|
||||
<li><a href="Javascript:showEqn('setSetWRr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">set/SetWRr</a></li>
|
||||
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd2</a></li>
|
||||
<li><a href="Javascript:showEqn('ramRS0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS<0></a></li>
|
||||
<li><a href="Javascript:showEqn('ramRS1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS<1></a></li>
|
||||
<li><a href="Javascript:showEqn('ramRS2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS<2></a></li>
|
||||
<li><a href="Javascript:showEqn('ramRefDone_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefDone</a></li>
|
||||
</ol></span><form><span class="pgRef"><table width="90%" align="center"><tr>
|
||||
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
|
||||
<td align="center"><table align="center" width="90%" border="0" cellpadding="0" cellspacing="0"><tr><td width="100%" align="center"><input type="button" onclick="javascript:showFB('FB2')" onmouseover="window.status='show next Function Block'; return true;" onmouseout="window.status=''" value="next"></td></tr></table></td>
|
||||
|
@ -77,73 +77,67 @@
|
||||
<td align="center" width="10%"> </td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIODONErf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IODONErf</a></td>
|
||||
<td align="center" width="10%">1</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a>
|
||||
</td>
|
||||
<td align="center" width="10%">(unused)</td>
|
||||
<td align="center" width="10%">0</td>
|
||||
<td align="center" width="30%"> </td>
|
||||
<td align="center" width="10%">MC6</td>
|
||||
<td align="center" width="10%">STD</td>
|
||||
<td align="center" width="10%"> </td>
|
||||
<td align="center" width="10%">2</td>
|
||||
<td width="8%" align="center">I/O/GTS4</td>
|
||||
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB<5>'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIODONEr0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IODONEr<0></a></td>
|
||||
<td align="center" width="10%">1</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a>
|
||||
</td>
|
||||
<td align="center" width="10%">(unused)</td>
|
||||
<td align="center" width="10%">0</td>
|
||||
<td align="center" width="30%"> </td>
|
||||
<td align="center" width="10%">MC7</td>
|
||||
<td align="center" width="10%">STD</td>
|
||||
<td align="center" width="10%"> </td>
|
||||
<td align="center" width="10%"> </td>
|
||||
<td align="center" width="10%">(b)</td>
|
||||
<td align="center" width="10%">(b)</td>
|
||||
<td align="center" width="10%"> </td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIOACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOACTr</a></td>
|
||||
<td align="center" width="10%">1</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a>
|
||||
</td>
|
||||
<td align="center" width="10%">(unused)</td>
|
||||
<td align="center" width="10%">0</td>
|
||||
<td align="center" width="30%"> </td>
|
||||
<td align="center" width="10%">MC8</td>
|
||||
<td align="center" width="10%">STD</td>
|
||||
<td align="center" width="10%"> </td>
|
||||
<td align="center" width="10%">3</td>
|
||||
<td width="8%" align="center">I/O/GTS1</td>
|
||||
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB<6>'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('iobmVPAr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/VPAr</a></td>
|
||||
<td align="center" width="10%">1</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a>
|
||||
</td>
|
||||
<td align="center" width="10%">(unused)</td>
|
||||
<td align="center" width="10%">0</td>
|
||||
<td align="center" width="30%"> </td>
|
||||
<td align="center" width="10%">MC9</td>
|
||||
<td align="center" width="10%">STD</td>
|
||||
<td align="center" width="10%"> </td>
|
||||
<td align="center" width="10%">4</td>
|
||||
<td width="8%" align="center">I/O/GTS2</td>
|
||||
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB<7>'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd5</a></td>
|
||||
<td align="center" width="10%">1</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a>
|
||||
</td>
|
||||
<td align="center" width="10%">(unused)</td>
|
||||
<td align="center" width="10%">0</td>
|
||||
<td align="center" width="30%"> </td>
|
||||
<td align="center" width="10%">MC10</td>
|
||||
<td align="center" width="10%">STD</td>
|
||||
<td align="center" width="10%"> </td>
|
||||
<td align="center" width="10%"> </td>
|
||||
<td align="center" width="10%">(b)</td>
|
||||
<td align="center" width="10%">(b)</td>
|
||||
<td align="center" width="10%"> </td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd4</a></td>
|
||||
<td align="center" width="10%">1</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_11_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_1</a>
|
||||
</td>
|
||||
<td align="center" width="10%">(unused)</td>
|
||||
<td align="center" width="10%">0</td>
|
||||
<td align="center" width="30%"> </td>
|
||||
<td align="center" width="10%">MC11</td>
|
||||
<td align="center" width="10%">STD</td>
|
||||
<td align="center" width="10%"> </td>
|
||||
<td align="center" width="10%">6</td>
|
||||
<td width="8%" align="center">I/O</td>
|
||||
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB<8>'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd1</a></td>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIODONErf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IODONErf</a></td>
|
||||
<td align="center" width="10%">1</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a>
|
||||
</td>
|
||||
@ -154,7 +148,7 @@
|
||||
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB<9>'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOREQr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOREQr</a></td>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIODONEr1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IODONEr<1></a></td>
|
||||
<td align="center" width="10%">1</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a>
|
||||
</td>
|
||||
@ -165,7 +159,7 @@
|
||||
<td align="center" width="10%">(b)</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('iobmEr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er</a></td>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIODONEr0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IODONEr<0></a></td>
|
||||
<td align="center" width="10%">1</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a>
|
||||
</td>
|
||||
@ -176,7 +170,7 @@
|
||||
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB<10>'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('iobmC8Mr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/C8Mr</a></td>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIOACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOACTr</a></td>
|
||||
<td align="center" width="10%">1</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a>
|
||||
</td>
|
||||
@ -187,7 +181,7 @@
|
||||
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB<11>'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntEr0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Er<0></a></td>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('iobmVPAr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/VPAr</a></td>
|
||||
<td align="center" width="10%">1</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a>
|
||||
</td>
|
||||
@ -198,7 +192,7 @@
|
||||
<td align="center" width="10%">(b)</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntC8Mr0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/C8Mr<0></a></td>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd5</a></td>
|
||||
<td align="center" width="10%">1</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a>
|
||||
</td>
|
||||
@ -209,7 +203,7 @@
|
||||
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB<12>'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('ALE0S')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ALE0S</a></td>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd4</a></td>
|
||||
<td align="center" width="10%">1</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a>
|
||||
</td>
|
||||
@ -222,16 +216,12 @@
|
||||
</table></span></div>
|
||||
<div id="tipBox"></div>
|
||||
<br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol>
|
||||
<li>C8M</li>
|
||||
<li>E</li>
|
||||
<li><a href="Javascript:showEqn('IOACT')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOACT</a></li>
|
||||
<li><a href="Javascript:showEqn('IODONE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IODONE</a></li>
|
||||
<li><a href="Javascript:showEqn('IOREQ')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOREQ</a></li>
|
||||
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd2</a></li>
|
||||
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd5</a></li>
|
||||
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd6</a></li>
|
||||
<li><a href="Javascript:showEqn('iobsIODONEr0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IODONEr<0></a></li>
|
||||
<li><a href="Javascript:showEqn('iobsIODONErf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IODONErf</a></li>
|
||||
<li><a href="Javascript:showEqn('iobsTS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/TS_FSM_FFd2</a></li>
|
||||
<li>nVPA_IOB</li>
|
||||
</ol></span><form><span class="pgRef"><table width="90%" align="center"><tr>
|
||||
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
|
||||
|
@ -27,9 +27,9 @@
|
||||
<th width="10%">Pin Use</th>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimerTick_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimerTick</a></td>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer<0></a></td>
|
||||
<td align="center" width="10%">1</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <a href="Javascript:showPT('VCC')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''"></a>
|
||||
</td>
|
||||
<td align="center" width="10%">MC1</td>
|
||||
<td align="center" width="10%">STD</td>
|
||||
@ -38,9 +38,9 @@
|
||||
<td align="center" width="10%">(b)</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntQS3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/QS<3></a></td>
|
||||
<td align="center" width="10%">2</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a> <a href="Javascript:showPT('FB3_2_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_2</a>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('ASrf')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ASrf</a></td>
|
||||
<td align="center" width="10%">1</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a>
|
||||
</td>
|
||||
<td align="center" width="10%">MC2</td>
|
||||
<td align="center" width="10%">STD</td>
|
||||
@ -49,9 +49,9 @@
|
||||
<td align="center" width="10%">GCK/I</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer9_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer<9></a></td>
|
||||
<td align="center" width="10%">2</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a> <a href="Javascript:showPT('FB3_3_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_2</a>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('ALE0S')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ALE0S</a></td>
|
||||
<td align="center" width="10%">1</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a>
|
||||
</td>
|
||||
<td align="center" width="10%">MC3</td>
|
||||
<td align="center" width="10%">STD</td>
|
||||
@ -60,7 +60,7 @@
|
||||
<td align="center" width="10%">(b)</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer8_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer<8></a></td>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer<6></a></td>
|
||||
<td align="center" width="10%">2</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a> <a href="Javascript:showPT('FB3_4_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_2</a>
|
||||
</td>
|
||||
@ -71,7 +71,7 @@
|
||||
<td align="center" width="10%">(b)</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer<7></a></td>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer<5></a></td>
|
||||
<td align="center" width="10%">2</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_5_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_1</a> <a href="Javascript:showPT('FB3_5_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_2</a>
|
||||
</td>
|
||||
@ -82,7 +82,7 @@
|
||||
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB<23>'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer<6></a></td>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer<4></a></td>
|
||||
<td align="center" width="10%">2</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a> <a href="Javascript:showPT('FB3_6_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_2</a>
|
||||
</td>
|
||||
@ -93,7 +93,7 @@
|
||||
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'E'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer<5></a></td>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer<3></a></td>
|
||||
<td align="center" width="10%">2</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB3_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a>
|
||||
</td>
|
||||
@ -104,7 +104,7 @@
|
||||
<td align="center" width="10%">(b)</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer<4></a></td>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer<2></a></td>
|
||||
<td align="center" width="10%">2</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a> <a href="Javascript:showPT('FB3_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a>
|
||||
</td>
|
||||
@ -126,7 +126,7 @@
|
||||
<td align="center" width="10%">O</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer<3></a></td>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer<1></a></td>
|
||||
<td align="center" width="10%">2</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB3_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a>
|
||||
</td>
|
||||
@ -137,7 +137,7 @@
|
||||
<td align="center" width="10%">(b)</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer<2></a></td>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntClockGateEN_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/ClockGateEN</a></td>
|
||||
<td align="center" width="10%">2</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_11_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_1</a> <a href="Javascript:showPT('FB3_11_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_2</a>
|
||||
</td>
|
||||
@ -148,7 +148,7 @@
|
||||
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nWE_FSB'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer11_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer<11></a></td>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('QoSEN')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">QoSEN</a></td>
|
||||
<td align="center" width="10%">2</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a> <a href="Javascript:showPT('FB3_12_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_2</a>
|
||||
</td>
|
||||
@ -159,9 +159,9 @@
|
||||
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nLDS_FSB'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer10_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer<10></a></td>
|
||||
<td align="center" width="10%">2</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB3_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('IORW')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IORW</a></td>
|
||||
<td align="center" width="10%">3</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB3_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a> <a href="Javascript:showPT('FB3_13_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_3</a>
|
||||
</td>
|
||||
<td align="center" width="10%">MC13</td>
|
||||
<td align="center" width="10%">STD</td>
|
||||
@ -170,9 +170,9 @@
|
||||
<td align="center" width="10%">(b)</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntQS2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/QS<2></a></td>
|
||||
<td align="center" width="10%">3</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB3_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a> <a href="Javascript:showPT('FB3_14_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_3</a>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntQS3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/QS<3></a></td>
|
||||
<td align="center" width="10%">4</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB3_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a> <a href="Javascript:showPT('FB3_14_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_3</a> <a href="Javascript:showPT('FB3_14_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_4</a>
|
||||
</td>
|
||||
<td align="center" width="10%">MC14</td>
|
||||
<td align="center" width="10%">STD</td>
|
||||
@ -181,9 +181,9 @@
|
||||
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nAS_FSB'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntQS0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/QS<0></a></td>
|
||||
<td align="center" width="10%">3</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('FB3_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a> <a href="Javascript:showPT('FB3_15_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_3</a>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntQS1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/QS<1></a></td>
|
||||
<td align="center" width="10%">4</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('FB3_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a> <a href="Javascript:showPT('FB3_15_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_3</a> <a href="Javascript:showPT('FB3_15_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_4</a>
|
||||
</td>
|
||||
<td align="center" width="10%">MC15</td>
|
||||
<td align="center" width="10%">STD</td>
|
||||
@ -192,9 +192,9 @@
|
||||
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nUDS_FSB'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntQS1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/QS<1></a></td>
|
||||
<td align="center" width="10%">4</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB3_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB3_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB3_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntQS2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/QS<2></a></td>
|
||||
<td align="center" width="10%">5</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB3_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB3_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB3_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a> <a href="Javascript:showPT('FB3_16_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_5</a>
|
||||
</td>
|
||||
<td align="center" width="10%">MC16</td>
|
||||
<td align="center" width="10%">STD</td>
|
||||
@ -214,9 +214,9 @@
|
||||
<td align="center" width="10%">O</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('QoSEN')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">QoSEN</a></td>
|
||||
<td align="center" width="10%">5</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB3_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB3_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB3_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB3_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntQS0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/QS<0></a></td>
|
||||
<td align="center" width="10%">7</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB3_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB3_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB3_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB3_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB3_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB3_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a>
|
||||
</td>
|
||||
<td align="center" width="10%">MC18</td>
|
||||
<td align="center" width="10%">STD</td>
|
||||
@ -239,29 +239,29 @@
|
||||
<li><a href="Javascript:showEqn('IONPReady')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IONPReady</a></li>
|
||||
<li><a href="Javascript:showEqn('QoSEN')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">QoSEN</a></li>
|
||||
<li><a href="Javascript:showEqn('RAMReady')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RAMReady</a></li>
|
||||
<li><a href="Javascript:showEqn('SlowTimeout0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">SlowTimeout<0></a></li>
|
||||
<li><a href="Javascript:showEqn('SlowTimeout1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">SlowTimeout<1></a></li>
|
||||
<li><a href="Javascript:showEqn('SlowTimeout2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">SlowTimeout<2></a></li>
|
||||
<li><a href="Javascript:showEqn('SlowTimeout3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">SlowTimeout<3></a></li>
|
||||
<li><a href="Javascript:showEqn('cntClockGateEN_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/ClockGateEN</a></li>
|
||||
<li><a href="Javascript:showEqn('cntIACKCSr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/IACKCSr</a></li>
|
||||
<li><a href="Javascript:showEqn('cntIWMCSr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/IWMCSr</a></li>
|
||||
<li><a href="Javascript:showEqn('cntLTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer<0></a></li>
|
||||
<li><a href="Javascript:showEqn('cntLTimer10_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer<10></a></li>
|
||||
<li><a href="Javascript:showEqn('cntLTimer11_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer<11></a></li>
|
||||
<li><a href="Javascript:showEqn('cntLTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer<1></a></li>
|
||||
<li><a href="Javascript:showEqn('cntLTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer<2></a></li>
|
||||
<li><a href="Javascript:showEqn('cntLTimer3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer<3></a></li>
|
||||
<li><a href="Javascript:showEqn('cntLTimer4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer<4></a></li>
|
||||
<li><a href="Javascript:showEqn('cntLTimer5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer<5></a></li>
|
||||
<li><a href="Javascript:showEqn('cntLTimer6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer<6></a></li>
|
||||
<li><a href="Javascript:showEqn('cntLTimer7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer<7></a></li>
|
||||
<li><a href="Javascript:showEqn('cntLTimer8_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer<8></a></li>
|
||||
<li><a href="Javascript:showEqn('cntLTimer9_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer<9></a></li>
|
||||
<li><a href="Javascript:showEqn('cntQS0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/QS<0></a></li>
|
||||
<li><a href="Javascript:showEqn('cntQS1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/QS<1></a></li>
|
||||
<li><a href="Javascript:showEqn('cntQS2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/QS<2></a></li>
|
||||
<li><a href="Javascript:showEqn('cntQS3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/QS<3></a></li>
|
||||
<li><a href="Javascript:showEqn('cntQoSCSr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/QoSCSr</a></li>
|
||||
<li><a href="Javascript:showEqn('cntSCCCSr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/SCCCSr</a></li>
|
||||
<li><a href="Javascript:showEqn('cntSCSICSr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/SCSICSr</a></li>
|
||||
<li><a href="Javascript:showEqn('cntSndCSWRr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/SndCSWRr</a></li>
|
||||
<li><a href="Javascript:showEqn('cntTimerTick_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/TimerTick</a></li>
|
||||
<li><a href="Javascript:showEqn('cntVIACSr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/VIACSr</a></li>
|
||||
<li><a href="Javascript:showEqn('cntnRESr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/nRESr</a></li>
|
||||
<li><a href="Javascript:showEqn('iobsIORW1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IORW1</a></li>
|
||||
<li><a href="Javascript:showEqn('iobsSent_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Sent</a></li>
|
||||
<li><a href="Javascript:showEqn('iobsTS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/TS_FSM_FFd1</a></li>
|
||||
<li><a href="Javascript:showEqn('iobsTS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/TS_FSM_FFd2</a></li>
|
||||
<li><a href="Javascript:showEqn('nADoutLE1')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nADoutLE1</a></li>
|
||||
<li>nAS_FSB</li>
|
||||
<li>nWE_FSB</li>
|
||||
|
@ -27,7 +27,7 @@
|
||||
<th width="10%">Pin Use</th>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntTimerTick_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/TimerTick</a></td>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimerTick_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimerTick</a></td>
|
||||
<td align="center" width="10%">1</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a>
|
||||
</td>
|
||||
@ -49,9 +49,9 @@
|
||||
<td align="center" width="10%">O</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('nRESout')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nRESout</a></td>
|
||||
<td align="center" width="10%">2</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a> <a href="Javascript:showPT('FB4_3_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_2</a>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntC8Mr3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/C8Mr<3></a></td>
|
||||
<td align="center" width="10%">1</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a>
|
||||
</td>
|
||||
<td align="center" width="10%">MC3</td>
|
||||
<td align="center" width="10%">STD</td>
|
||||
@ -60,9 +60,9 @@
|
||||
<td align="center" width="10%">(b)</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('nBR_IOBout')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nBR_IOBout</a></td>
|
||||
<td align="center" width="10%">2</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a> <a href="Javascript:showPT('FB4_4_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_2</a>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntC8Mr2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/C8Mr<2></a></td>
|
||||
<td align="center" width="10%">1</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a>
|
||||
</td>
|
||||
<td align="center" width="10%">MC4</td>
|
||||
<td align="center" width="10%">STD</td>
|
||||
@ -93,9 +93,9 @@
|
||||
<td align="center" width="10%">O</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer<0></a></td>
|
||||
<td align="center" width="10%">2</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB4_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntC8Mr1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/C8Mr<1></a></td>
|
||||
<td align="center" width="10%">1</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a>
|
||||
</td>
|
||||
<td align="center" width="10%">MC7</td>
|
||||
<td align="center" width="10%">STD</td>
|
||||
@ -115,7 +115,7 @@
|
||||
<td align="center" width="10%">I/O</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntIS1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/IS<1></a></td>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('nRESout')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nRESout</a></td>
|
||||
<td align="center" width="10%">2</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a> <a href="Javascript:showPT('FB4_9_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_2</a>
|
||||
</td>
|
||||
@ -126,7 +126,7 @@
|
||||
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nIPL2'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('SlowVIA')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">SlowVIA</a></td>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('nBR_IOBout')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nBR_IOBout</a></td>
|
||||
<td align="center" width="10%">2</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB4_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a>
|
||||
</td>
|
||||
@ -148,7 +148,7 @@
|
||||
<td align="center" width="10%">O</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('SlowTimeout3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">SlowTimeout<3></a></td>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer9_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer<9></a></td>
|
||||
<td align="center" width="10%">2</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a> <a href="Javascript:showPT('FB4_12_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_2</a>
|
||||
</td>
|
||||
@ -159,7 +159,7 @@
|
||||
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB<1>'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('SlowTimeout2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">SlowTimeout<2></a></td>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer8_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer<8></a></td>
|
||||
<td align="center" width="10%">2</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB4_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a>
|
||||
</td>
|
||||
@ -170,7 +170,7 @@
|
||||
<td align="center" width="10%">(b)</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('RefUrg')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RefUrg</a></td>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer11_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer<11></a></td>
|
||||
<td align="center" width="10%">2</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB4_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a>
|
||||
</td>
|
||||
@ -181,7 +181,7 @@
|
||||
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB<2>'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('RefReq')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RefReq</a></td>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer10_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer<10></a></td>
|
||||
<td align="center" width="10%">2</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('FB4_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a>
|
||||
</td>
|
||||
@ -192,9 +192,9 @@
|
||||
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB<3>'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntTimer3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer<3></a></td>
|
||||
<td align="center" width="10%">3</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB4_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB4_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntIS1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/IS<1></a></td>
|
||||
<td align="center" width="10%">2</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB4_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a>
|
||||
</td>
|
||||
<td align="center" width="10%">MC16</td>
|
||||
<td align="center" width="10%">STD</td>
|
||||
@ -203,7 +203,7 @@
|
||||
<td align="center" width="10%">(b)</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer<1></a></td>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntnPOR_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/nPOR</a></td>
|
||||
<td align="center" width="10%">3</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB4_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB4_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a>
|
||||
</td>
|
||||
@ -227,36 +227,40 @@
|
||||
</table></span></div>
|
||||
<div id="tipBox"></div>
|
||||
<br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol>
|
||||
<li>A_FSB<10></li>
|
||||
<li>A_FSB<11></li>
|
||||
<li>A_FSB<20></li>
|
||||
<li>A_FSB<21></li>
|
||||
<li>A_FSB<6></li>
|
||||
<li><a href="Javascript:showEqn('BACTr')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">BACTr</a></li>
|
||||
<li>A_FSB<22></li>
|
||||
<li>A_FSB<23></li>
|
||||
<li><a href="Javascript:showEqn('IONPReady')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IONPReady</a></li>
|
||||
<li><a href="Javascript:showEqn('SlowTimeout2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">SlowTimeout<2></a></li>
|
||||
<li><a href="Javascript:showEqn('SlowTimeout3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">SlowTimeout<3></a></li>
|
||||
<li><a href="Javascript:showEqn('SlowVIA')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">SlowVIA</a></li>
|
||||
<li><a href="Javascript:showEqn('cntEr0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Er<0></a></li>
|
||||
<li><a href="Javascript:showEqn('cntEr1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Er<1></a></li>
|
||||
<li><a href="Javascript:showEqn('cntC8Mr0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/C8Mr<0></a></li>
|
||||
<li><a href="Javascript:showEqn('cntC8Mr1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/C8Mr<1></a></li>
|
||||
<li><a href="Javascript:showEqn('cntC8Mr2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/C8Mr<2></a></li>
|
||||
<li><a href="Javascript:showEqn('cntC8Mr3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/C8Mr<3></a></li>
|
||||
<li><a href="Javascript:showEqn('cntIS0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/IS<0></a></li>
|
||||
<li><a href="Javascript:showEqn('cntIS1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/IS<1></a></li>
|
||||
<li><a href="Javascript:showEqn('cntLTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer<0></a></li>
|
||||
<li><a href="Javascript:showEqn('cntLTimer10_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer<10></a></li>
|
||||
<li><a href="Javascript:showEqn('cntLTimer11_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer<11></a></li>
|
||||
<li><a href="Javascript:showEqn('cntLTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer<1></a></li>
|
||||
<li><a href="Javascript:showEqn('cntLTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer<2></a></li>
|
||||
<li><a href="Javascript:showEqn('cntLTimer3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer<3></a></li>
|
||||
<li><a href="Javascript:showEqn('cntLTimer4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer<4></a></li>
|
||||
<li><a href="Javascript:showEqn('cntLTimer5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer<5></a></li>
|
||||
<li><a href="Javascript:showEqn('cntLTimer6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer<6></a></li>
|
||||
<li><a href="Javascript:showEqn('cntLTimer7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer<7></a></li>
|
||||
<li><a href="Javascript:showEqn('cntLTimer8_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer<8></a></li>
|
||||
<li><a href="Javascript:showEqn('cntLTimer9_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer<9></a></li>
|
||||
<li><a href="Javascript:showEqn('cntLTimerTick_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimerTick</a></li>
|
||||
<li><a href="Javascript:showEqn('cntTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer<0></a></li>
|
||||
<li><a href="Javascript:showEqn('cntTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer<1></a></li>
|
||||
<li><a href="Javascript:showEqn('cntTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer<2></a></li>
|
||||
<li><a href="Javascript:showEqn('cntTimer3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer<3></a></li>
|
||||
<li><a href="Javascript:showEqn('cntTimerTick_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/TimerTick</a></li>
|
||||
<li><a href="Javascript:showEqn('cntnPOR_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/nPOR</a></li>
|
||||
<li><a href="Javascript:showEqn('iobmDoutOE_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/DoutOE</a></li>
|
||||
<li>nAS_FSB</li>
|
||||
<li><a href="Javascript:showEqn('nAoutOE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nAoutOE</a></li>
|
||||
<li><a href="Javascript:showEqn('nBR_IOBout')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nBR_IOBout</a></li>
|
||||
<li>nIPL2</li>
|
||||
<li><a href="Javascript:showEqn('nPOR')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nPOR</a></li>
|
||||
<li><a href="Javascript:showEqn('nRESout')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nRESout</a></li>
|
||||
<li>nWE_FSB</li>
|
||||
<li><a href="Javascript:showEqn('setSetWRr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">set/SetWRr</a></li>
|
||||
</ol></span><form><span class="pgRef"><table width="90%" align="center"><tr>
|
||||
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
|
||||
<td align="center"><table align="center" width="90%" border="0" cellpadding="0" cellspacing="0"><tr><td width="100%" align="center">
|
||||
|
@ -27,9 +27,9 @@
|
||||
<th width="10%">Pin Use</th>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntQoSCSr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/QoSCSr</a></td>
|
||||
<td align="center" width="10%">10</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB5_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB5_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB5_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB5_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a> <a href="Javascript:showPT('FB5_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <a href="Javascript:showPT('FB5_1_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_2</a> <a href="Javascript:showPT('FB5_1_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_3</a> <a href="Javascript:showPT('FB5_1_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_4</a> <a href="Javascript:showPT('FB5_1_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_5</a>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('IOREQ')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOREQ</a></td>
|
||||
<td align="center" width="10%">12</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB5_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a> <a href="Javascript:showPT('FB5_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <a href="Javascript:showPT('FB5_1_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_2</a> <a href="Javascript:showPT('FB5_1_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_3</a> <a href="Javascript:showPT('FB5_1_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_4</a> <a href="Javascript:showPT('FB5_1_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_5</a> <a href="Javascript:showPT('FB5_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a> <a href="Javascript:showPT('FB5_2_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_2</a> <a href="Javascript:showPT('FB5_2_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_3</a> <a href="Javascript:showPT('FB5_2_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_4</a> <a href="Javascript:showPT('FB5_2_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_5</a>
|
||||
</td>
|
||||
<td align="center" width="10%">MC1</td>
|
||||
<td align="center" width="10%">STD</td>
|
||||
@ -40,7 +40,7 @@
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('nROMOE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nROMOE</a></td>
|
||||
<td align="center" width="10%">2</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a> <a href="Javascript:showPT('FB5_2_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_2</a>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_3_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_3</a> <a href="Javascript:showPT('FB5_3_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_4</a>
|
||||
</td>
|
||||
<td align="center" width="10%">MC2</td>
|
||||
<td align="center" width="10%">STD</td>
|
||||
@ -49,19 +49,20 @@
|
||||
<td align="center" width="10%">O</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%">(unused)</td>
|
||||
<td align="center" width="10%">0</td>
|
||||
<td align="center" width="30%"> </td>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntIACKCSr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/IACKCSr</a></td>
|
||||
<td align="center" width="10%">2</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a> <a href="Javascript:showPT('FB5_3_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_2</a>
|
||||
</td>
|
||||
<td align="center" width="10%">MC3</td>
|
||||
<td align="center" width="10%"> </td>
|
||||
<td align="center" width="10%">STD</td>
|
||||
<td align="center" width="10%"> </td>
|
||||
<td align="center" width="10%">(b)</td>
|
||||
<td align="center" width="10%"> </td>
|
||||
<td align="center" width="10%">(b)</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIODONEr1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IODONEr<1></a></td>
|
||||
<td align="center" width="10%">1</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('ramRASEL_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASEL</a></td>
|
||||
<td align="center" width="10%">3</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a> <a href="Javascript:showPT('FB5_4_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_2</a> <a href="Javascript:showPT('FB5_4_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_3</a>
|
||||
</td>
|
||||
<td align="center" width="10%">MC4</td>
|
||||
<td align="center" width="10%">STD</td>
|
||||
@ -92,9 +93,9 @@
|
||||
<td align="center" width="10%">O</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('ASrf')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ASrf</a></td>
|
||||
<td align="center" width="10%">1</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('csOverlay_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/Overlay</a></td>
|
||||
<td align="center" width="10%">3</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB5_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a> <a href="Javascript:showPT('FB5_7_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_3</a>
|
||||
</td>
|
||||
<td align="center" width="10%">MC7</td>
|
||||
<td align="center" width="10%">STD</td>
|
||||
@ -103,9 +104,9 @@
|
||||
<td align="center" width="10%">(b)</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('ramRASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASrf</a></td>
|
||||
<td align="center" width="10%">2</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a> <a href="Javascript:showPT('FB5_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('iobsLoad1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Load1</a></td>
|
||||
<td align="center" width="10%">4</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a> <a href="Javascript:showPT('FB5_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a> <a href="Javascript:showPT('FB5_8_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_3</a> <a href="Javascript:showPT('FB5_8_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_4</a>
|
||||
</td>
|
||||
<td align="center" width="10%">MC8</td>
|
||||
<td align="center" width="10%">STD</td>
|
||||
@ -125,9 +126,9 @@
|
||||
<td align="center" width="10%">O</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('ramCASEndEN_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/CASEndEN</a></td>
|
||||
<td align="center" width="10%">2</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB5_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntSndCSWRr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/SndCSWRr</a></td>
|
||||
<td align="center" width="10%">8</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB5_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a> <a href="Javascript:showPT('FB5_10_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_3</a> <a href="Javascript:showPT('FB5_10_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_4</a> <a href="Javascript:showPT('FB5_10_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_5</a> <a href="Javascript:showPT('FB5_11_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_3</a> <a href="Javascript:showPT('FB5_9_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_3</a> <a href="Javascript:showPT('FB5_9_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_4</a>
|
||||
</td>
|
||||
<td align="center" width="10%">MC10</td>
|
||||
<td align="center" width="10%">STD</td>
|
||||
@ -158,9 +159,9 @@
|
||||
<td align="center" width="10%">O</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('ramRASEL_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASEL</a></td>
|
||||
<td align="center" width="10%">3</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB5_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a> <a href="Javascript:showPT('FB5_13_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_3</a>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('iobsSent_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Sent</a></td>
|
||||
<td align="center" width="10%">11</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_12_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_3</a> <a href="Javascript:showPT('FB5_12_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_4</a> <a href="Javascript:showPT('FB5_12_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_5</a> <a href="Javascript:showPT('FB5_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB5_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a> <a href="Javascript:showPT('FB5_13_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_3</a> <a href="Javascript:showPT('FB5_13_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_4</a> <a href="Javascript:showPT('FB5_13_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_5</a> <a href="Javascript:showPT('FB5_14_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_3</a> <a href="Javascript:showPT('FB5_14_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_4</a> <a href="Javascript:showPT('FB5_14_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_5</a>
|
||||
</td>
|
||||
<td align="center" width="10%">MC13</td>
|
||||
<td align="center" width="10%">STD</td>
|
||||
@ -191,9 +192,9 @@
|
||||
<td align="center" width="10%">O</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('csOverlay_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/Overlay</a></td>
|
||||
<td align="center" width="10%">3</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB5_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB5_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIORW1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IORW1</a></td>
|
||||
<td align="center" width="10%">4</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_15_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_3</a> <a href="Javascript:showPT('FB5_15_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_4</a> <a href="Javascript:showPT('FB5_15_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_5</a> <a href="Javascript:showPT('FB5_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a>
|
||||
</td>
|
||||
<td align="center" width="10%">MC16</td>
|
||||
<td align="center" width="10%">STD</td>
|
||||
@ -202,22 +203,22 @@
|
||||
<td align="center" width="10%">(b)</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('IONPReady')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IONPReady</a></td>
|
||||
<td align="center" width="10%">5</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB5_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB5_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB5_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a> <a href="Javascript:showPT('FB5_17_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_5</a>
|
||||
</td>
|
||||
<td align="center" width="10%">(unused)</td>
|
||||
<td align="center" width="10%">0</td>
|
||||
<td align="center" width="30%"> </td>
|
||||
<td align="center" width="10%">MC17</td>
|
||||
<td align="center" width="10%">STD</td>
|
||||
<td align="center" width="10%"> </td>
|
||||
<td align="center" width="10%">49</td>
|
||||
<td width="8%" align="center">I/O</td>
|
||||
<td align="center" width="10%">(b)</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%">(unused)</td>
|
||||
<td align="center" width="10%">0</td>
|
||||
<td align="center" width="30%"> </td>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('iobsTS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/TS_FSM_FFd2</a></td>
|
||||
<td align="center" width="10%">12</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB5_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB5_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a> <a href="Javascript:showPT('FB5_16_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_5</a> <a href="Javascript:showPT('FB5_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB5_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB5_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB5_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a> <a href="Javascript:showPT('FB5_17_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_5</a> <a href="Javascript:showPT('FB5_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB5_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB5_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a>
|
||||
</td>
|
||||
<td align="center" width="10%">MC18</td>
|
||||
<td align="center" width="10%"> </td>
|
||||
<td align="center" width="10%">STD</td>
|
||||
<td align="center" width="10%"> </td>
|
||||
<td align="center" width="10%">(b)</td>
|
||||
<td align="center" width="10%">(b)</td>
|
||||
@ -246,19 +247,15 @@
|
||||
<li>A_FSB<9></li>
|
||||
<li>A_FSB<22></li>
|
||||
<li>A_FSB<23></li>
|
||||
<li><a href="Javascript:showEqn('IONPReady')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IONPReady</a></li>
|
||||
<li>nRES.PIN</li>
|
||||
<li><a href="Javascript:showEqn('QoSEN')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">QoSEN</a></li>
|
||||
<li><a href="Javascript:showEqn('SlowIACK')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">SlowIACK</a></li>
|
||||
<li><a href="Javascript:showEqn('SlowIWM')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">SlowIWM</a></li>
|
||||
<li><a href="Javascript:showEqn('SlowSCC')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">SlowSCC</a></li>
|
||||
<li><a href="Javascript:showEqn('SlowSCSI')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">SlowSCSI</a></li>
|
||||
<li><a href="Javascript:showEqn('SlowSnd')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">SlowSnd</a></li>
|
||||
<li><a href="Javascript:showEqn('SlowVIA')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">SlowVIA</a></li>
|
||||
<li><a href="Javascript:showEqn('csOverlay_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/Overlay</a></li>
|
||||
<li><a href="Javascript:showEqn('iobsIODONEr0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IODONEr<0></a></li>
|
||||
<li><a href="Javascript:showEqn('iobsIODONEr1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IODONEr<1></a></li>
|
||||
<li><a href="Javascript:showEqn('iobsIOACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOACTr</a></li>
|
||||
<li><a href="Javascript:showEqn('iobsIORW1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IORW1</a></li>
|
||||
<li><a href="Javascript:showEqn('iobsSent_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Sent</a></li>
|
||||
<li><a href="Javascript:showEqn('iobsTS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/TS_FSM_FFd1</a></li>
|
||||
<li><a href="Javascript:showEqn('iobsTS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/TS_FSM_FFd2</a></li>
|
||||
<li><a href="Javascript:showEqn('nADoutLE1')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nADoutLE1</a></li>
|
||||
<li>nAS_FSB</li>
|
||||
<li>nWE_FSB</li>
|
||||
<li><a href="Javascript:showEqn('ramCASEndEN_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/CASEndEN</a></li>
|
||||
|
@ -27,7 +27,7 @@
|
||||
<th width="10%">Pin Use</th>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd2</a></td>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('iobsClear1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Clear1</a></td>
|
||||
<td align="center" width="10%">1</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a>
|
||||
</td>
|
||||
@ -49,7 +49,7 @@
|
||||
<td align="center" width="10%">O</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd7</a></td>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('iobsTS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/TS_FSM_FFd1</a></td>
|
||||
<td align="center" width="10%">2</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a> <a href="Javascript:showPT('FB6_3_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_2</a>
|
||||
</td>
|
||||
@ -60,7 +60,7 @@
|
||||
<td align="center" width="10%">(b)</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd3</a></td>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIOU1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOU1</a></td>
|
||||
<td align="center" width="10%">2</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a> <a href="Javascript:showPT('FB6_4_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_2</a>
|
||||
</td>
|
||||
@ -71,9 +71,9 @@
|
||||
<td align="center" width="10%">(b)</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('iobmES2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES<2></a></td>
|
||||
<td align="center" width="10%">3</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_5_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_1</a> <a href="Javascript:showPT('FB6_5_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_2</a> <a href="Javascript:showPT('FB6_5_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_3</a>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIOL1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOL1</a></td>
|
||||
<td align="center" width="10%">2</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_5_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_1</a> <a href="Javascript:showPT('FB6_5_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_2</a>
|
||||
</td>
|
||||
<td align="center" width="10%">MC5</td>
|
||||
<td align="center" width="10%">STD</td>
|
||||
@ -82,7 +82,7 @@
|
||||
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nBERR_IOB'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('iobmES0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES<0></a></td>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('iobmES2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES<2></a></td>
|
||||
<td align="center" width="10%">3</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a> <a href="Javascript:showPT('FB6_6_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_2</a> <a href="Javascript:showPT('FB6_6_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_3</a>
|
||||
</td>
|
||||
@ -93,9 +93,9 @@
|
||||
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nVPA_IOB'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('iobmES3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES<3></a></td>
|
||||
<td align="center" width="10%">4</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB6_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a> <a href="Javascript:showPT('FB6_7_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_3</a> <a href="Javascript:showPT('FB6_7_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_4</a>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('iobmES0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES<0></a></td>
|
||||
<td align="center" width="10%">3</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB6_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a> <a href="Javascript:showPT('FB6_7_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_3</a>
|
||||
</td>
|
||||
<td align="center" width="10%">MC7</td>
|
||||
<td align="center" width="10%">STD</td>
|
||||
@ -104,9 +104,9 @@
|
||||
<td align="center" width="10%">(b)</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('iobmES1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES<1></a></td>
|
||||
<td align="center" width="10%">4</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a> <a href="Javascript:showPT('FB6_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a> <a href="Javascript:showPT('FB6_8_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_3</a> <a href="Javascript:showPT('FB6_8_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_4</a>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('IOU0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOU0</a></td>
|
||||
<td align="center" width="10%">3</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a> <a href="Javascript:showPT('FB6_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a> <a href="Javascript:showPT('FB6_8_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_3</a>
|
||||
</td>
|
||||
<td align="center" width="10%">MC8</td>
|
||||
<td align="center" width="10%">STD</td>
|
||||
@ -117,7 +117,7 @@
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('nLDS_IOB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nLDS_IOB</a></td>
|
||||
<td align="center" width="10%">6</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_8_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_5</a> <a href="Javascript:showPT('FB6_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a> <a href="Javascript:showPT('FB6_9_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_2</a> <a href="Javascript:showPT('FB6_9_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_3</a> <a href="Javascript:showPT('FB6_9_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_4</a> <a href="Javascript:showPT('FB6_9_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_5</a>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_8_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_4</a> <a href="Javascript:showPT('FB6_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a> <a href="Javascript:showPT('FB6_9_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_2</a> <a href="Javascript:showPT('FB6_9_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_3</a> <a href="Javascript:showPT('FB6_9_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_4</a> <a href="Javascript:showPT('FB6_9_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_5</a>
|
||||
</td>
|
||||
<td align="center" width="10%">MC9</td>
|
||||
<td align="center" width="10%">STD</td>
|
||||
@ -126,9 +126,9 @@
|
||||
<td align="center" width="10%">O</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('ALE0M')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ALE0M</a></td>
|
||||
<td align="center" width="10%">4</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB6_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a> <a href="Javascript:showPT('FB6_10_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_3</a> <a href="Javascript:showPT('FB6_10_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_4</a>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('IOL0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOL0</a></td>
|
||||
<td align="center" width="10%">3</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB6_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a> <a href="Javascript:showPT('FB6_10_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_3</a>
|
||||
</td>
|
||||
<td align="center" width="10%">MC10</td>
|
||||
<td align="center" width="10%">STD</td>
|
||||
@ -139,7 +139,7 @@
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('nUDS_IOB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nUDS_IOB</a></td>
|
||||
<td align="center" width="10%">6</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_10_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_5</a> <a href="Javascript:showPT('FB6_11_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_1</a> <a href="Javascript:showPT('FB6_11_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_2</a> <a href="Javascript:showPT('FB6_11_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_3</a> <a href="Javascript:showPT('FB6_11_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_4</a> <a href="Javascript:showPT('FB6_11_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_5</a>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_10_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_4</a> <a href="Javascript:showPT('FB6_11_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_1</a> <a href="Javascript:showPT('FB6_11_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_2</a> <a href="Javascript:showPT('FB6_11_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_3</a> <a href="Javascript:showPT('FB6_11_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_4</a> <a href="Javascript:showPT('FB6_11_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_5</a>
|
||||
</td>
|
||||
<td align="center" width="10%">MC11</td>
|
||||
<td align="center" width="10%">STD</td>
|
||||
@ -159,9 +159,9 @@
|
||||
<td align="center" width="10%">O</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('iobmDoutOE_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/DoutOE</a></td>
|
||||
<td align="center" width="10%">5</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB6_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a> <a href="Javascript:showPT('FB6_13_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_3</a> <a href="Javascript:showPT('FB6_13_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_4</a> <a href="Javascript:showPT('FB6_13_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_5</a>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('iobmES3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES<3></a></td>
|
||||
<td align="center" width="10%">4</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB6_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a> <a href="Javascript:showPT('FB6_13_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_3</a> <a href="Javascript:showPT('FB6_13_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_4</a>
|
||||
</td>
|
||||
<td align="center" width="10%">MC13</td>
|
||||
<td align="center" width="10%">STD</td>
|
||||
@ -192,9 +192,9 @@
|
||||
<td align="center" width="10%">O</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('IOACT')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOACT</a></td>
|
||||
<td align="center" width="10%">6</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a> <a href="Javascript:showPT('FB6_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB6_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB6_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB6_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a> <a href="Javascript:showPT('FB6_16_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_5</a>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('iobmES1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES<1></a></td>
|
||||
<td align="center" width="10%">4</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB6_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB6_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB6_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB6_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a>
|
||||
</td>
|
||||
<td align="center" width="10%">MC16</td>
|
||||
<td align="center" width="10%">STD</td>
|
||||
@ -237,15 +237,12 @@
|
||||
<li><a href="Javascript:showEqn('IOU0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOU0</a></li>
|
||||
<li>nRES.PIN</li>
|
||||
<li><a href="Javascript:showEqn('iobmC8Mr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/C8Mr</a></li>
|
||||
<li><a href="Javascript:showEqn('iobmDoutOE_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/DoutOE</a></li>
|
||||
<li><a href="Javascript:showEqn('iobmES0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES<0></a></li>
|
||||
<li><a href="Javascript:showEqn('iobmES1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES<1></a></li>
|
||||
<li><a href="Javascript:showEqn('iobmES2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES<2></a></li>
|
||||
<li><a href="Javascript:showEqn('iobmES3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/ES<3></a></li>
|
||||
<li><a href="Javascript:showEqn('iobmEr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er</a></li>
|
||||
<li><a href="Javascript:showEqn('iobmIOREQr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOREQr</a></li>
|
||||
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd1</a></li>
|
||||
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd2</a></li>
|
||||
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd3</a></li>
|
||||
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd4</a></li>
|
||||
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd5</a></li>
|
||||
@ -253,11 +250,18 @@
|
||||
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd7</a></li>
|
||||
<li><a href="Javascript:showEqn('iobmVPAr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/VPAr</a></li>
|
||||
<li><a href="Javascript:showEqn('iobsClear1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Clear1</a></li>
|
||||
<li><a href="Javascript:showEqn('iobsIOACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOACTr</a></li>
|
||||
<li><a href="Javascript:showEqn('iobsIOL1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOL1</a></li>
|
||||
<li><a href="Javascript:showEqn('iobsIOU1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOU1</a></li>
|
||||
<li><a href="Javascript:showEqn('iobsLoad1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Load1</a></li>
|
||||
<li><a href="Javascript:showEqn('iobsTS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/TS_FSM_FFd1</a></li>
|
||||
<li><a href="Javascript:showEqn('iobsTS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/TS_FSM_FFd2</a></li>
|
||||
<li><a href="Javascript:showEqn('nADoutLE1')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nADoutLE1</a></li>
|
||||
<li><a href="Javascript:showEqn('nAoutOE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nAoutOE</a></li>
|
||||
<li>nBERR_IOB</li>
|
||||
<li>nDTACK_IOB</li>
|
||||
<li>nLDS_FSB</li>
|
||||
<li>nUDS_FSB</li>
|
||||
<li><a href="Javascript:showEqn('nVMA_IOB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nVMA_IOB</a></li>
|
||||
</ol></span><form><span class="pgRef"><table width="90%" align="center"><tr>
|
||||
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
|
||||
|
@ -27,9 +27,9 @@
|
||||
<th width="10%">Pin Use</th>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('IOREQ')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOREQ</a></td>
|
||||
<td align="center" width="10%">12</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB7_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB7_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB7_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a> <a href="Javascript:showPT('FB7_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <a href="Javascript:showPT('FB7_1_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_2</a> <a href="Javascript:showPT('FB7_1_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_3</a> <a href="Javascript:showPT('FB7_1_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_4</a> <a href="Javascript:showPT('FB7_1_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_5</a> <a href="Javascript:showPT('FB7_2_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_3</a> <a href="Javascript:showPT('FB7_2_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_4</a> <a href="Javascript:showPT('FB7_2_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_5</a>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd6</a></td>
|
||||
<td align="center" width="10%">1</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a>
|
||||
</td>
|
||||
<td align="center" width="10%">MC1</td>
|
||||
<td align="center" width="10%">STD</td>
|
||||
@ -49,7 +49,7 @@
|
||||
<td align="center" width="10%">O</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('BACTr')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">BACTr</a></td>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd2</a></td>
|
||||
<td align="center" width="10%">1</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a>
|
||||
</td>
|
||||
@ -60,9 +60,9 @@
|
||||
<td align="center" width="10%">(b)</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('setSetWRr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">set/SetWRr</a></td>
|
||||
<td align="center" width="10%">2</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a> <a href="Javascript:showPT('FB7_4_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_2</a>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('BACTr')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">BACTr</a></td>
|
||||
<td align="center" width="10%">1</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a>
|
||||
</td>
|
||||
<td align="center" width="10%">MC4</td>
|
||||
<td align="center" width="10%">STD</td>
|
||||
@ -93,7 +93,7 @@
|
||||
<td align="center" width="10%">O</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('iobsTS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/TS_FSM_FFd1</a></td>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd7</a></td>
|
||||
<td align="center" width="10%">2</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB7_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a>
|
||||
</td>
|
||||
@ -126,9 +126,9 @@
|
||||
<td align="center" width="10%">O</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('iobsSent_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Sent</a></td>
|
||||
<td align="center" width="10%">11</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB7_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a> <a href="Javascript:showPT('FB7_10_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_3</a> <a href="Javascript:showPT('FB7_10_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_4</a> <a href="Javascript:showPT('FB7_10_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_5</a> <a href="Javascript:showPT('FB7_11_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_3</a> <a href="Javascript:showPT('FB7_11_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_4</a> <a href="Javascript:showPT('FB7_11_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_5</a> <a href="Javascript:showPT('FB7_9_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_3</a> <a href="Javascript:showPT('FB7_9_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_4</a> <a href="Javascript:showPT('FB7_9_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_5</a>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd3</a></td>
|
||||
<td align="center" width="10%">2</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB7_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a>
|
||||
</td>
|
||||
<td align="center" width="10%">MC10</td>
|
||||
<td align="center" width="10%">STD</td>
|
||||
@ -159,7 +159,7 @@
|
||||
<td align="center" width="10%">O</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('iobsLoad1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Load1</a></td>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('ALE0M')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ALE0M</a></td>
|
||||
<td align="center" width="10%">4</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB7_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a> <a href="Javascript:showPT('FB7_13_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_3</a> <a href="Javascript:showPT('FB7_13_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_4</a>
|
||||
</td>
|
||||
@ -192,9 +192,9 @@
|
||||
<td align="center" width="10%">O</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('iobsTS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/TS_FSM_FFd2</a></td>
|
||||
<td align="center" width="10%">12</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a> <a href="Javascript:showPT('FB7_15_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_3</a> <a href="Javascript:showPT('FB7_15_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_4</a> <a href="Javascript:showPT('FB7_15_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_5</a> <a href="Javascript:showPT('FB7_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB7_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB7_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB7_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a> <a href="Javascript:showPT('FB7_16_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_5</a> <a href="Javascript:showPT('FB7_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB7_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB7_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('iobmDoutOE_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/DoutOE</a></td>
|
||||
<td align="center" width="10%">5</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB7_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB7_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB7_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a> <a href="Javascript:showPT('FB7_16_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_5</a>
|
||||
</td>
|
||||
<td align="center" width="10%">MC16</td>
|
||||
<td align="center" width="10%">STD</td>
|
||||
@ -214,9 +214,9 @@
|
||||
<td align="center" width="10%">O</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd6</a></td>
|
||||
<td align="center" width="10%">1</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('IOACT')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOACT</a></td>
|
||||
<td align="center" width="10%">6</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB7_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB7_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB7_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB7_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB7_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a>
|
||||
</td>
|
||||
<td align="center" width="10%">MC18</td>
|
||||
<td align="center" width="10%">STD</td>
|
||||
@ -227,16 +227,14 @@
|
||||
</table></span></div>
|
||||
<div id="tipBox"></div>
|
||||
<br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol>
|
||||
<li><a href="Javascript:showEqn('ALE0M')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ALE0M</a></li>
|
||||
<li><a href="Javascript:showEqn('ASrf')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ASrf</a></li>
|
||||
<li>A_FSB<10></li>
|
||||
<li>A_FSB<14></li>
|
||||
<li>A_FSB<15></li>
|
||||
<li>A_FSB<16></li>
|
||||
<li>A_FSB<17></li>
|
||||
<li>A_FSB<18></li>
|
||||
<li>A_FSB<19></li>
|
||||
<li>A_FSB<1></li>
|
||||
<li>A_FSB<20></li>
|
||||
<li>A_FSB<21></li>
|
||||
<li>A_FSB<2></li>
|
||||
<li>A_FSB<6></li>
|
||||
@ -245,28 +243,26 @@
|
||||
<li>A_FSB<9></li>
|
||||
<li>A_FSB<22></li>
|
||||
<li>A_FSB<23></li>
|
||||
<li><a href="Javascript:showEqn('IOACT')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOACT</a></li>
|
||||
<li><a href="Javascript:showEqn('IODONE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IODONE</a></li>
|
||||
<li><a href="Javascript:showEqn('IORW')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IORW</a></li>
|
||||
<li><a href="Javascript:showEqn('QoSEN')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">QoSEN</a></li>
|
||||
<li><a href="Javascript:showEqn('RnW_IOB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RnW_IOB</a></li>
|
||||
<li><a href="Javascript:showEqn('SlowClockGate')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">SlowClockGate</a></li>
|
||||
<li><a href="Javascript:showEqn('cntC8Mr0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/C8Mr<0></a></li>
|
||||
<li><a href="Javascript:showEqn('cntC8Mr1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/C8Mr<1></a></li>
|
||||
<li><a href="Javascript:showEqn('cntClockGateEN_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/ClockGateEN</a></li>
|
||||
<li><a href="Javascript:showEqn('iobmC8Mr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/C8Mr</a></li>
|
||||
<li><a href="Javascript:showEqn('iobmDoutOE_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/DoutOE</a></li>
|
||||
<li><a href="Javascript:showEqn('iobmIOREQr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOREQr</a></li>
|
||||
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd1</a></li>
|
||||
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd2</a></li>
|
||||
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd3</a></li>
|
||||
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd4</a></li>
|
||||
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd5</a></li>
|
||||
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd6</a></li>
|
||||
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd7</a></li>
|
||||
<li><a href="Javascript:showEqn('iobsIOACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOACTr</a></li>
|
||||
<li><a href="Javascript:showEqn('iobsSent_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Sent</a></li>
|
||||
<li><a href="Javascript:showEqn('iobsTS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/TS_FSM_FFd1</a></li>
|
||||
<li><a href="Javascript:showEqn('iobsTS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/TS_FSM_FFd2</a></li>
|
||||
<li><a href="Javascript:showEqn('nADoutLE1')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nADoutLE1</a></li>
|
||||
<li>nAS_FSB</li>
|
||||
<li><a href="Javascript:showEqn('nAoutOE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nAoutOE</a></li>
|
||||
<li>nWE_FSB</li>
|
||||
<li><a href="Javascript:showEqn('ramRASEL_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASEL</a></li>
|
||||
</ol></span><form><span class="pgRef"><table width="90%" align="center"><tr>
|
||||
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
|
||||
|
@ -49,7 +49,7 @@
|
||||
<td align="center" width="10%">O</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('ramRefDone_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefDone</a></td>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntVIACSr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/VIACSr</a></td>
|
||||
<td align="center" width="10%">2</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a> <a href="Javascript:showPT('FB8_3_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_2</a>
|
||||
</td>
|
||||
@ -60,9 +60,9 @@
|
||||
<td align="center" width="10%">(b)</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('ramRS1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS<1></a></td>
|
||||
<td align="center" width="10%">3</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a> <a href="Javascript:showPT('FB8_4_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_2</a> <a href="Javascript:showPT('FB8_4_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_3</a>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntSCSICSr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/SCSICSr</a></td>
|
||||
<td align="center" width="10%">2</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a> <a href="Javascript:showPT('FB8_4_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_2</a>
|
||||
</td>
|
||||
<td align="center" width="10%">MC4</td>
|
||||
<td align="center" width="10%">STD</td>
|
||||
@ -93,9 +93,9 @@
|
||||
<td align="center" width="10%">O</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('IOU0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOU0</a></td>
|
||||
<td align="center" width="10%">3</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB8_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a> <a href="Javascript:showPT('FB8_7_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_3</a>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntSCCCSr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/SCCCSr</a></td>
|
||||
<td align="center" width="10%">2</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB8_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a>
|
||||
</td>
|
||||
<td align="center" width="10%">MC7</td>
|
||||
<td align="center" width="10%">STD</td>
|
||||
@ -126,7 +126,7 @@
|
||||
<td align="center" width="10%">(b)</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('IOL0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOL0</a></td>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('ramRS1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS<1></a></td>
|
||||
<td align="center" width="10%">3</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB8_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a> <a href="Javascript:showPT('FB8_10_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_3</a>
|
||||
</td>
|
||||
@ -137,9 +137,9 @@
|
||||
<td align="center" width="10%">(b)</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIORW1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IORW1</a></td>
|
||||
<td align="center" width="10%">4</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_11_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_1</a> <a href="Javascript:showPT('FB8_11_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_2</a> <a href="Javascript:showPT('FB8_11_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_3</a> <a href="Javascript:showPT('FB8_11_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_4</a>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('IONPReady')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IONPReady</a></td>
|
||||
<td align="center" width="10%">5</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_11_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_1</a> <a href="Javascript:showPT('FB8_11_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_2</a> <a href="Javascript:showPT('FB8_11_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_3</a> <a href="Javascript:showPT('FB8_11_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_4</a> <a href="Javascript:showPT('FB8_11_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_5</a>
|
||||
</td>
|
||||
<td align="center" width="10%">MC11</td>
|
||||
<td align="center" width="10%">STD</td>
|
||||
@ -192,9 +192,9 @@
|
||||
<td align="center" width="10%">O</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('IORW')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IORW</a></td>
|
||||
<td align="center" width="10%">3</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB8_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB8_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('ramRASEN_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASEN</a></td>
|
||||
<td align="center" width="10%">10</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB8_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB8_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB8_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a> <a href="Javascript:showPT('FB8_16_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_5</a> <a href="Javascript:showPT('FB8_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB8_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB8_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB8_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a> <a href="Javascript:showPT('FB8_17_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_5</a>
|
||||
</td>
|
||||
<td align="center" width="10%">MC16</td>
|
||||
<td align="center" width="10%">STD</td>
|
||||
@ -203,9 +203,9 @@
|
||||
<td align="center" width="10%">(b)</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('ramRASEN_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASEN</a></td>
|
||||
<td align="center" width="10%">10</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a> <a href="Javascript:showPT('FB8_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB8_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB8_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB8_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a> <a href="Javascript:showPT('FB8_17_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_5</a> <a href="Javascript:showPT('FB8_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB8_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB8_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB8_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('ramCASEndEN_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/CASEndEN</a></td>
|
||||
<td align="center" width="10%">2</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB8_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a>
|
||||
</td>
|
||||
<td align="center" width="10%">MC17</td>
|
||||
<td align="center" width="10%">STD</td>
|
||||
@ -214,9 +214,9 @@
|
||||
<td align="center" width="10%">(b)</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('iobsClear1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Clear1</a></td>
|
||||
<td align="center" width="10%">1</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a>
|
||||
<td align="center" width="10%"><a href="Javascript:showEqn('cntIWMCSr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/IWMCSr</a></td>
|
||||
<td align="center" width="10%">2</td>
|
||||
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB8_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a>
|
||||
</td>
|
||||
<td align="center" width="10%">MC18</td>
|
||||
<td align="center" width="10%">STD</td>
|
||||
@ -237,21 +237,14 @@
|
||||
<li><a href="Javascript:showEqn('BACTr')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">BACTr</a></li>
|
||||
<li>A_FSB<22></li>
|
||||
<li>A_FSB<23></li>
|
||||
<li><a href="Javascript:showEqn('IOL0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOL0</a></li>
|
||||
<li><a href="Javascript:showEqn('IOU0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOU0</a></li>
|
||||
<li><a href="Javascript:showEqn('IONPReady')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IONPReady</a></li>
|
||||
<li><a href="Javascript:showEqn('QoSEN')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">QoSEN</a></li>
|
||||
<li><a href="Javascript:showEqn('RefReq')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RefReq</a></li>
|
||||
<li><a href="Javascript:showEqn('RefUrg')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RefUrg</a></li>
|
||||
<li><a href="Javascript:showEqn('csOverlay_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/Overlay</a></li>
|
||||
<li><a href="Javascript:showEqn('iobsIODONEr0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IODONEr<0></a></li>
|
||||
<li><a href="Javascript:showEqn('iobsIODONEr1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IODONEr<1></a></li>
|
||||
<li><a href="Javascript:showEqn('iobsIOL1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOL1</a></li>
|
||||
<li><a href="Javascript:showEqn('iobsIORW1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IORW1</a></li>
|
||||
<li><a href="Javascript:showEqn('iobsIOU1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOU1</a></li>
|
||||
<li><a href="Javascript:showEqn('iobsSent_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Sent</a></li>
|
||||
<li><a href="Javascript:showEqn('iobsTS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/TS_FSM_FFd1</a></li>
|
||||
<li><a href="Javascript:showEqn('iobsTS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/TS_FSM_FFd2</a></li>
|
||||
<li><a href="Javascript:showEqn('nADoutLE1')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nADoutLE1</a></li>
|
||||
<li>nAS_FSB</li>
|
||||
<li><a href="Javascript:showEqn('nBERR_FSB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nBERR_FSB</a></li>
|
||||
<li>nBERR_IOB</li>
|
||||
|
File diff suppressed because it is too large
Load Diff
File diff suppressed because it is too large
Load Diff
File diff suppressed because it is too large
Load Diff
@ -30,7 +30,7 @@
|
||||
<tr>
|
||||
<td width="40%"> <b>Date</b>
|
||||
</td>
|
||||
<td width="60%"> 10-12-2024, 2:18AM</td>
|
||||
<td width="60%"> 10-14-2024, 0:50AM</td>
|
||||
</tr>
|
||||
</table></span><br><span id="sumres" class="pgRef"><h5 align="center">RESOURCES SUMMARY</h5>
|
||||
<table align="center" width="90%" border="1" cellspacing="0" cellpadding="0">
|
||||
@ -42,11 +42,11 @@
|
||||
<th width="20%">Function Block Inputs Used</th>
|
||||
</tr>
|
||||
<tr>
|
||||
<td width="20%" align="center">137/144 (96%)</td>
|
||||
<td width="20%" align="center">381/720 (53%)</td>
|
||||
<td width="20%" align="center">113/144 (79%)</td>
|
||||
<td width="20%" align="center">132/144 (92%)</td>
|
||||
<td width="20%" align="center">373/720 (52%)</td>
|
||||
<td width="20%" align="center">108/144 (75%)</td>
|
||||
<td width="20%" align="center">73/81 (91%)</td>
|
||||
<td width="20%" align="center">265/432 (62%)</td>
|
||||
<td width="20%" align="center">247/432 (58%)</td>
|
||||
</tr>
|
||||
</table></span><br><span id="pinres" class="pgRef"><h5 align="center">PIN RESOURCES</h5>
|
||||
<table align="center" width="90%" border="0" cellspacing="0" cellpadding="0"><tr>
|
||||
@ -133,7 +133,7 @@
|
||||
<table align="center" width="90%" border="1" cellspacing="0" cellpadding="0">
|
||||
<tr>
|
||||
<td width="50%"> Macrocells in high performance mode (MCHP)</td>
|
||||
<td width="50%"> 137</td>
|
||||
<td width="50%"> 132</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td width="50%"> Macrocells in low power mode (MCLP)</td>
|
||||
@ -141,7 +141,7 @@
|
||||
</tr>
|
||||
<tr>
|
||||
<td width="50%"> Total macrocells used (MC)</td>
|
||||
<td width="50%"> 137</td>
|
||||
<td width="50%"> 132</td>
|
||||
</tr>
|
||||
</table></span><form><span class="pgRef"><table width="90%" align="center"><tr>
|
||||
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
|
||||
|
File diff suppressed because it is too large
Load Diff
@ -5,7 +5,7 @@
|
||||
The structure and the elements are likely to change over the next few releases.
|
||||
This means code written to parse this file will need to be revisited each subsequent release.-->
|
||||
|
||||
<application stringID="NgdBuild" timeStamp="Sat Oct 12 02:18:34 2024">
|
||||
<application stringID="NgdBuild" timeStamp="Mon Oct 14 00:50:25 2024">
|
||||
<section stringID="User_Env">
|
||||
<table stringID="User_EnvVar">
|
||||
<column stringID="variable"/>
|
||||
@ -66,34 +66,34 @@
|
||||
<item dataType="int" stringID="NGDBUILD_NUM_INFOS" value="0"/>
|
||||
</section>
|
||||
<section stringID="NGDBUILD_PRE_UNISIM_SUMMARY">
|
||||
<item dataType="int" stringID="NGDBUILD_NUM_AND2" value="209"/>
|
||||
<item dataType="int" stringID="NGDBUILD_NUM_AND2" value="211"/>
|
||||
<item dataType="int" stringID="NGDBUILD_NUM_AND3" value="26"/>
|
||||
<item dataType="int" stringID="NGDBUILD_NUM_AND4" value="13"/>
|
||||
<item dataType="int" stringID="NGDBUILD_NUM_AND5" value="3"/>
|
||||
<item dataType="int" stringID="NGDBUILD_NUM_FD" value="61"/>
|
||||
<item dataType="int" stringID="NGDBUILD_NUM_FDCE" value="47"/>
|
||||
<item dataType="int" stringID="NGDBUILD_NUM_FD" value="66"/>
|
||||
<item dataType="int" stringID="NGDBUILD_NUM_FDCE" value="37"/>
|
||||
<item dataType="int" stringID="NGDBUILD_NUM_FDCP" value="1"/>
|
||||
<item dataType="int" stringID="NGDBUILD_NUM_FDP" value="4"/>
|
||||
<item dataType="int" stringID="NGDBUILD_NUM_GND" value="7"/>
|
||||
<item dataType="int" stringID="NGDBUILD_NUM_GND" value="6"/>
|
||||
<item dataType="int" stringID="NGDBUILD_NUM_IBUF" value="35"/>
|
||||
<item dataType="int" stringID="NGDBUILD_NUM_INV" value="278"/>
|
||||
<item dataType="int" stringID="NGDBUILD_NUM_INV" value="276"/>
|
||||
<item dataType="int" stringID="NGDBUILD_NUM_OBUF" value="31"/>
|
||||
<item dataType="int" stringID="NGDBUILD_NUM_OR2" value="116"/>
|
||||
<item dataType="int" stringID="NGDBUILD_NUM_OR2" value="108"/>
|
||||
<item dataType="int" stringID="NGDBUILD_NUM_OR3" value="10"/>
|
||||
<item dataType="int" stringID="NGDBUILD_NUM_OR4" value="4"/>
|
||||
<item dataType="int" stringID="NGDBUILD_NUM_OR5" value="1"/>
|
||||
<item dataType="int" stringID="NGDBUILD_NUM_XOR2" value="21"/>
|
||||
</section>
|
||||
<section stringID="NGDBUILD_POST_UNISIM_SUMMARY">
|
||||
<item dataType="int" stringID="NGDBUILD_NUM_AND2" value="209"/>
|
||||
<item dataType="int" stringID="NGDBUILD_NUM_AND2" value="211"/>
|
||||
<item dataType="int" stringID="NGDBUILD_NUM_AND3" value="26"/>
|
||||
<item dataType="int" stringID="NGDBUILD_NUM_AND4" value="13"/>
|
||||
<item dataType="int" stringID="NGDBUILD_NUM_AND5" value="3"/>
|
||||
<item dataType="int" stringID="NGDBUILD_NUM_GND" value="72"/>
|
||||
<item dataType="int" stringID="NGDBUILD_NUM_GND" value="76"/>
|
||||
<item dataType="int" stringID="NGDBUILD_NUM_IBUF" value="43"/>
|
||||
<item dataType="int" stringID="NGDBUILD_NUM_INV" value="278"/>
|
||||
<item dataType="int" stringID="NGDBUILD_NUM_INV" value="276"/>
|
||||
<item dataType="int" stringID="NGDBUILD_NUM_OBUF" value="31"/>
|
||||
<item dataType="int" stringID="NGDBUILD_NUM_OR2" value="116"/>
|
||||
<item dataType="int" stringID="NGDBUILD_NUM_OR2" value="108"/>
|
||||
<item dataType="int" stringID="NGDBUILD_NUM_OR3" value="10"/>
|
||||
<item dataType="int" stringID="NGDBUILD_NUM_OR4" value="4"/>
|
||||
<item dataType="int" stringID="NGDBUILD_NUM_OR5" value="1"/>
|
||||
|
@ -1,7 +1,7 @@
|
||||
Release 8.1i - Fit P.20131013
|
||||
Copyright(c) 1995-2003 Xilinx Inc. All rights reserved
|
||||
|
||||
10-12-2024 2:18AM
|
||||
10-14-2024 0:50AM
|
||||
|
||||
NOTE: This file is designed to be imported into a spreadsheet program
|
||||
such as Microsoft Excel for viewing, printing and sorting. The comma ','
|
||||
|
|
@ -2,7 +2,7 @@
|
||||
<BODY TEXT='#000000' BGCOLOR='#FFFFFF' LINK='#0000EE' VLINK='#551A8B' ALINK='#FF0000'>
|
||||
<TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
|
||||
<TR ALIGN=CENTER BGCOLOR='#99CCFF'>
|
||||
<TD ALIGN=CENTER COLSPAN='4'><B>WarpSE Project Status (10/12/2024 - 02:19:07)</B></TD></TR>
|
||||
<TD ALIGN=CENTER COLSPAN='4'><B>WarpSE Project Status (10/14/2024 - 00:52:14)</B></TD></TR>
|
||||
<TR ALIGN=LEFT>
|
||||
<TD BGCOLOR='#FFFF99'><B>Project File:</B></TD>
|
||||
<TD>WarpSE.xise</TD>
|
||||
@ -25,7 +25,7 @@ No Errors</TD>
|
||||
<TR ALIGN=LEFT>
|
||||
<TD BGCOLOR='#FFFF99'><B>Product Version:</B></TD><TD>ISE 14.7</TD>
|
||||
<TD BGCOLOR='#FFFF99'><UL><LI><B>Warnings:</B></LI></UL></TD>
|
||||
<TD ALIGN=LEFT><A HREF_DISABLED='C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL\_xmsgs/*.xmsgs?&DataKey=Warning'>3 Warnings (0 new)</A></TD>
|
||||
<TD ALIGN=LEFT><A HREF_DISABLED='C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL\_xmsgs/*.xmsgs?&DataKey=Warning'>21 Warnings (0 new)</A></TD>
|
||||
</TR>
|
||||
<TR ALIGN=LEFT>
|
||||
<TD BGCOLOR='#FFFF99'><B>Design Goal:</B></dif></TD>
|
||||
@ -65,9 +65,9 @@ System Settings</A>
|
||||
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD ALIGN=CENTER COLSPAN='6'><B>Detailed Reports</B></TD><TD ALIGN=RIGHT WIDTH='10%'COLSPAN=1> <A HREF_DISABLED="?&ExpandedTable=DetailedReports"><B>[-]</B></a></TD></TR>
|
||||
<TR BGCOLOR='#FFFF99'><TD><B>Report Name</B></TD><TD><B>Status</B></TD><TD><B>Generated</B></TD>
|
||||
<TD ALIGN=LEFT><B>Errors</B></TD><TD ALIGN=LEFT><B>Warnings</B></TD><TD ALIGN=LEFT COLSPAN='2'><B>Infos</B></TD></TR>
|
||||
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL\WarpSE.syr'>Synthesis Report</A></TD><TD>Current</TD><TD>Sat Oct 12 02:18:28 2024</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT><A HREF_DISABLED='C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL\_xmsgs/xst.xmsgs?&DataKey=Warning'>3 Warnings (0 new)</A></TD><TD ALIGN=LEFT COLSPAN='2'>0</TD></TR>
|
||||
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL\WarpSE.bld'>Translation Report</A></TD><TD>Current</TD><TD>Sat Oct 12 02:18:34 2024</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT COLSPAN='2'>0</TD></TR>
|
||||
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL\WarpSE.rpt'>CPLD Fitter Report (Text)</A></TD><TD>Current</TD><TD>Sat Oct 12 02:18:48 2024</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT><A HREF_DISABLED='C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL\_xmsgs/cpldfit.xmsgs?&DataKey=Warning'>8 Warnings (1 new)</A></TD><TD ALIGN=LEFT COLSPAN='2'><A HREF_DISABLED='C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL\_xmsgs/cpldfit.xmsgs?&DataKey=Info'>3 Infos (3 new)</A></TD></TR>
|
||||
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL\WarpSE.syr'>Synthesis Report</A></TD><TD>Current</TD><TD>Mon Oct 14 00:50:20 2024</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT><A HREF_DISABLED='C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL\_xmsgs/xst.xmsgs?&DataKey=Warning'>21 Warnings (0 new)</A></TD><TD ALIGN=LEFT COLSPAN='2'>0</TD></TR>
|
||||
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL\WarpSE.bld'>Translation Report</A></TD><TD>Current</TD><TD>Mon Oct 14 00:50:25 2024</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT COLSPAN='2'>0</TD></TR>
|
||||
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL\WarpSE.rpt'>CPLD Fitter Report (Text)</A></TD><TD>Current</TD><TD>Mon Oct 14 00:50:40 2024</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT><A HREF_DISABLED='C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL\_xmsgs/cpldfit.xmsgs?&DataKey=Warning'>8 Warnings (8 new)</A></TD><TD ALIGN=LEFT COLSPAN='2'><A HREF_DISABLED='C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL\_xmsgs/cpldfit.xmsgs?&DataKey=Info'>3 Infos (3 new)</A></TD></TR>
|
||||
<TR ALIGN=LEFT><TD>Power Report</TD><TD> </TD><TD> </TD><TD> </TD><TD> </TD><TD COLSPAN='2'> </TD></TR>
|
||||
</TABLE>
|
||||
<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
|
||||
@ -77,5 +77,5 @@ System Settings</A>
|
||||
</TABLE>
|
||||
|
||||
|
||||
<br><center><b>Date Generated:</b> 10/12/2024 - 02:19:07</center>
|
||||
<br><center><b>Date Generated:</b> 10/14/2024 - 00:52:14</center>
|
||||
</BODY></HTML>
|
@ -5,7 +5,7 @@
|
||||
The structure and the elements are likely to change over the next few releases.
|
||||
This means code written to parse this file will need to be revisited each subsequent release.-->
|
||||
|
||||
<application stringID="Xst" timeStamp="Sat Oct 12 02:18:23 2024">
|
||||
<application stringID="Xst" timeStamp="Mon Oct 14 00:50:15 2024">
|
||||
<section stringID="User_Env">
|
||||
<table stringID="User_EnvVar">
|
||||
<column stringID="variable"/>
|
||||
@ -76,15 +76,17 @@
|
||||
</section>
|
||||
<section stringID="XST_HDL_SYNTHESIS_REPORT">
|
||||
<item dataType="int" stringID="XST_ROMS" value="1"></item>
|
||||
<item dataType="int" stringID="XST_COUNTERS" value="4">
|
||||
<item dataType="int" stringID="XST_4BIT_DOWN_COUNTER" value="1"/>
|
||||
<item dataType="int" stringID="XST_ADDERSSUBTRACTORS" value="1">
|
||||
<item dataType="int" stringID="XST_4BIT_SUBTRACTOR" value="1"/>
|
||||
</item>
|
||||
<item dataType="int" stringID="XST_COUNTERS" value="3">
|
||||
<item dataType="int" stringID="XST_4BIT_UP_COUNTER" value="2"/>
|
||||
</item>
|
||||
<item dataType="int" stringID="XST_REGISTERS" value="70">
|
||||
<item dataType="int" stringID="XST_1BIT_REGISTER" value="65"/>
|
||||
<item dataType="int" stringID="XST_REGISTERS" value="72">
|
||||
<item dataType="int" stringID="XST_1BIT_REGISTER" value="68"/>
|
||||
<item dataType="int" stringID="XST_2BIT_REGISTER" value="2"/>
|
||||
<item dataType="int" stringID="XST_3BIT_REGISTER" value="1"/>
|
||||
<item dataType="int" stringID="XST_4BIT_REGISTER" value="2"/>
|
||||
<item dataType="int" stringID="XST_4BIT_REGISTER" value="1"/>
|
||||
</item>
|
||||
<item dataType="int" stringID="XST_TRISTATES" value="7">
|
||||
<item dataType="int" stringID="XST_1BIT_TRISTATE_BUFFER" value="7"/>
|
||||
@ -93,12 +95,14 @@
|
||||
<section stringID="XST_ADVANCED_HDL_SYNTHESIS_REPORT">
|
||||
<item dataType="int" stringID="XST_FSMS" value="2"/>
|
||||
<item dataType="int" stringID="XST_ROMS" value="1"></item>
|
||||
<item dataType="int" stringID="XST_COUNTERS" value="4">
|
||||
<item dataType="int" stringID="XST_4BIT_DOWN_COUNTER" value="1"/>
|
||||
<item dataType="int" stringID="XST_ADDERSSUBTRACTORS" value="1">
|
||||
<item dataType="int" stringID="XST_4BIT_SUBTRACTOR" value="1"/>
|
||||
</item>
|
||||
<item dataType="int" stringID="XST_COUNTERS" value="3">
|
||||
<item dataType="int" stringID="XST_4BIT_UP_COUNTER" value="2"/>
|
||||
</item>
|
||||
<item dataType="int" stringID="XST_REGISTERS" value="46">
|
||||
<item dataType="int" stringID="XST_FLIPFLOPS" value="46"/>
|
||||
<item dataType="int" stringID="XST_REGISTERS" value="51">
|
||||
<item dataType="int" stringID="XST_FLIPFLOPS" value="51"/>
|
||||
</item>
|
||||
</section>
|
||||
<section stringID="XST_PARTITION_REPORT">
|
||||
@ -118,18 +122,18 @@
|
||||
<item stringID="XST_IOS" value="80"/>
|
||||
</section>
|
||||
<section stringID="XST_CELL_USAGE">
|
||||
<item dataType="int" stringID="XST_BELS" value="691">
|
||||
<item dataType="int" stringID="XST_AND2" value="209"/>
|
||||
<item dataType="int" stringID="XST_BELS" value="683">
|
||||
<item dataType="int" stringID="XST_AND2" value="211"/>
|
||||
<item dataType="int" stringID="XST_AND3" value="26"/>
|
||||
<item dataType="int" stringID="XST_AND4" value="13"/>
|
||||
<item dataType="int" stringID="XST_GND" value="7"/>
|
||||
<item dataType="int" stringID="XST_INV" value="278"/>
|
||||
<item dataType="int" stringID="XST_OR2" value="116"/>
|
||||
<item dataType="int" stringID="XST_GND" value="6"/>
|
||||
<item dataType="int" stringID="XST_INV" value="276"/>
|
||||
<item dataType="int" stringID="XST_OR2" value="108"/>
|
||||
<item dataType="int" stringID="XST_XOR2" value="21"/>
|
||||
</item>
|
||||
<item dataType="int" stringID="XST_FLIPFLOPSLATCHES" value="113">
|
||||
<item dataType="int" stringID="XST_FD" value="61"/>
|
||||
<item dataType="int" stringID="XST_FDCE" value="47"/>
|
||||
<item dataType="int" stringID="XST_FLIPFLOPSLATCHES" value="108">
|
||||
<item dataType="int" stringID="XST_FD" value="66"/>
|
||||
<item dataType="int" stringID="XST_FDCE" value="37"/>
|
||||
<item dataType="int" stringID="XST_FDP" value="4"/>
|
||||
</item>
|
||||
<item dataType="int" stringID="XST_IO_BUFFERS" value="73">
|
||||
@ -140,7 +144,7 @@
|
||||
</section>
|
||||
<section stringID="XST_ERRORS_STATISTICS">
|
||||
<item dataType="int" filtered="0" stringID="XST_NUMBER_OF_ERRORS" value="0"/>
|
||||
<item dataType="int" filtered="0" stringID="XST_NUMBER_OF_WARNINGS" value="3"/>
|
||||
<item dataType="int" filtered="0" stringID="XST_NUMBER_OF_WARNINGS" value="21"/>
|
||||
<item dataType="int" filtered="0" stringID="XST_NUMBER_OF_INFOS" value="0"/>
|
||||
</section>
|
||||
</application>
|
||||
|
@ -1,6 +1,6 @@
|
||||
iMPACT Version: Oct 13 2013 10:22:21
|
||||
|
||||
iMPACT log file Started on Sat Oct 12 01:57:52 2024
|
||||
iMPACT log file Started on Mon Oct 14 00:48:06 2024
|
||||
|
||||
Preference Table
|
||||
Name Setting
|
||||
@ -34,7 +34,16 @@ INFO:iMPACT - Digilent Plugin: Product ID: 31000154
|
||||
INFO:iMPACT - Digilent Plugin: Firmware Version: 0108
|
||||
INFO:iMPACT - Digilent Plugin: JTAG Port Number: 0
|
||||
INFO:iMPACT - Digilent Plugin: JTAG Clock Frequency: 10000000 Hz
|
||||
INFO:iMPACT:583 - '1': The idcode read from the device does not match the idcode in the bsdl File.
|
||||
INFO:iMPACT:1578 - '1': Device IDCODE : 00001111111111111111111111111111
|
||||
INFO:iMPACT:1579 - '1': Expected IDCODE: 00001001011000001000000010010011
|
||||
Elapsed time = 0 sec.
|
||||
Maximum TCK operating frequency for this device chain: 10000000.
|
||||
Validating chain...
|
||||
Boundary-scan chain validated successfully.
|
||||
'1': Erasing device...
|
||||
'1': Erasure completed successfully.
|
||||
'1': Programming device...
|
||||
done.
|
||||
'1': Putting device in ISP mode...done.
|
||||
'1': Putting device in ISP mode...done.
|
||||
'1': Verifying device...'1': Verification failed'1': Verification terminated
|
||||
'1': Putting device in ISP mode...done.
|
||||
'1': Programming of user selected options failed.
|
||||
Elapsed time = 4 sec.
|
||||
|
@ -1,2 +1,2 @@
|
||||
C:\Users\GWolf\Documents\GitHub\WarpSE\cpld\XC95144XL\WarpSE.ngc 1728713908
|
||||
C:\Users\GWolf\Documents\GitHub\WarpSE\cpld\XC95144XL\WarpSE.ngc 1728881420
|
||||
OK
|
||||
|
@ -17,25 +17,25 @@
|
||||
<msg type="info" file="Cpld" num="0" delta="new" >Inferring BUFG constraint for signal '<arg fmt="%s" index="1">FCLK</arg>' based upon the LOC constraint '<arg fmt="%s" index="2">P27</arg>'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.
|
||||
</msg>
|
||||
|
||||
<msg type="warning" file="Cpld" num="1007" delta="old" >Removing unused input(s) '<arg fmt="%s" index="1">DBG<0></arg>'. The input(s) are unused after optimization. Please verify functionality via simulation.
|
||||
<msg type="warning" file="Cpld" num="1007" delta="new" >Removing unused input(s) '<arg fmt="%s" index="1">DBG<0></arg>'. The input(s) are unused after optimization. Please verify functionality via simulation.
|
||||
</msg>
|
||||
|
||||
<msg type="warning" file="Cpld" num="1007" delta="old" >Removing unused input(s) '<arg fmt="%s" index="1">DBG<1></arg>'. The input(s) are unused after optimization. Please verify functionality via simulation.
|
||||
<msg type="warning" file="Cpld" num="1007" delta="new" >Removing unused input(s) '<arg fmt="%s" index="1">DBG<1></arg>'. The input(s) are unused after optimization. Please verify functionality via simulation.
|
||||
</msg>
|
||||
|
||||
<msg type="warning" file="Cpld" num="1007" delta="old" >Removing unused input(s) '<arg fmt="%s" index="1">DBG<2></arg>'. The input(s) are unused after optimization. Please verify functionality via simulation.
|
||||
<msg type="warning" file="Cpld" num="1007" delta="new" >Removing unused input(s) '<arg fmt="%s" index="1">DBG<2></arg>'. The input(s) are unused after optimization. Please verify functionality via simulation.
|
||||
</msg>
|
||||
|
||||
<msg type="warning" file="Cpld" num="1007" delta="old" >Removing unused input(s) '<arg fmt="%s" index="1">DBG<3></arg>'. The input(s) are unused after optimization. Please verify functionality via simulation.
|
||||
<msg type="warning" file="Cpld" num="1007" delta="new" >Removing unused input(s) '<arg fmt="%s" index="1">DBG<3></arg>'. The input(s) are unused after optimization. Please verify functionality via simulation.
|
||||
</msg>
|
||||
|
||||
<msg type="warning" file="Cpld" num="1007" delta="old" >Removing unused input(s) '<arg fmt="%s" index="1">DBG<4></arg>'. The input(s) are unused after optimization. Please verify functionality via simulation.
|
||||
<msg type="warning" file="Cpld" num="1007" delta="new" >Removing unused input(s) '<arg fmt="%s" index="1">DBG<4></arg>'. The input(s) are unused after optimization. Please verify functionality via simulation.
|
||||
</msg>
|
||||
|
||||
<msg type="warning" file="Cpld" num="1007" delta="old" >Removing unused input(s) '<arg fmt="%s" index="1">DBG<5></arg>'. The input(s) are unused after optimization. Please verify functionality via simulation.
|
||||
<msg type="warning" file="Cpld" num="1007" delta="new" >Removing unused input(s) '<arg fmt="%s" index="1">DBG<5></arg>'. The input(s) are unused after optimization. Please verify functionality via simulation.
|
||||
</msg>
|
||||
|
||||
<msg type="warning" file="Cpld" num="1007" delta="old" >Removing unused input(s) '<arg fmt="%s" index="1">nBG_IOB</arg>'. The input(s) are unused after optimization. Please verify functionality via simulation.
|
||||
<msg type="warning" file="Cpld" num="1007" delta="new" >Removing unused input(s) '<arg fmt="%s" index="1">nBG_IOB</arg>'. The input(s) are unused after optimization. Please verify functionality via simulation.
|
||||
</msg>
|
||||
|
||||
</messages>
|
||||
|
@ -8,29 +8,5 @@
|
||||
<!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
|
||||
|
||||
<messages>
|
||||
<msg type="info" file="ProjectMgmt" num="1845" ><arg fmt="%s" index="1">Analyzing Verilog file "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/CNT.v" into library work</arg>
|
||||
</msg>
|
||||
|
||||
<msg type="info" file="ProjectMgmt" num="1845" ><arg fmt="%s" index="1">Analyzing Verilog file "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/CS.v" into library work</arg>
|
||||
</msg>
|
||||
|
||||
<msg type="info" file="ProjectMgmt" num="1845" ><arg fmt="%s" index="1">Analyzing Verilog file "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/FSB.v" into library work</arg>
|
||||
</msg>
|
||||
|
||||
<msg type="info" file="ProjectMgmt" num="1845" ><arg fmt="%s" index="1">Analyzing Verilog file "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/IOBM.v" into library work</arg>
|
||||
</msg>
|
||||
|
||||
<msg type="info" file="ProjectMgmt" num="1845" ><arg fmt="%s" index="1">Analyzing Verilog file "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/IOBS.v" into library work</arg>
|
||||
</msg>
|
||||
|
||||
<msg type="info" file="ProjectMgmt" num="1845" ><arg fmt="%s" index="1">Analyzing Verilog file "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/RAM.v" into library work</arg>
|
||||
</msg>
|
||||
|
||||
<msg type="info" file="ProjectMgmt" num="1845" ><arg fmt="%s" index="1">Analyzing Verilog file "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/SET.v" into library work</arg>
|
||||
</msg>
|
||||
|
||||
<msg type="info" file="ProjectMgmt" num="1845" ><arg fmt="%s" index="1">Analyzing Verilog file "C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/WarpSE.v" into library work</arg>
|
||||
</msg>
|
||||
|
||||
</messages>
|
||||
|
||||
|
@ -8,11 +8,65 @@
|
||||
<msg type="warning" file="Xst" num="646" delta="old" >Signal <<arg fmt="%s" index="1">IOS0</arg>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
|
||||
</msg>
|
||||
|
||||
<msg type="warning" file="Xst" num="647" delta="old" >Input <<arg fmt="%s" index="1">SlowSCC</arg>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
|
||||
</msg>
|
||||
|
||||
<msg type="warning" file="Xst" num="647" delta="old" >Input <<arg fmt="%s" index="1">SlowInterval</arg>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
|
||||
</msg>
|
||||
|
||||
<msg type="warning" file="Xst" num="647" delta="old" >Input <<arg fmt="%s" index="1">SlowIACK</arg>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
|
||||
</msg>
|
||||
|
||||
<msg type="warning" file="Xst" num="647" delta="old" >Input <<arg fmt="%s" index="1">SlowIWM</arg>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
|
||||
</msg>
|
||||
|
||||
<msg type="warning" file="Xst" num="647" delta="old" >Input <<arg fmt="%s" index="1">SlowSnd</arg>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
|
||||
</msg>
|
||||
|
||||
<msg type="warning" file="Xst" num="647" delta="old" >Input <<arg fmt="%s" index="1">SlowSCSI</arg>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
|
||||
</msg>
|
||||
|
||||
<msg type="warning" file="Xst" num="647" delta="old" >Input <<arg fmt="%s" index="1">SlowVIA</arg>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
|
||||
</msg>
|
||||
|
||||
<msg type="warning" file="Xst" num="647" delta="old" >Input <<arg fmt="%s" index="1">SlowClockGate</arg>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
|
||||
</msg>
|
||||
|
||||
<msg type="warning" file="Xst" num="647" delta="old" >Input <<arg fmt="%s" index="1">nBG_IOB</arg>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
|
||||
</msg>
|
||||
|
||||
<msg type="warning" file="Xst" num="647" delta="old" >Input <<arg fmt="%s" index="1">DBG</arg>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
|
||||
</msg>
|
||||
|
||||
<msg type="warning" file="Xst" num="646" delta="old" >Signal <<arg fmt="%s" index="1">nPOR</arg>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
|
||||
</msg>
|
||||
|
||||
<msg type="warning" file="Xst" num="653" delta="old" >Signal <<arg fmt="%s" index="1">SlowVIA</arg>> is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">0</arg>.
|
||||
</msg>
|
||||
|
||||
<msg type="warning" file="Xst" num="653" delta="old" >Signal <<arg fmt="%s" index="1">SlowSnd</arg>> is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">0</arg>.
|
||||
</msg>
|
||||
|
||||
<msg type="warning" file="Xst" num="653" delta="old" >Signal <<arg fmt="%s" index="1">SlowSCSI</arg>> is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">0</arg>.
|
||||
</msg>
|
||||
|
||||
<msg type="warning" file="Xst" num="653" delta="old" >Signal <<arg fmt="%s" index="1">SlowSCC</arg>> is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">0</arg>.
|
||||
</msg>
|
||||
|
||||
<msg type="warning" file="Xst" num="653" delta="old" >Signal <<arg fmt="%s" index="1">SlowInterval</arg>> is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">0000</arg>.
|
||||
</msg>
|
||||
|
||||
<msg type="warning" file="Xst" num="653" delta="old" >Signal <<arg fmt="%s" index="1">SlowIWM</arg>> is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">0</arg>.
|
||||
</msg>
|
||||
|
||||
<msg type="warning" file="Xst" num="653" delta="old" >Signal <<arg fmt="%s" index="1">SlowIACK</arg>> is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">0</arg>.
|
||||
</msg>
|
||||
|
||||
<msg type="warning" file="Xst" num="653" delta="old" >Signal <<arg fmt="%s" index="1">SlowClockGate</arg>> is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">0</arg>.
|
||||
</msg>
|
||||
|
||||
<msg type="warning" file="Xst" num="646" delta="old" >Signal <<arg fmt="%s" index="1">SetCSWR</arg>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
|
||||
</msg>
|
||||
|
||||
</messages>
|
||||
|
||||
|
@ -23,13 +23,13 @@
|
||||
<ClosedNode>Design Utilities</ClosedNode>
|
||||
</ClosedNodes>
|
||||
<SelectedItems>
|
||||
<SelectedItem/>
|
||||
<SelectedItem></SelectedItem>
|
||||
</SelectedItems>
|
||||
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
|
||||
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
|
||||
<ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000000000000000000f8000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f80000000100000000</ViewHeaderState>
|
||||
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
|
||||
<CurrentItem/>
|
||||
<CurrentItem></CurrentItem>
|
||||
</ItemView>
|
||||
<ItemView guiview="File" >
|
||||
<ClosedNodes>
|
||||
@ -63,13 +63,13 @@
|
||||
<ClosedNode>User Constraints</ClosedNode>
|
||||
</ClosedNodes>
|
||||
<SelectedItems>
|
||||
<SelectedItem>Generate Programming File</SelectedItem>
|
||||
<SelectedItem>Manage Configuration Project (iMPACT)</SelectedItem>
|
||||
</SelectedItems>
|
||||
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
|
||||
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
|
||||
<ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000000000000000000e7000000010000000100000000000000000000000064ffffffff000000810000000000000001000000e70000000100000000</ViewHeaderState>
|
||||
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
|
||||
<CurrentItem>Generate Programming File</CurrentItem>
|
||||
<CurrentItem>Manage Configuration Project (iMPACT)</CurrentItem>
|
||||
</ItemView>
|
||||
<SourceProcessView>000000ff00000000000000020000011b0000011b01000000050100000002</SourceProcessView>
|
||||
<CurrentView>Implementation</CurrentView>
|
||||
@ -79,12 +79,12 @@
|
||||
<ClosedNode>User Constraints</ClosedNode>
|
||||
</ClosedNodes>
|
||||
<SelectedItems>
|
||||
<SelectedItem/>
|
||||
<SelectedItem></SelectedItem>
|
||||
</SelectedItems>
|
||||
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
|
||||
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
|
||||
<ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000000000000000000f8000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f80000000100000000</ViewHeaderState>
|
||||
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
|
||||
<CurrentItem/>
|
||||
<CurrentItem></CurrentItem>
|
||||
</ItemView>
|
||||
</Project>
|
||||
|
@ -1,9 +1,9 @@
|
||||
<?xml version='1.0' encoding='UTF-8'?>
|
||||
<report-views version="2.0" >
|
||||
<header>
|
||||
<DateModified>2024-10-12T02:18:21</DateModified>
|
||||
<DateModified>2024-10-14T00:50:11</DateModified>
|
||||
<ModuleName>WarpSE</ModuleName>
|
||||
<SummaryTimeStamp>2024-10-09T06:57:43</SummaryTimeStamp>
|
||||
<SummaryTimeStamp>2024-10-14T00:50:00</SummaryTimeStamp>
|
||||
<SavedFilePath>C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL/iseconfig/WarpSE.xreport</SavedFilePath>
|
||||
<ImplementationReportsDirectory>C:/Users/GWolf/Documents/GitHub/WarpSE/cpld/XC95144XL\</ImplementationReportsDirectory>
|
||||
<DateInitialized>2024-09-26T06:18:50</DateInitialized>
|
||||
|
@ -17,7 +17,7 @@
|
||||
</TR>
|
||||
<TR ALIGN=LEFT>
|
||||
<TD BGCOLOR='#FFFF99'><B>Project ID (random number)</B></TD>
|
||||
<TD><xtag-property name="RandomID">b3a7c111c3094ca7bbfba225dd37199f</xtag-property>.<xtag-property name="ProjectID">0040b871c13841928b88c6b3049d0f10</xtag-property>.<xtag-property name="ProjectIteration">1</xtag-property></TD>
|
||||
<TD><xtag-property name="RandomID">b3a7c111c3094ca7bbfba225dd37199f</xtag-property>.<xtag-property name="ProjectID">652813a7f8294c5392491199014270cf</xtag-property>.<xtag-property name="ProjectIteration">1</xtag-property></TD>
|
||||
<TD BGCOLOR='#FFFF99'><B>Target Package:</B></TD>
|
||||
<TD><xtag-property name="TargetPackage"></xtag-property></TD>
|
||||
</TR>
|
||||
@ -29,7 +29,7 @@
|
||||
</TR>
|
||||
<TR ALIGN=LEFT>
|
||||
<TD BGCOLOR='#FFFF99'><B>Date Generated</B></TD>
|
||||
<TD><xtag-property name="Date Generated">2024-10-12T02:17:12</xtag-property></TD>
|
||||
<TD><xtag-property name="Date Generated">2024-10-14T00:52:57</xtag-property></TD>
|
||||
<TD BGCOLOR='#FFFF99'><B>Tool Flow</B></TD>
|
||||
<TD><xtag-property name="ToolFlow">IMPACT</xtag-property></TD>
|
||||
</TR>
|
||||
|
@ -3,7 +3,7 @@ Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved.
|
||||
|
||||
Project Information
|
||||
--------------------
|
||||
ProjectID=0040b871c13841928b88c6b3049d0f10
|
||||
ProjectID=652813a7f8294c5392491199014270cf
|
||||
ProjectIteration=1
|
||||
|
||||
WebTalk Summary
|
||||
|
@ -3,9 +3,9 @@
|
||||
<!--The data in this file is primarily intended for consumption by Xilinx tools.
|
||||
The structure and the elements are likely to change over the next few releases.
|
||||
This means code written to parse this file will need to be revisited each subsequent release.-->
|
||||
<application name="impact" timeStamp="Sat Oct 12 02:17:11 2024">
|
||||
<application name="impact" timeStamp="Mon Oct 14 00:52:57 2024">
|
||||
<section name="Project Information" visible="false">
|
||||
<property name="ProjectID" value="0040b871c13841928b88c6b3049d0f10"/>
|
||||
<property name="ProjectID" value="652813a7f8294c5392491199014270cf"/>
|
||||
<property name="ProjectIteration" value="1"/>
|
||||
</section>
|
||||
<section name="iMPACT Project Info" visible="true">
|
||||
|
@ -3,7 +3,7 @@
|
||||
<!--The data in this file is primarily intended for consumption by Xilinx tools.
|
||||
The structure and the elements are likely to change over the next few releases.
|
||||
This means code written to parse this file will need to be revisited each subsequent release.-->
|
||||
<application name="pn" timeStamp="Sat Oct 12 02:18:22 2024">
|
||||
<application name="pn" timeStamp="Mon Oct 14 00:50:14 2024">
|
||||
<section name="Project Information" visible="false">
|
||||
<property name="ProjectID" value="B70E14F6F6B943E9BF9FD5113EA04D70" type="project"/>
|
||||
<property name="ProjectIteration" value="0" type="project"/>
|
||||
@ -37,7 +37,7 @@ This means code written to parse this file will need to be revisited each subseq
|
||||
<property name="PROP_DevSpeed" value="-10" type="design"/>
|
||||
<property name="PROP_PreferredLanguage" value="Verilog" type="design"/>
|
||||
<property name="FILE_UCF" value="1" type="source"/>
|
||||
<property name="FILE_VERILOG" value="8" type="source"/>
|
||||
<property name="FILE_VERILOG" value="7" type="source"/>
|
||||
</section>
|
||||
</application>
|
||||
</document>
|
||||
|
Binary file not shown.
@ -1,8 +1,8 @@
|
||||
MO CNT NULL ../CNT.v vlg65/_c_n_t.bin 1728713903
|
||||
MO CS NULL ../CS.v vlg22/_c_s.bin 1728713903
|
||||
MO FSB NULL ../FSB.v vlg37/_f_s_b.bin 1728713903
|
||||
MO IOBM NULL ../IOBM.v vlg73/_i_o_b_m.bin 1728713903
|
||||
MO WarpSE NULL ../WarpSE.v vlg52/_warp_s_e.bin 1728713903
|
||||
MO IOBS NULL ../IOBS.v vlg79/_i_o_b_s.bin 1728713903
|
||||
MO RAM NULL ../RAM.v vlg14/_r_a_m.bin 1728713903
|
||||
MO SET NULL ../SET.v vlg48/_s_e_t.bin 1728713903
|
||||
MO CNT NULL ../CNT.v vlg65/_c_n_t.bin 1728881415
|
||||
MO CS NULL ../CS.v vlg22/_c_s.bin 1728881415
|
||||
MO FSB NULL ../FSB.v vlg37/_f_s_b.bin 1728881415
|
||||
MO IOBM NULL ../IOBM.v vlg73/_i_o_b_m.bin 1728881415
|
||||
MO WarpSE NULL ../WarpSE.v vlg52/_warp_s_e.bin 1728881415
|
||||
MO IOBS NULL ../IOBS.v vlg79/_i_o_b_s.bin 1728881415
|
||||
MO RAM NULL ../RAM.v vlg14/_r_a_m.bin 1728881415
|
||||
MO SET NULL ../SET.v vlg48/_s_e_t.bin 1728880919
|
||||
|
Binary file not shown.
Binary file not shown.
Binary file not shown.
Binary file not shown.
Binary file not shown.
Binary file not shown.
Binary file not shown.
Binary file not shown.
Loading…
x
Reference in New Issue
Block a user