This commit is contained in:
Zane Kaminski 2023-04-01 08:20:02 -04:00
parent 1f60dbe361
commit 5108efc16a
51 changed files with 11119 additions and 8366 deletions

View File

@ -18,7 +18,6 @@ Checking Constraint Associations...
Done...
Checking expanded design ...
WARNING:NgdBuild:452 - logical net 'RAM_Ready' has no driver
Partition Implementation Status
-------------------------------
@ -29,9 +28,9 @@ Partition Implementation Status
NGDBUILD Design Results Summary:
Number of errors: 0
Number of warnings: 1
Number of warnings: 0
Total memory usage is 154596 kilobytes
Total memory usage is 151012 kilobytes
Writing NGD file "WarpSE.ngd" ...
Total REAL time to NGDBUILD completion: 3 sec

View File

@ -267,3 +267,33 @@ cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt
XSLTProcess WarpSE_build.xml
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
XSLTProcess WarpSE_build.xml
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
XSLTProcess WarpSE_build.xml
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
XSLTProcess WarpSE_build.xml
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
XSLTProcess WarpSE_build.xml
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE
xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr"
ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd
cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd
XSLTProcess WarpSE_build.xml
tsim -intstyle ise WarpSE WarpSE.nga
hprep6 -s IEEE1149 -n WarpSE -i WarpSE

View File

@ -67,7 +67,7 @@
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1680338718" xil_pn:in_ck="5474524715461797957" xil_pn:name="TRANEXT_xstsynthesize_xc9500xl" xil_pn:prop_ck="-827049739915084467" xil_pn:start_ts="1680338711">
<transform xil_pn:end_ts="1680339712" xil_pn:in_ck="5474524715461797957" xil_pn:name="TRANEXT_xstsynthesize_xc9500xl" xil_pn:prop_ck="-827049739915084467" xil_pn:start_ts="1680339704">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="WarningsGenerated"/>
<status xil_pn:value="ReadyToRun"/>
@ -87,7 +87,7 @@
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1680338724" xil_pn:in_ck="814020912342028692" xil_pn:name="TRAN_ngdbuild" xil_pn:prop_ck="1893441463969615248" xil_pn:start_ts="1680338718">
<transform xil_pn:end_ts="1680339717" xil_pn:in_ck="814020912342028692" xil_pn:name="TRAN_ngdbuild" xil_pn:prop_ck="1893441463969615248" xil_pn:start_ts="1680339712">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="WarningsGenerated"/>
<status xil_pn:value="ReadyToRun"/>
@ -97,7 +97,7 @@
<outfile xil_pn:name="_ngo"/>
<outfile xil_pn:name="_xmsgs/ngdbuild.xmsgs"/>
</transform>
<transform xil_pn:end_ts="1680338741" xil_pn:in_ck="4179227257693753" xil_pn:name="TRANEXT_vm6File_xc9500xl" xil_pn:prop_ck="3294015560432670715" xil_pn:start_ts="1680338724">
<transform xil_pn:end_ts="1680339734" xil_pn:in_ck="4179227257693753" xil_pn:name="TRANEXT_vm6File_xc9500xl" xil_pn:prop_ck="3294015560432670715" xil_pn:start_ts="1680339717">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="WarningsGenerated"/>
<status xil_pn:value="ReadyToRun"/>
@ -115,7 +115,7 @@
<outfile xil_pn:name="WarpSE_html"/>
<outfile xil_pn:name="WarpSE_pad.csv"/>
</transform>
<transform xil_pn:end_ts="1680338743" xil_pn:in_ck="4179227257702617" xil_pn:name="TRANEXT_crtProg_xc9500" xil_pn:prop_ck="-6294026017969277533" xil_pn:start_ts="1680338741">
<transform xil_pn:end_ts="1680339736" xil_pn:in_ck="4179227257702617" xil_pn:name="TRANEXT_crtProg_xc9500" xil_pn:prop_ck="-6294026017969277533" xil_pn:start_ts="1680339734">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
<outfile xil_pn:name="WarpSE.jed"/>

View File

@ -36,7 +36,6 @@ nLDS_FSB S:PIN30
nUDS_FSB S:PIN33
nVPA_IOB S:PIN77
nWE_FSB S:PIN29
RA<8> S:PIN54
RA<11> S:PIN63
RA<10> S:PIN55
C25MEN S:PIN58
@ -50,6 +49,7 @@ RA<4> S:PIN40
RA<5> S:PIN42
RA<6> S:PIN46
RA<7> S:PIN52
RA<8> S:PIN54
RA<9> S:PIN56
nADoutLE0 S:PIN85
nADoutLE1 S:PIN82
@ -77,40 +77,40 @@ nVPA_FSB S:PIN93
;The remaining section of the .gyd file is for documentation purposes only.
;It shows where your internal equations were placed in the last successful fit.
PARTITION FB1_2 ram/RegUrgSync ram/RefReqSync iobs/IODTACKr iobm/VPAr
iobm/RESrf iobm/IOREQr iobm/Er2 iobm/Er
PARTITION FB1_1 ram/RefUrg ram/RefReqSync ram/RefReq ram/RefRAS
iobs/IODTACKr iobm/RESrf iobm/Er2 iobm/Er
iobm/DTACKrf iobm/BERRrf cnt/nIPL2r cnt/Er<0>
ALE0S iobm/IOS_FSM_FFd1 cnt/TimerTC RefReq
RefUrg
PARTITION FB3_1 fsb/VPA
PARTITION FB3_7 EXP10_ EXP11_ nDTACK_FSB_OBUF ram/BACTr
iobs/IOACTr fsb/ASrf cs/ODCSr $OpTx$$OpTx$FX_DC$48_INV$124
iobs/DTACKEN fsb/Ready1r nROMWE_OBUF EXP12_
PARTITION FB4_1 cnt/INITS_FSM_FFd1 nAoutOE_OBUF cnt/LTimer<9> cnt/LTimer<8>
nDoutOE_OBUF nDinOE_OBUF cnt/LTimer<7> N0
cnt/LTimer<6> cnt/LTimer<5> nVPA_FSB_OBUF cnt/LTimer<4>
cnt/LTimer<3> cnt/LTimer<2> cnt/LTimer<1> cnt/LTimer<11>
cnt/LTimer<10> cnt/INITS_FSM_FFd2
PARTITION FB5_1 iobs/Clear1 nROMCS_OBUF iobs/TS_FSM_FFd1 IOU0
nCAS_OBUF nOE_OBUF IOL0 iobs/Load1
RA_4_OBUF iobs/IORW1 RA_3_OBUF RA_5_OBUF
iobs/TS_FSM_FFd2 RA_2_OBUF RA_6_OBUF IOREQ
iobs/Sent IORW0
ram/RefDone iobs/TS_FSM_FFd1 iobs/IOU1 iobs/IOL1
iobm/IOS_FSM_FFd1 IOU0
PARTITION FB2_17 iobm/VPAr iobm/IOREQr
PARTITION FB3_1 fsb/VPA EXP10_ iobs/IOACTr iobs/Clear1
fsb/ASrf ALE0S iobs/Load1 $OpTx$$OpTx$FX_DC$47_INV$153
nDTACK_FSB_OBUF EXP11_ fsb/Ready0r cs/ODCSr
iobs/Sent EXP12_ EXP13_ fsb/Ready1r
nROMWE_OBUF ram/BACTr
PARTITION FB4_2 nAoutOE_OBUF
PARTITION FB4_4 ram/RegUrgSync nDoutOE_OBUF nDinOE_OBUF nRESout
N0 cnt/Er<1> cnt/TimerTC nVPA_FSB_OBUF
cnt/Timer<0> cnt/LTimerTC cnt/LTimer<12> RefReq
cnt/Timer<1> cnt/Timer<2> RefUrg
PARTITION FB5_1 IOL0 nROMCS_OBUF iobs/IORW1 EXP14_
nCAS_OBUF nOE_OBUF iobs/TS_FSM_FFd2 EXP15_
RA_4_OBUF iobs/DTACKEN RA_3_OBUF RA_5_OBUF
IOREQ RA_2_OBUF RA_6_OBUF EXP16_
IORW0 EXP17_
PARTITION FB6_1 iobm/ETACK nVMA_IOBout ALE0M iobm/IOS_FSM_FFd3
iobm/ES<3> iobm/ES<1> iobm/ES<0> iobm/DoutOE
nLDS_IOBout iobm/IOS_FSM_FFd2 nUDS_IOBout nAS_IOBout
iobm/ES<4> nADoutLE1_OBUF nADoutLE0_OBUF iobm/ES<2>
nDinLE_OBUF IOACT
PARTITION FB7_2 RA_1_OBUF
PARTITION FB7_5 RA_7_OBUF RA_0_OBUF cnt/LTimer<0> A_FSB_18_IBUF$BUF0
A_FSB_21_IBUF$BUF0 cnt/Er<1> RA_9_OBUF C25MEN_OBUF
cnt/Timer<0> C25MEN_OBUF$BUF0 cnt/LTimerTC cnt/LTimer<12>
cnt/Timer<1> cnt/Timer<2>
PARTITION FB8_1 ram/RefUrg A_FSB_19_IBUF$BUF0 ram/RefReq ram/RS_FSM_FFd1
nRAS_OBUF nRAMLWE_OBUF nRESout nRAMUWE_OBUF
ram/RefDone iobs/IOU1 iobs/IOL1 nBERR_FSB_OBUF
cs/nOverlay ram/RS_FSM_FFd3 nBR_IOB_OBUF ram/RS_FSM_FFd2
ram/RASEL ram/RAMEN
PARTITION FB7_1 cnt/LTimer<0> RA_1_OBUF cs/nOverlay cnt/LTimer<9>
RA_7_OBUF RA_0_OBUF cnt/LTimer<8> RA_8_OBUF
A_FSB_21_IBUF$BUF0 cnt/LTimer<7> RA_9_OBUF C25MEN_OBUF
cnt/LTimer<3> C25MEN_OBUF$BUF0 cnt/LTimer<2> cnt/LTimer<1>
cnt/LTimer<11> cnt/LTimer<10>
PARTITION FB8_1 EXP18_ A_FSB_19_IBUF$BUF0 ram/RAMEN ram/RAMReady
nRAS_OBUF nRAMLWE_OBUF ram/RS_FSM_FFd2 nRAMUWE_OBUF
ram/RS_FSM_FFd3 ram/RS_FSM_FFd1 cnt/INITS_FSM_FFd2 nBERR_FSB_OBUF
cnt/LTimer<4> cnt/LTimer<5> nBR_IOB_OBUF cnt/LTimer<6>
cnt/INITS_FSM_FFd1 ram/RASEL

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because one or more lines are too long

File diff suppressed because one or more lines are too long

File diff suppressed because one or more lines are too long

File diff suppressed because one or more lines are too long

View File

@ -1,7 +1,7 @@
Release 8.1i - Fit P.20131013
Copyright(c) 1995-2003 Xilinx Inc. All rights reserved
4- 1-2023 4:45AM
4- 1-2023 7:57AM
NOTE: This file is designed to be imported into a spreadsheet program
such as Microsoft Excel for viewing, printing and sorting. The pipe '|'

View File

@ -15,4 +15,4 @@
sr (SLOW|FAST|slow|fast) "SLOW"
dir (BIDIR|bidir|INPUT|input|OUTPUT|output) "BIDIR">
]>
<ibis><part arch="xc9500xl" device="XC95144XL" pkg="TQ100" spg="-10"/><pin dir="input" nm="A_FSB&lt;9&gt;" no="7"/><pin dir="input" nm="A_FSB&lt;8&gt;" no="6"/><pin dir="input" nm="A_FSB&lt;15&gt;" no="13"/><pin dir="input" nm="A_FSB&lt;14&gt;" no="12"/><pin dir="input" nm="A_FSB&lt;13&gt;" no="11"/><pin dir="input" nm="A_FSB&lt;12&gt;" no="10"/><pin dir="input" nm="A_FSB&lt;11&gt;" no="9"/><pin dir="input" nm="A_FSB&lt;10&gt;" no="8"/><pin dir="input" nm="A_FSB&lt;23&gt;" no="24"/><pin dir="input" nm="A_FSB&lt;22&gt;" no="20"/><pin dir="input" nm="A_FSB&lt;21&gt;" no="19"/><pin dir="input" nm="A_FSB&lt;20&gt;" no="18"/><pin dir="input" nm="A_FSB&lt;19&gt;" no="17"/><pin dir="input" nm="A_FSB&lt;18&gt;" no="16"/><pin dir="input" nm="A_FSB&lt;17&gt;" no="15"/><pin dir="input" nm="A_FSB&lt;16&gt;" no="14"/><pin dir="input" nm="C16M" no="22"/><pin dir="input" nm="FCLK" no="27"/><pin dir="input" nm="nAS_FSB" no="32"/><pin dir="input" nm="nWE_FSB" no="29"/><pin dir="input" nm="nLDS_FSB" no="30"/><pin dir="input" nm="nUDS_FSB" no="33"/><pin dir="input" nm="C8M" no="23"/><pin dir="input" nm="E" no="25"/><pin dir="input" nm="nIPL2" no="92"/><pin dir="input" nm="nBERR_IOB" no="76"/><pin dir="input" nm="nDTACK_IOB" no="78"/><pin dir="input" nm="nVPA_IOB" no="77"/><pin dir="input" nm="A_FSB&lt;1&gt;" no="94"/><pin dir="input" nm="A_FSB&lt;2&gt;" no="95"/><pin dir="input" nm="A_FSB&lt;3&gt;" no="96"/><pin dir="input" nm="A_FSB&lt;4&gt;" no="97"/><pin dir="input" nm="A_FSB&lt;5&gt;" no="2"/><pin dir="input" nm="A_FSB&lt;6&gt;" no="3"/><pin dir="input" nm="A_FSB&lt;7&gt;" no="4"/><pin dir="output" nm="nVMA_IOB" no="74" sr="fast"/><pin dir="output" nm="nAS_IOB" no="81" sr="fast"/><pin dir="output" nm="nLDS_IOB" no="79" sr="fast"/><pin dir="output" nm="nUDS_IOB" no="80" sr="fast"/><pin dir="output" nm="nDTACK_FSB" no="28" sr="fast"/><pin dir="output" nm="nBR_IOB" no="72" sr="fast"/><pin dir="output" nm="RA&lt;0&gt;" no="53" sr="fast"/><pin dir="output" nm="RA&lt;1&gt;" no="50" sr="fast"/><pin dir="output" nm="RA&lt;2&gt;" no="43" sr="fast"/><pin dir="output" nm="RA&lt;3&gt;" no="41" sr="fast"/><pin dir="output" nm="RA&lt;4&gt;" no="40" sr="fast"/><pin dir="output" nm="RA&lt;5&gt;" no="42" sr="fast"/><pin dir="output" nm="RA&lt;6&gt;" no="46" sr="fast"/><pin dir="output" nm="RA&lt;7&gt;" no="52" sr="fast"/><pin dir="output" nm="RA&lt;9&gt;" no="56" sr="fast"/><pin dir="output" nm="nBERR_FSB" no="70" sr="fast"/><pin dir="output" nm="nDoutOE" no="89" sr="fast"/><pin dir="output" nm="nOE" no="37" sr="fast"/><pin dir="output" nm="nROMWE" no="34" sr="fast"/><pin dir="output" nm="nVPA_FSB" no="93" sr="fast"/><pin dir="output" nm="nADoutLE0" no="85" sr="fast"/><pin dir="output" nm="nCAS" no="36" sr="fast"/><pin dir="output" nm="nDinLE" no="86" sr="fast"/><pin dir="output" nm="RA&lt;8&gt;" no="54" sr="fast"/><pin dir="output" nm="RA&lt;11&gt;" no="63" sr="fast"/><pin dir="output" nm="RA&lt;10&gt;" no="55" sr="fast"/><pin dir="output" nm="nADoutLE1" no="82" sr="fast"/><pin dir="output" nm="nAoutOE" no="87" sr="fast"/><pin dir="output" nm="nDinOE" no="90" sr="fast"/><pin dir="output" nm="nRAMLWE" no="65" sr="fast"/><pin dir="output" nm="nRAMUWE" no="66" sr="fast"/><pin dir="output" nm="nRAS" no="64" sr="fast"/><pin dir="output" nm="nROMCS" no="35" sr="fast"/><pin dir="output" nm="C25MEN" no="58" sr="fast"/><pin dir="output" nm="C20MEN" no="59" sr="fast"/><pin dir="bidir" nm="nRES" no="91" sr="fast"/></ibis>
<ibis><part arch="xc9500xl" device="XC95144XL" pkg="TQ100" spg="-10"/><pin dir="input" nm="A_FSB&lt;9&gt;" no="7"/><pin dir="input" nm="A_FSB&lt;8&gt;" no="6"/><pin dir="input" nm="A_FSB&lt;15&gt;" no="13"/><pin dir="input" nm="A_FSB&lt;14&gt;" no="12"/><pin dir="input" nm="A_FSB&lt;13&gt;" no="11"/><pin dir="input" nm="A_FSB&lt;12&gt;" no="10"/><pin dir="input" nm="A_FSB&lt;11&gt;" no="9"/><pin dir="input" nm="A_FSB&lt;10&gt;" no="8"/><pin dir="input" nm="A_FSB&lt;23&gt;" no="24"/><pin dir="input" nm="A_FSB&lt;22&gt;" no="20"/><pin dir="input" nm="A_FSB&lt;21&gt;" no="19"/><pin dir="input" nm="A_FSB&lt;20&gt;" no="18"/><pin dir="input" nm="A_FSB&lt;19&gt;" no="17"/><pin dir="input" nm="A_FSB&lt;18&gt;" no="16"/><pin dir="input" nm="A_FSB&lt;17&gt;" no="15"/><pin dir="input" nm="A_FSB&lt;16&gt;" no="14"/><pin dir="input" nm="C16M" no="22"/><pin dir="input" nm="nWE_FSB" no="29"/><pin dir="input" nm="FCLK" no="27"/><pin dir="input" nm="nAS_FSB" no="32"/><pin dir="input" nm="nLDS_FSB" no="30"/><pin dir="input" nm="nUDS_FSB" no="33"/><pin dir="input" nm="C8M" no="23"/><pin dir="input" nm="E" no="25"/><pin dir="input" nm="nIPL2" no="92"/><pin dir="input" nm="nBERR_IOB" no="76"/><pin dir="input" nm="nDTACK_IOB" no="78"/><pin dir="input" nm="nVPA_IOB" no="77"/><pin dir="input" nm="A_FSB&lt;1&gt;" no="94"/><pin dir="input" nm="A_FSB&lt;2&gt;" no="95"/><pin dir="input" nm="A_FSB&lt;3&gt;" no="96"/><pin dir="input" nm="A_FSB&lt;4&gt;" no="97"/><pin dir="input" nm="A_FSB&lt;5&gt;" no="2"/><pin dir="input" nm="A_FSB&lt;6&gt;" no="3"/><pin dir="input" nm="A_FSB&lt;7&gt;" no="4"/><pin dir="output" nm="nVMA_IOB" no="74" sr="fast"/><pin dir="output" nm="nAS_IOB" no="81" sr="fast"/><pin dir="output" nm="nLDS_IOB" no="79" sr="fast"/><pin dir="output" nm="nUDS_IOB" no="80" sr="fast"/><pin dir="output" nm="nDTACK_FSB" no="28" sr="fast"/><pin dir="output" nm="nBR_IOB" no="72" sr="fast"/><pin dir="output" nm="RA&lt;0&gt;" no="53" sr="fast"/><pin dir="output" nm="RA&lt;1&gt;" no="50" sr="fast"/><pin dir="output" nm="RA&lt;2&gt;" no="43" sr="fast"/><pin dir="output" nm="RA&lt;3&gt;" no="41" sr="fast"/><pin dir="output" nm="RA&lt;4&gt;" no="40" sr="fast"/><pin dir="output" nm="RA&lt;5&gt;" no="42" sr="fast"/><pin dir="output" nm="RA&lt;6&gt;" no="46" sr="fast"/><pin dir="output" nm="RA&lt;7&gt;" no="52" sr="fast"/><pin dir="output" nm="RA&lt;8&gt;" no="54" sr="fast"/><pin dir="output" nm="RA&lt;9&gt;" no="56" sr="fast"/><pin dir="output" nm="nBERR_FSB" no="70" sr="fast"/><pin dir="output" nm="nDoutOE" no="89" sr="fast"/><pin dir="output" nm="nOE" no="37" sr="fast"/><pin dir="output" nm="nROMWE" no="34" sr="fast"/><pin dir="output" nm="nVPA_FSB" no="93" sr="fast"/><pin dir="output" nm="nADoutLE0" no="85" sr="fast"/><pin dir="output" nm="nCAS" no="36" sr="fast"/><pin dir="output" nm="nDinLE" no="86" sr="fast"/><pin dir="output" nm="nRAS" no="64" sr="fast"/><pin dir="output" nm="RA&lt;11&gt;" no="63" sr="fast"/><pin dir="output" nm="RA&lt;10&gt;" no="55" sr="fast"/><pin dir="output" nm="nADoutLE1" no="82" sr="fast"/><pin dir="output" nm="nAoutOE" no="87" sr="fast"/><pin dir="output" nm="nDinOE" no="90" sr="fast"/><pin dir="output" nm="nRAMLWE" no="65" sr="fast"/><pin dir="output" nm="nRAMUWE" no="66" sr="fast"/><pin dir="output" nm="nROMCS" no="35" sr="fast"/><pin dir="output" nm="C25MEN" no="58" sr="fast"/><pin dir="output" nm="C20MEN" no="59" sr="fast"/><pin dir="bidir" nm="nRES" no="91" sr="fast"/></ibis>

File diff suppressed because it is too large Load Diff

View File

@ -4,13 +4,13 @@ Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.
Total REAL time to Xst completion: 0.00 secs
Total CPU time to Xst completion: 0.09 secs
Total CPU time to Xst completion: 0.11 secs
--> Parameter xsthdpdir set to xst
Total REAL time to Xst completion: 0.00 secs
Total CPU time to Xst completion: 0.09 secs
Total CPU time to Xst completion: 0.11 secs
--> Reading design: WarpSE.prj
@ -378,8 +378,6 @@ Optimizing FSM <ram/RS/FSM> on signal <RS[1:3]> with user encoding.
111 | 111
110 | 110
-------------------
WARNING:Xst:1898 - Due to constant pushing, FF/Latch <0> is unconnected in block <RAMReady>.
WARNING:Xst:1898 - Due to constant pushing, FF/Latch <0> is unconnected in block <0>.
=========================================================================
Advanced HDL Synthesis Report
@ -439,8 +437,6 @@ Optimizing unit <CNT> ...
implementation constraint: INIT=r : INITS_FSM_FFd2
implementation constraint: INIT=r : Timer_2
implementation constraint: INIT=r : INITS_FSM_FFd1
WARNING:Xst:1898 - Due to constant pushing, FF/Latch <RAMReady> is unconnected in block <ram>.
WARNING:Xst:2677 - Node <Ready0r> of sequential type is unconnected in block <fsb>.
=========================================================================
* Partition Report *
@ -472,22 +468,22 @@ Design Statistics
# IOs : 75
Cell Usage :
# BELS : 503
# AND2 : 151
# AND3 : 20
# AND4 : 7
# AND5 : 2
# AND6 : 1
# BELS : 580
# AND2 : 173
# AND3 : 27
# AND4 : 8
# AND5 : 3
# AND6 : 2
# AND8 : 3
# GND : 7
# INV : 204
# OR2 : 83
# OR3 : 5
# INV : 234
# OR2 : 97
# OR3 : 6
# VCC : 1
# XOR2 : 19
# FlipFlops/Latches : 88
# FD : 53
# FDCE : 35
# FlipFlops/Latches : 90
# FD : 54
# FDCE : 36
# IO Buffers : 71
# IBUF : 35
# IOBUFE : 1
@ -497,13 +493,13 @@ Cell Usage :
Total REAL time to Xst completion: 5.00 secs
Total CPU time to Xst completion: 4.98 secs
Total CPU time to Xst completion: 5.16 secs
-->
Total memory usage is 263748 kilobytes
Total memory usage is 261444 kilobytes
Number of errors : 0 ( 0 filtered)
Number of warnings : 8 ( 0 filtered)
Number of warnings : 4 ( 0 filtered)
Number of infos : 0 ( 0 filtered)

File diff suppressed because it is too large Load Diff

File diff suppressed because one or more lines are too long

File diff suppressed because it is too large Load Diff

View File

@ -6,9 +6,7 @@
********** Mapped Logic **********
</td></tr><tr><td>
</td></tr><tr><td>
$OpTx$$OpTx$FX_DC$48_INV$124 <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT nWE_FSB AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cs/nOverlay));
$OpTx$$OpTx$FX_DC$47_INV$153 <= (nAS_FSB AND NOT fsb/ASrf);
</td></tr><tr><td>
FDCPE_ALE0M: FDCPE port map (ALE0M,ALE0M_D,C16M,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ALE0M_D <= ((iobm/IOS_FSM_FFd1 AND NOT iobm/IOS_FSM_FFd2)
@ -29,6 +27,18 @@ C25MEN <= '1';
</td></tr><tr><td>
</td></tr><tr><td>
</td></tr><tr><td>
</td></tr><tr><td>
</td></tr><tr><td>
</td></tr><tr><td>
</td></tr><tr><td>
</td></tr><tr><td>
</td></tr><tr><td>
</td></tr><tr><td>
</td></tr><tr><td>
</td></tr><tr><td>
</td></tr><tr><td>
</td></tr><tr><td>
</td></tr><tr><td>
FDCPE_IOACT: FDCPE port map (IOACT,IOACT_D,C16M,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;IOACT_D <= ((iobm/IOS_FSM_FFd2 AND NOT iobm/BERRrf AND NOT iobm/DTACKrf AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobm/ETACK AND NOT iobm/RESrf)
@ -45,25 +55,51 @@ FDCPE_IOL0: FDCPE port map (IOL0,IOL0_D,FCLK,'0','0',IOL0_CE);
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;IOL0_CE <= (iobs/TS_FSM_FFd2 AND NOT iobs/TS_FSM_FFd1);
</td></tr><tr><td>
FDCPE_IOREQ: FDCPE port map (IOREQ,IOREQ_D,FCLK,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;IOREQ_D <= ((iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;IOREQ_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(17) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND cs/nOverlay AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobs/TS_FSM_FFd2 AND iobs/TS_FSM_FFd1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cs/nOverlay AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobs/TS_FSM_FFd2 AND iobs/TS_FSM_FFd1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr));
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/TS_FSM_FFd2 AND nADoutLE1));
</td></tr><tr><td>
FTCPE_IORW0: FTCPE port map (IORW0,IORW0_T,FCLK,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;IORW0_T <= ((iobs/TS_FSM_FFd2)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (iobs/TS_FSM_FFd1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nWE_FSB AND IORW0 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT nWE_FSB AND NOT IORW0 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;IORW0_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19) AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18) AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(17) AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16) AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND cs/nOverlay AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (IOL0.EXP)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (iobs/Sent AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (IORW0 AND iobs/IORW1 AND NOT nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT IORW0 AND NOT iobs/IORW1 AND NOT nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cs/nOverlay AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (iobs/Sent AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nAS_FSB AND NOT fsb/ASrf AND nADoutLE1));
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nAS_FSB AND NOT fsb/ASrf AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT IORW0 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT nWE_FSB AND NOT IORW0 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20) AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; nADoutLE1));
</td></tr><tr><td>
FDCPE_IOU0: FDCPE port map (IOU0,IOU0_D,FCLK,'0','0',IOU0_CE);
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;IOU0_D <= ((NOT nUDS_FSB AND nADoutLE1)
@ -79,8 +115,8 @@ RA(1) <= ((A_FSB(11) AND NOT ram/RASEL)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/RASEL AND A_FSB(2)));
</td></tr><tr><td>
</td></tr><tr><td>
RA(2) <= ((A_FSB(12) AND NOT ram/RASEL)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/RASEL AND A_FSB(3)));
RA(2) <= ((ram/RASEL AND A_FSB(3))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(12) AND NOT ram/RASEL));
</td></tr><tr><td>
</td></tr><tr><td>
RA(3) <= ((A_FSB(13) AND NOT ram/RASEL)
@ -103,7 +139,12 @@ RA(7) <= ((A_FSB(8) AND ram/RASEL)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(17) AND NOT ram/RASEL));
</td></tr><tr><td>
</td></tr><tr><td>
RA(8) <= A_FSB(18);
RA(8) <= ((A_FSB(23) AND A_FSB(18))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND A_FSB(18))
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(18) AND NOT cs/nOverlay)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(18) AND NOT ram/RASEL)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(9) AND NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; ram/RASEL));
</td></tr><tr><td>
</td></tr><tr><td>
RA(9) <= ((A_FSB(20) AND ram/RASEL)
@ -253,58 +294,82 @@ FTCPE_cs/nOverlay: FTCPE port map (cs/nOverlay,cs/nOverlay_T,FCLK,'0','0');
</td></tr><tr><td>
FDCPE_fsb/ASrf: FDCPE port map (fsb/ASrf,NOT nAS_FSB,NOT FCLK,'0','0');
</td></tr><tr><td>
FTCPE_fsb/Ready1r: FTCPE port map (fsb/Ready1r,fsb/Ready1r_T,FCLK,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;fsb/Ready1r_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT nWE_FSB AND NOT fsb/Ready1r AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nAS_FSB AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT nWE_FSB AND NOT fsb/Ready1r AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; fsb/ASrf AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cs/nOverlay AND NOT fsb/Ready1r AND NOT nAS_FSB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cs/nOverlay AND NOT fsb/Ready1r AND fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (fsb/Ready1r AND nAS_FSB AND NOT fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (iobs/DTACKEN AND NOT fsb/Ready1r AND NOT nAS_FSB AND NOT IOACT)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (iobs/DTACKEN AND NOT fsb/Ready1r AND NOT nAS_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobs/IODTACKr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (iobs/DTACKEN AND NOT fsb/Ready1r AND NOT IOACT AND fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (iobs/DTACKEN AND NOT fsb/Ready1r AND fsb/ASrf AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobs/IODTACKr));
FDCPE_fsb/Ready0r: FDCPE port map (fsb/Ready0r,fsb/Ready0r_D,FCLK,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;fsb/Ready0r_D <= ((nAS_FSB AND NOT fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT fsb/Ready0r AND NOT ram/RAMReady));
</td></tr><tr><td>
FDCPE_fsb/Ready1r: FDCPE port map (fsb/Ready1r,fsb/Ready1r_D,FCLK,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;fsb/Ready1r_D <= ((A_FSB(22) AND A_FSB(21) AND NOT iobs/DTACKEN AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT fsb/Ready1r)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND A_FSB(21) AND NOT fsb/Ready1r AND IOACT AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/IODTACKr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND A_FSB(20) AND NOT fsb/Ready1r AND IOACT AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/IODTACKr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT cs/nOverlay AND NOT fsb/Ready1r AND IOACT AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/IODTACKr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT nWE_FSB AND cs/nOverlay AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/DTACKEN AND NOT fsb/Ready1r AND NOT nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT nWE_FSB AND cs/nOverlay AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/DTACKEN AND NOT fsb/Ready1r AND NOT nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT nWE_FSB AND cs/nOverlay AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT fsb/Ready1r AND IOACT AND NOT iobs/IODTACKr AND NOT nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT nWE_FSB AND cs/nOverlay AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT fsb/Ready1r AND IOACT AND NOT iobs/IODTACKr AND NOT nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nAS_FSB AND NOT fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(23) AND NOT iobs/DTACKEN AND NOT fsb/Ready1r)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(23) AND NOT fsb/Ready1r AND IOACT AND NOT iobs/IODTACKr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/DTACKEN AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT fsb/Ready1r)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT cs/nOverlay AND NOT iobs/DTACKEN AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT fsb/Ready1r));
</td></tr><tr><td>
FDCPE_fsb/VPA: FDCPE port map (fsb/VPA,fsb/VPA_D,FCLK,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;fsb/VPA_D <= ((A_FSB(9) AND A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(13) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND A_FSB(23) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(17) AND A_FSB(16) AND fsb/Ready1r AND fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;fsb/VPA_D <= ((iobs/IOACTr.EXP)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT nWE_FSB AND cs/nOverlay AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/DTACKEN AND NOT fsb/Ready1r AND fsb/VPA AND NOT nADoutLE1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT $OpTx$$OpTx$FX_DC$47_INV$153)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT nWE_FSB AND cs/nOverlay AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/DTACKEN AND NOT fsb/Ready1r AND fsb/VPA AND NOT nADoutLE1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT $OpTx$$OpTx$FX_DC$47_INV$153)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT nWE_FSB AND cs/nOverlay AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT fsb/Ready1r AND fsb/VPA AND IOACT AND NOT iobs/IODTACKr AND NOT nADoutLE1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT $OpTx$$OpTx$FX_DC$47_INV$153)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT nWE_FSB AND cs/nOverlay AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT fsb/Ready1r AND fsb/VPA AND IOACT AND NOT iobs/IODTACKr AND NOT nADoutLE1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT $OpTx$$OpTx$FX_DC$47_INV$153)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(9) AND A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(13) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND A_FSB(23) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(17) AND A_FSB(16) AND iobs/DTACKEN AND NOT nAS_FSB AND NOT IOACT)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(9) AND A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(13) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND A_FSB(23) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(17) AND A_FSB(16) AND iobs/DTACKEN AND NOT nAS_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobs/IODTACKr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(9) AND A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(13) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND A_FSB(23) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(17) AND A_FSB(16) AND iobs/DTACKEN AND NOT IOACT AND fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(9) AND A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(13) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND A_FSB(23) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(17) AND A_FSB(16) AND iobs/DTACKEN AND fsb/ASrf AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobs/IODTACKr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobs/DTACKEN AND NOT fsb/Ready1r AND fsb/VPA AND NOT nAS_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT $OpTx$$OpTx$FX_DC$48_INV$124)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobs/DTACKEN AND NOT fsb/Ready1r AND fsb/VPA AND fsb/ASrf AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT $OpTx$$OpTx$FX_DC$48_INV$124)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT fsb/Ready1r AND fsb/VPA AND NOT nAS_FSB AND IOACT AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/IODTACKr AND NOT $OpTx$$OpTx$FX_DC$48_INV$124)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT fsb/Ready1r AND fsb/VPA AND IOACT AND fsb/ASrf AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/IODTACKr AND NOT $OpTx$$OpTx$FX_DC$48_INV$124)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(9) AND A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(13) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND A_FSB(23) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(17) AND A_FSB(16) AND fsb/Ready1r AND NOT nAS_FSB));
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(17) AND A_FSB(16) AND fsb/Ready1r AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT $OpTx$$OpTx$FX_DC$47_INV$153)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT fsb/Ready0r AND fsb/VPA AND NOT ram/RAMReady AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT $OpTx$$OpTx$FX_DC$47_INV$153)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND A_FSB(21) AND NOT fsb/Ready1r AND fsb/VPA AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; IOACT AND NOT iobs/IODTACKr AND NOT $OpTx$$OpTx$FX_DC$47_INV$153)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND A_FSB(20) AND NOT fsb/Ready1r AND fsb/VPA AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; IOACT AND NOT iobs/IODTACKr AND NOT $OpTx$$OpTx$FX_DC$47_INV$153)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT cs/nOverlay AND NOT fsb/Ready1r AND fsb/VPA AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; IOACT AND NOT iobs/IODTACKr AND NOT $OpTx$$OpTx$FX_DC$47_INV$153)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(23) AND NOT iobs/DTACKEN AND NOT fsb/Ready1r AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; fsb/VPA AND NOT $OpTx$$OpTx$FX_DC$47_INV$153)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(23) AND NOT fsb/Ready1r AND fsb/VPA AND IOACT AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/IODTACKr AND NOT $OpTx$$OpTx$FX_DC$47_INV$153)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/DTACKEN AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT fsb/Ready1r AND fsb/VPA AND NOT $OpTx$$OpTx$FX_DC$47_INV$153)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/DTACKEN AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT fsb/Ready1r AND fsb/VPA AND NOT $OpTx$$OpTx$FX_DC$47_INV$153)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT cs/nOverlay AND NOT iobs/DTACKEN AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT fsb/Ready1r AND fsb/VPA AND NOT $OpTx$$OpTx$FX_DC$47_INV$153));
</td></tr><tr><td>
FDCPE_iobm/BERRrf: FDCPE port map (iobm/BERRrf,NOT nBERR_IOB,NOT C8M,'0','0');
</td></tr><tr><td>
@ -385,13 +450,13 @@ FDCPE_iobs/Clear1: FDCPE port map (iobs/Clear1,iobs/Clear1_D,FCLK,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;iobs/Clear1_D <= (iobs/TS_FSM_FFd2 AND NOT iobs/TS_FSM_FFd1);
</td></tr><tr><td>
FDCPE_iobs/DTACKEN: FDCPE port map (iobs/DTACKEN,iobs/DTACKEN_D,FCLK,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;iobs/DTACKEN_D <= ((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cs/nOverlay AND NOT iobs/DTACKEN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;iobs/DTACKEN_D <= ((NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND cs/nOverlay AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/DTACKEN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobs/Sent AND NOT iobs/DTACKEN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobs/DTACKEN AND NOT iobs/IOACTr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobs/DTACKEN AND NOT nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nAS_FSB AND NOT fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT nWE_FSB AND NOT iobs/DTACKEN));
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/DTACKEN));
</td></tr><tr><td>
FDCPE_iobs/IOACTr: FDCPE port map (iobs/IOACTr,IOACT,FCLK,'0','0');
</td></tr><tr><td>
@ -400,51 +465,136 @@ FDCPE_iobs/IODTACKr: FDCPE port map (iobs/IODTACKr,NOT nDTACK_IOB,FCLK,'0','0');
FDCPE_iobs/IOL1: FDCPE port map (iobs/IOL1,NOT nLDS_FSB,FCLK,'0','0',iobs/Load1);
</td></tr><tr><td>
FTCPE_iobs/IORW1: FTCPE port map (iobs/IORW1,iobs/IORW1_T,FCLK,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;iobs/IORW1_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/Sent AND NOT nWE_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobs/IORW1 AND NOT nAS_FSB AND iobs/TS_FSM_FFd2 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/Sent AND NOT nWE_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobs/IORW1 AND NOT nAS_FSB AND iobs/TS_FSM_FFd1 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/Sent AND NOT nWE_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobs/IORW1 AND iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/Sent AND NOT nWE_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobs/IORW1 AND iobs/TS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1));
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;iobs/IORW1_T <= ((A_FSB(14) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND iobs/IORW1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobs/TS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND iobs/IORW1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND iobs/IORW1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobs/TS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(14) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND iobs/IORW1 AND NOT nAS_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobs/TS_FSM_FFd2 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(14) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND iobs/IORW1 AND NOT nAS_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobs/TS_FSM_FFd1 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(14) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND iobs/IORW1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND iobs/IORW1 AND NOT nAS_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobs/TS_FSM_FFd2 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND iobs/IORW1 AND NOT nAS_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobs/TS_FSM_FFd1 AND nADoutLE1));
</td></tr><tr><td>
FDCPE_iobs/IOU1: FDCPE port map (iobs/IOU1,NOT nUDS_FSB,FCLK,'0','0',iobs/Load1);
</td></tr><tr><td>
FDCPE_iobs/Load1: FDCPE port map (iobs/Load1,iobs/Load1_D,FCLK,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;iobs/Load1_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/Sent AND NOT nWE_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nAS_FSB AND iobs/TS_FSM_FFd2 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/Sent AND NOT nWE_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nAS_FSB AND iobs/TS_FSM_FFd1 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/Sent AND NOT nWE_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/Sent AND NOT nWE_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobs/TS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1));
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;iobs/Load1_D <= ((A_FSB(14) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND iobs/TS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; fsb/ASrf AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND iobs/TS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; fsb/ASrf AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND iobs/TS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; fsb/ASrf AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(14) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND NOT nAS_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobs/TS_FSM_FFd2 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(14) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND NOT nAS_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobs/TS_FSM_FFd1 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(14) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND iobs/TS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; fsb/ASrf AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND NOT nAS_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobs/TS_FSM_FFd2 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND NOT nAS_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; iobs/TS_FSM_FFd1 AND nADoutLE1));
</td></tr><tr><td>
FDCPE_iobs/Sent: FDCPE port map (iobs/Sent,iobs/Sent_D,FCLK,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;iobs/Sent_D <= ((A_FSB(23) AND NOT iobs/Sent AND iobs/TS_FSM_FFd1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT iobs/Sent AND iobs/TS_FSM_FFd1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobs/Sent AND nWE_FSB AND iobs/TS_FSM_FFd1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/Sent AND cs/nOverlay)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobs/Sent AND NOT nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nAS_FSB AND NOT fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(23) AND NOT iobs/Sent AND iobs/TS_FSM_FFd2)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT iobs/Sent AND iobs/TS_FSM_FFd2)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobs/Sent AND nWE_FSB AND iobs/TS_FSM_FFd2));
FTCPE_iobs/Sent: FTCPE port map (iobs/Sent,iobs/Sent_T,FCLK,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;iobs/Sent_T <= ((A_FSB(22) AND A_FSB(21) AND NOT iobs/Sent AND NOT nAS_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/TS_FSM_FFd2 AND NOT iobs/TS_FSM_FFd1 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Sent AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/TS_FSM_FFd2 AND NOT iobs/TS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT iobs/Sent AND NOT cs/nOverlay AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/TS_FSM_FFd2 AND NOT iobs/TS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/Sent AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/TS_FSM_FFd2 AND NOT iobs/TS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(14) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND NOT nAS_FSB AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(14) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND fsb/ASrf AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND NOT nAS_FSB AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND fsb/ASrf AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (iobs/Sent AND nAS_FSB AND NOT fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(23) AND NOT iobs/Sent AND NOT nAS_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/TS_FSM_FFd2 AND NOT iobs/TS_FSM_FFd1 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(23) AND NOT iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/TS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Sent AND NOT nAS_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/TS_FSM_FFd2 AND NOT iobs/TS_FSM_FFd1 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT iobs/Sent AND NOT cs/nOverlay AND NOT nAS_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/TS_FSM_FFd2 AND NOT iobs/TS_FSM_FFd1 AND nADoutLE1));
</td></tr><tr><td>
FDCPE_iobs/TS_FSM_FFd1: FDCPE port map (iobs/TS_FSM_FFd1,iobs/TS_FSM_FFd1_D,FCLK,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;iobs/TS_FSM_FFd1_D <= ((iobs/TS_FSM_FFd2)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr));
</td></tr><tr><td>
FDCPE_iobs/TS_FSM_FFd2: FDCPE port map (iobs/TS_FSM_FFd2,iobs/TS_FSM_FFd2_D,FCLK,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;iobs/TS_FSM_FFd2_D <= ((NOT iobs/TS_FSM_FFd2 AND iobs/TS_FSM_FFd1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;iobs/TS_FSM_FFd2_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(17) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND cs/nOverlay AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT iobs/TS_FSM_FFd2 AND iobs/TS_FSM_FFd1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; cs/nOverlay AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1));
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/TS_FSM_FFd2 AND nADoutLE1));
</td></tr><tr><td>
</td></tr><tr><td>
nADoutLE0 <= (NOT ALE0M AND NOT ALE0S);
@ -477,49 +627,46 @@ FTCPE_nBR_IOB: FTCPE port map (nBR_IOB,nBR_IOB_T,FCLK,'0','0');
FDCPE_nCAS: FDCPE port map (nCAS,NOT ram/RASEL,NOT FCLK,'0','0');
</td></tr><tr><td>
FDCPE_nDTACK_FSB: FDCPE port map (nDTACK_FSB,nDTACK_FSB_D,FCLK,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;nDTACK_FSB_D <= ((EXP10_.EXP)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/DTACKEN AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;nDTACK_FSB_D <= ((A_FSB(22) AND A_FSB(20) AND NOT iobs/DTACKEN AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT fsb/Ready1r AND nDTACK_FSB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT cs/nOverlay AND NOT iobs/DTACKEN AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT fsb/Ready1r AND nDTACK_FSB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(22) AND nWE_FSB AND NOT iobs/DTACKEN AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT fsb/Ready1r AND nDTACK_FSB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(22) AND NOT iobs/DTACKEN AND NOT fsb/Ready1r AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; nDTACK_FSB AND NOT nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(22) AND NOT fsb/Ready1r AND nDTACK_FSB AND IOACT AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/IODTACKr AND NOT nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND A_FSB(21) AND NOT fsb/Ready1r AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; nDTACK_FSB AND IOACT AND NOT iobs/IODTACKr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT cs/nOverlay AND NOT fsb/Ready1r AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; nDTACK_FSB AND IOACT AND NOT iobs/IODTACKr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (fsb/Ready0r.EXP)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nAS_FSB AND NOT fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(23) AND NOT iobs/DTACKEN AND NOT fsb/Ready1r AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; nDTACK_FSB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(23) AND NOT fsb/Ready1r AND nDTACK_FSB AND IOACT AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/IODTACKr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(9) AND A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(13) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND A_FSB(23) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(17) AND A_FSB(16) AND fsb/Ready1r)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(9) AND A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(13) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND A_FSB(23) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(17) AND A_FSB(16) AND iobs/DTACKEN AND NOT IOACT)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/DTACKEN AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/DTACKEN AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT fsb/Ready1r AND nDTACK_FSB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT cs/nOverlay AND NOT iobs/DTACKEN AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT fsb/Ready1r AND nDTACK_FSB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND A_FSB(21) AND NOT fsb/Ready1r AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; nDTACK_FSB AND IOACT AND NOT iobs/IODTACKr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND A_FSB(20) AND NOT fsb/Ready1r AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; nDTACK_FSB AND IOACT AND NOT iobs/IODTACKr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT cs/nOverlay AND NOT fsb/Ready1r AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; nDTACK_FSB AND IOACT AND NOT iobs/IODTACKr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(22) AND nWE_FSB AND NOT fsb/Ready1r AND nDTACK_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; IOACT AND NOT iobs/IODTACKr));
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT nWE_FSB AND cs/nOverlay AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/DTACKEN AND NOT fsb/Ready1r AND nDTACK_FSB AND NOT nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT nWE_FSB AND cs/nOverlay AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT iobs/DTACKEN AND NOT fsb/Ready1r AND nDTACK_FSB AND NOT nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT nWE_FSB AND cs/nOverlay AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT fsb/Ready1r AND nDTACK_FSB AND IOACT AND NOT iobs/IODTACKr AND NOT nADoutLE1)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT nWE_FSB AND cs/nOverlay AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT fsb/Ready1r AND nDTACK_FSB AND IOACT AND NOT iobs/IODTACKr AND NOT nADoutLE1));
</td></tr><tr><td>
FDCPE_nDinLE: FDCPE port map (nDinLE,nDinLE_D,NOT C16M,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;nDinLE_D <= (iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2);
</td></tr><tr><td>
</td></tr><tr><td>
nDinOE <= NOT (((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(21) AND nWE_FSB AND NOT nAS_FSB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(20) AND nWE_FSB AND NOT nAS_FSB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(22) AND nWE_FSB AND cs/nOverlay AND NOT nAS_FSB)));
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND A_FSB(21) AND nWE_FSB AND NOT nAS_FSB)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND A_FSB(20) AND nWE_FSB AND NOT nAS_FSB)));
</td></tr><tr><td>
</td></tr><tr><td>
nDoutOE <= NOT ((iobm/DoutOE AND NOT nAoutOE));
@ -541,8 +688,10 @@ nRAMLWE <= NOT ((NOT nWE_FSB AND NOT nLDS_FSB AND NOT nAS_FSB AND ram/RAMEN));
</td></tr><tr><td>
nRAMUWE <= NOT ((NOT nWE_FSB AND NOT nUDS_FSB AND NOT nAS_FSB AND ram/RAMEN));
</td></tr><tr><td>
FDCPE_nRAS: FDCPE port map (nRAS,nRAS_D,FCLK,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;nRAS_D <= (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1);
</td></tr><tr><td>
nRAS <= NOT (((ram/RefRAS)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; ram/RAMEN)));
</td></tr><tr><td>
</td></tr><tr><td>
nRES_I <= '0';
@ -582,46 +731,102 @@ FDCPE_ram/BACTr: FDCPE port map (ram/BACTr,ram/BACTr_D,FCLK,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ram/BACTr_D <= (nAS_FSB AND NOT fsb/ASrf);
</td></tr><tr><td>
FDCPE_ram/RAMEN: FDCPE port map (ram/RAMEN,ram/RAMEN_D,FCLK,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ram/RAMEN_D <= ((nAS_FSB AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ram/RAMEN_D <= ((ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND ram/RAMEN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND ram/RAMEN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nAS_FSB AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND ram/RAMEN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND ram/RAMEN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND ram/RAMEN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RefUrg AND ram/BACTr AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; ram/RAMEN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RefUrg AND ram/RAMEN AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RefReq));
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; ram/BACTr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RefUrg AND ram/RAMEN AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RefReq)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND ram/RAMEN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND ram/RAMEN AND fsb/ASrf));
</td></tr><tr><td>
FDCPE_ram/RAMReady: FDCPE port map (ram/RAMReady,ram/RAMReady_D,FCLK,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ram/RAMReady_D <= ((A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; ram/RS_FSM_FFd3 AND NOT ram/RefUrg)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RAMEN));
</td></tr><tr><td>
FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,FCLK,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ram/RASEL_D <= ((NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; ram/RefReq AND fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ram/RASEL_D <= ((A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RefUrg AND NOT ram/RefReq)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RefUrg AND NOT ram/RefReq)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RefUrg AND NOT fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RAMEN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RAMEN AND fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RefUrg AND ram/BACTr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RefUrg AND ram/BACTr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RefUrg AND ram/BACTr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RefUrg AND NOT ram/RefReq)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT nAS_FSB AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND ram/RefUrg)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nAS_FSB AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; ram/RefUrg AND NOT fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/BACTr AND ram/RefReq));
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; ram/RS_FSM_FFd3)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3 AND NOT ram/RefUrg)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3 AND fsb/ASrf));
</td></tr><tr><td>
FTCPE_ram/RS_FSM_FFd1: FTCPE port map (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_T,FCLK,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ram/RS_FSM_FFd1_T <= (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3);
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ram/RS_FSM_FFd1_T <= ((ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND ram/RAMEN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND ram/RAMEN AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; fsb/ASrf));
</td></tr><tr><td>
FTCPE_ram/RS_FSM_FFd2: FTCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_T,FCLK,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ram/RS_FSM_FFd2_T <= ((nAS_FSB AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ram/RS_FSM_FFd2_T <= ((ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nAS_FSB AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; ram/RefUrg AND NOT fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; ram/BACTr)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RefReq)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RefUrg AND NOT fsb/ASrf));
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RefUrg AND NOT fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf));
</td></tr><tr><td>
FTCPE_ram/RS_FSM_FFd3: FTCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_T,FCLK,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ram/RS_FSM_FFd3_T <= ((NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ram/RS_FSM_FFd3_T <= ((nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3 AND NOT fsb/ASrf)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RAMEN)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (A_FSB(22) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; NOT ram/RS_FSM_FFd3)
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (NOT nAS_FSB AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; ram/RS_FSM_FFd3 AND ram/RefUrg)
@ -633,6 +838,9 @@ FDCPE_ram/RefDone: FDCPE port map (ram/RefDone,ram/RefDone_D,FCLK,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; ram/RefReqSync));
</td></tr><tr><td>
FDCPE_ram/RefRAS: FDCPE port map (ram/RefRAS,ram/RefRAS_D,FCLK,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ram/RefRAS_D <= (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1);
</td></tr><tr><td>
FDCPE_ram/RefReq: FDCPE port map (ram/RefReq,ram/RefReq_D,FCLK,'0','0');
<br/>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;ram/RefReq_D <= (NOT ram/RefDone AND ram/RefReqSync);
</td></tr><tr><td>

File diff suppressed because it is too large Load Diff

View File

@ -15,37 +15,37 @@
</tr>
<tr>
<td align="center"><a href="javascript:showFBDetail('FB1');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB1</a></td>
<td align="center">17 / 18</td>
<td align="center">20 / 54</td>
<td align="center">24 / 90</td>
<td align="center">18 / 18</td>
<td align="center">23 / 54</td>
<td align="center">25 / 90</td>
<td align="center">10 / 11</td>
</tr>
<tr>
<td align="center"><a href="javascript:showFBDetail('FB2');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB2</a></td>
<td align="center">0 / 18</td>
<td align="center">0 / 54</td>
<td align="center">0 / 90</td>
<td align="center">2 / 18</td>
<td align="center">2 / 54</td>
<td align="center">2 / 90</td>
<td align="center">8 / 10</td>
</tr>
<tr>
<td align="center"><a href="javascript:showFBDetail('FB3');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB3</a></td>
<td align="center">10 / 18</td>
<td align="center">30 / 54</td>
<td align="center">49 / 90</td>
<td align="center">14 / 18</td>
<td align="center">33 / 54</td>
<td align="center">78 / 90</td>
<td align="center">9 / 10</td>
</tr>
<tr>
<td align="center"><a href="javascript:showFBDetail('FB4');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB4</a></td>
<td align="center">18 / 18</td>
<td align="center">30 / 54</td>
<td align="center">34 / 90</td>
<td align="center">16 / 18</td>
<td align="center">33 / 54</td>
<td align="center">35 / 90</td>
<td align="center">10 / 10</td>
</tr>
<tr>
<td align="center"><a href="javascript:showFBDetail('FB5');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB5</a></td>
<td align="center">18 / 18</td>
<td align="center">30 / 54</td>
<td align="center">59 / 90</td>
<td align="center">14 / 18</td>
<td align="center">32 / 54</td>
<td align="center">77 / 90</td>
<td align="center">8 / 10</td>
</tr>
<tr>
@ -57,16 +57,16 @@
</tr>
<tr>
<td align="center"><a href="javascript:showFBDetail('FB7');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB7</a></td>
<td align="center">15 / 18</td>
<td align="center">30 / 54</td>
<td align="center">27 / 90</td>
<td align="center">18 / 18</td>
<td align="center">33 / 54</td>
<td align="center">33 / 90</td>
<td align="center">8 / 10</td>
</tr>
<tr>
<td align="center"><a href="javascript:showFBDetail('FB8');" onmouseover="window.status='goto Function Block detail'; return true;" onmouseout="window.status=''">FB8</a></td>
<td align="center">18 / 18</td>
<td align="center">26 / 54</td>
<td align="center">40 / 90</td>
<td align="center">17 / 18</td>
<td align="center">33 / 54</td>
<td align="center">66 / 90</td>
<td align="center">6 / 10</td>
</tr>
</table></span><form><span class="pgRef"><table width="90%" align="center"><tr>

View File

@ -27,17 +27,18 @@
<th width="10%">Pin Use</th>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRefUrg_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefUrg</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a>
</td>
<td align="center" width="10%">MC1</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRegUrgSync_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RegUrgSync</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRefReqSync_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefReqSync</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a>
</td>
@ -48,7 +49,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;13&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRefReqSync_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefReqSync</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRefReq_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefReq</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a>
</td>
@ -59,7 +60,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;14&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIODTACKr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IODTACKr</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRefRAS_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefRAS</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a>
</td>
@ -70,7 +71,7 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmVPAr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/VPAr</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIODTACKr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IODTACKr</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_5_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_1</a>
</td>
@ -92,7 +93,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;16&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOREQr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOREQr</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmEr2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er2</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a>
</td>
@ -103,7 +104,7 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmEr2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er2</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmEr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a>
</td>
@ -114,7 +115,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;17&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmEr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmDTACKrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/DTACKrf</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a>
</td>
@ -125,7 +126,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;18&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmDTACKrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/DTACKrf</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmBERRrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/BERRrf</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a>
</td>
@ -136,7 +137,7 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmBERRrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/BERRrf</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('cntnIPL2r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/nIPL2r</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_11_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_1</a>
</td>
@ -147,7 +148,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;19&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntnIPL2r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/nIPL2r</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('cntEr0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Er&lt;0&gt;</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a>
</td>
@ -158,9 +159,9 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;20&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntEr0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Er&lt;0&gt;</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRefDone_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefDone</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB1_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a>
</td>
<td align="center" width="10%">MC13</td>
<td align="center" width="10%">STD</td>
@ -169,9 +170,9 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ALE0S')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ALE0S</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsTS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/TS_FSM_FFd1</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB1_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a>
</td>
<td align="center" width="10%">MC14</td>
<td align="center" width="10%">STD</td>
@ -180,7 +181,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;21&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd1</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIOU1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOU1</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('FB1_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a>
</td>
@ -191,7 +192,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;22&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntTimerTC_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/TimerTC</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIOL1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOL1</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB1_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a>
</td>
@ -202,7 +203,7 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('RefReq')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RefReq</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd1</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB1_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a>
</td>
@ -213,9 +214,9 @@
<td align="center" width="10%">GCK</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('RefUrg')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RefUrg</a></td>
<td align="center" width="10%">5</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB1_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB1_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB1_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB1_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a>
<td align="center" width="10%"><a href="Javascript:showEqn('IOU0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOU0</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB1_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB1_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB1_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a>
</td>
<td align="center" width="10%">MC18</td>
<td align="center" width="10%">STD</td>
@ -227,25 +228,28 @@
<div id="tipBox"></div>
<br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol>
<li>E</li>
<li><a href="Javascript:showEqn('IOREQ')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOREQ</a></li>
<li>nRES.PIN</li>
<li><a href="Javascript:showEqn('RefReq')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RefReq</a></li>
<li><a href="Javascript:showEqn('RefUrg')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RefUrg</a></li>
<li><a href="Javascript:showEqn('cntEr0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Er&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('cntEr1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Er&lt;1&gt;</a></li>
<li><a href="Javascript:showEqn('cntTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('cntTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;1&gt;</a></li>
<li><a href="Javascript:showEqn('cntTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;2&gt;</a></li>
<li><a href="Javascript:showEqn('cntTimerTC_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/TimerTC</a></li>
<li><a href="Javascript:showEqn('iobmEr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/Er</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('iobmIOS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOS_FSM_FFd3</a></li>
<li><a href="Javascript:showEqn('iobsIOACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOACTr</a></li>
<li><a href="Javascript:showEqn('iobsIOU1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOU1</a></li>
<li><a href="Javascript:showEqn('iobsLoad1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Load1</a></li>
<li><a href="Javascript:showEqn('iobsTS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/TS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('iobsTS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/TS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('nADoutLE1')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nADoutLE1</a></li>
<li>nBERR_IOB</li>
<li>nDTACK_IOB</li>
<li>nIPL2</li>
<li>nVPA_IOB</li>
<li>nLDS_FSB</li>
<li>nUDS_FSB</li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('ramRefDone_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefDone</a></li>
<li><a href="Javascript:showEqn('ramRefReqSync_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefReqSync</a></li>
<li><a href="Javascript:showEqn('ramRegUrgSync_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RegUrgSync</a></li>
</ol></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
<td align="center"><table align="center" width="90%" border="0" cellpadding="0" cellspacing="0"><tr><td width="100%" align="center"><input type="button" onclick="javascript:showFB('FB2')" onmouseover="window.status='show next Function Block'; return true;" onmouseout="window.status=''" value="next"></td></tr></table></td>

View File

@ -187,28 +187,33 @@
<td align="center" width="10%"> </td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmVPAr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/VPAr</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a>
</td>
<td align="center" width="10%">MC17</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">10</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;12&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%"><a href="Javascript:showEqn('iobmIOREQr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/IOREQr</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB2_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a>
</td>
<td align="center" width="10%">MC18</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
</tr>
</table></span></div>
<div id="tipBox"></div>
<br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol></ol></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol>
<li><a href="Javascript:showEqn('IOREQ')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOREQ</a></li>
<li>nVPA_IOB</li>
</ol></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
<td align="center"><table align="center" width="90%" border="0" cellpadding="0" cellspacing="0"><tr><td width="100%" align="center">
<input type="button" onclick="javascript:showFB('FB1')" onmouseover="window.status='show previous Function Block'; return true;" onmouseout="window.status=''" value="prev">

View File

@ -28,8 +28,8 @@
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('fsbVPA_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/VPA</a></td>
<td align="center" width="10%">10</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB3_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB3_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB3_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB3_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a> <a href="Javascript:showPT('FB3_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <a href="Javascript:showPT('FB3_1_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_2</a> <a href="Javascript:showPT('FB3_1_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_3</a> <a href="Javascript:showPT('FB3_1_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_4</a> <a href="Javascript:showPT('FB3_1_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_5</a>
<td align="center" width="10%">16</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB3_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB3_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB3_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a> <a href="Javascript:showPT('FB3_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <a href="Javascript:showPT('FB3_1_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_2</a> <a href="Javascript:showPT('FB3_1_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_3</a> <a href="Javascript:showPT('FB3_1_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_4</a> <a href="Javascript:showPT('FB3_1_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_5</a> <a href="Javascript:showPT('FB3_2_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_1</a> <a href="Javascript:showPT('FB3_2_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_2</a> <a href="Javascript:showPT('FB3_2_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_3</a> <a href="Javascript:showPT('FB3_2_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_4</a> <a href="Javascript:showPT('FB3_2_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_5</a> <a href="Javascript:showPT('FB3_3_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_2</a> <a href="Javascript:showPT('FB3_3_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_3</a>
</td>
<td align="center" width="10%">MC1</td>
<td align="center" width="10%">STD</td>
@ -48,69 +48,75 @@
<td align="center" width="10%">GCK/I</td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIOACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOACTr</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a>
</td>
<td align="center" width="10%">MC3</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsClear1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Clear1</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a>
</td>
<td align="center" width="10%">MC4</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%"><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_5_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_1</a>
</td>
<td align="center" width="10%">MC5</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">24</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;23&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%"><a href="Javascript:showEqn('ALE0S')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ALE0S</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a>
</td>
<td align="center" width="10%">MC6</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">25</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'E'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsLoad1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Load1</a></td>
<td align="center" width="10%">8</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_6_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_2</a> <a href="Javascript:showPT('FB3_6_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_3</a> <a href="Javascript:showPT('FB3_6_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_4</a> <a href="Javascript:showPT('FB3_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB3_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a> <a href="Javascript:showPT('FB3_7_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_3</a> <a href="Javascript:showPT('FB3_7_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_4</a> <a href="Javascript:showPT('FB3_7_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_5</a>
</td>
<td align="center" width="10%">MC7</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%"><a href="Javascript:showEqn('OpTxOpTxFX_DC47_INV153_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">$OpTx$$OpTx$FX_DC$47_INV$153</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a>
</td>
<td align="center" width="10%">MC8</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%">27</td>
<td width="8%" align="center">I/O/GCK3</td>
<td align="center" width="10%">GCK</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nDTACK_FSB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nDTACK_FSB</a></td>
<td align="center" width="10%">16</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB3_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a> <a href="Javascript:showPT('FB3_10_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_3</a> <a href="Javascript:showPT('FB3_10_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_4</a> <a href="Javascript:showPT('FB3_10_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_5</a> <a href="Javascript:showPT('FB3_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB3_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a> <a href="Javascript:showPT('FB3_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a> <a href="Javascript:showPT('FB3_8_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_3</a> <a href="Javascript:showPT('FB3_8_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_4</a> <a href="Javascript:showPT('FB3_8_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_5</a> <a href="Javascript:showPT('FB3_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a> <a href="Javascript:showPT('FB3_9_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_2</a> <a href="Javascript:showPT('FB3_9_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_3</a> <a href="Javascript:showPT('FB3_9_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_4</a> <a href="Javascript:showPT('FB3_9_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_5</a>
<td align="center" width="10%">17</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB3_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a> <a href="Javascript:showPT('FB3_10_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_3</a> <a href="Javascript:showPT('FB3_10_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_4</a> <a href="Javascript:showPT('FB3_10_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_5</a> <a href="Javascript:showPT('FB3_11_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_3</a> <a href="Javascript:showPT('FB3_11_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_4</a> <a href="Javascript:showPT('FB3_11_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_5</a> <a href="Javascript:showPT('FB3_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a> <a href="Javascript:showPT('FB3_8_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_3</a> <a href="Javascript:showPT('FB3_8_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_4</a> <a href="Javascript:showPT('FB3_8_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_5</a> <a href="Javascript:showPT('FB3_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a> <a href="Javascript:showPT('FB3_9_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_2</a> <a href="Javascript:showPT('FB3_9_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_3</a> <a href="Javascript:showPT('FB3_9_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_4</a> <a href="Javascript:showPT('FB3_9_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_5</a>
</td>
<td align="center" width="10%">MC9</td>
<td align="center" width="10%">STD</td>
@ -119,20 +125,19 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramBACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/BACTr</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_11_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_2</a>
</td>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC10</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIOACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOACTr</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_11_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_1</a>
<td align="center" width="10%"><a href="Javascript:showEqn('fsbReady0r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/Ready0r</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_11_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_1</a> <a href="Javascript:showPT('FB3_11_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_2</a>
</td>
<td align="center" width="10%">MC11</td>
<td align="center" width="10%">STD</td>
@ -141,9 +146,9 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nWE_FSB'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a>
<td align="center" width="10%"><a href="Javascript:showEqn('csODCSr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/ODCSr</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a> <a href="Javascript:showPT('FB3_12_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_2</a>
</td>
<td align="center" width="10%">MC12</td>
<td align="center" width="10%">STD</td>
@ -152,9 +157,9 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nLDS_FSB'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('csODCSr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/ODCSr</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB3_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsSent_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Sent</a></td>
<td align="center" width="10%">13</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_12_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_3</a> <a href="Javascript:showPT('FB3_12_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_4</a> <a href="Javascript:showPT('FB3_12_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_5</a> <a href="Javascript:showPT('FB3_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB3_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a> <a href="Javascript:showPT('FB3_13_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_3</a> <a href="Javascript:showPT('FB3_13_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_4</a> <a href="Javascript:showPT('FB3_13_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_5</a> <a href="Javascript:showPT('FB3_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB3_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a> <a href="Javascript:showPT('FB3_14_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_3</a> <a href="Javascript:showPT('FB3_14_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_4</a> <a href="Javascript:showPT('FB3_14_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_5</a>
</td>
<td align="center" width="10%">MC13</td>
<td align="center" width="10%">STD</td>
@ -163,31 +168,29 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('OpTxOpTxFX_DC48_INV124_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">$OpTx$$OpTx$FX_DC$48_INV$124</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB3_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a>
</td>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC14</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">32</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nAS_FSB'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsDTACKEN_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/DTACKEN</a></td>
<td align="center" width="10%">6</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_14_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_3</a> <a href="Javascript:showPT('FB3_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('FB3_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a> <a href="Javascript:showPT('FB3_15_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_3</a> <a href="Javascript:showPT('FB3_15_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_4</a> <a href="Javascript:showPT('FB3_15_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_5</a>
</td>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC15</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">33</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nUDS_FSB'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('fsbReady1r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/Ready1r</a></td>
<td align="center" width="10%">9</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB3_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB3_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB3_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a> <a href="Javascript:showPT('FB3_16_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_5</a> <a href="Javascript:showPT('FB3_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB3_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB3_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a> <a href="Javascript:showPT('FB3_17_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_5</a>
<td align="center" width="10%">13</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('FB3_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a> <a href="Javascript:showPT('FB3_15_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_3</a> <a href="Javascript:showPT('FB3_15_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_4</a> <a href="Javascript:showPT('FB3_15_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_5</a> <a href="Javascript:showPT('FB3_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB3_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB3_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB3_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a> <a href="Javascript:showPT('FB3_16_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_5</a> <a href="Javascript:showPT('FB3_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB3_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB3_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a>
</td>
<td align="center" width="10%">MC16</td>
<td align="center" width="10%">STD</td>
@ -207,11 +210,12 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%"><a href="Javascript:showEqn('ramBACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/BACTr</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB3_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a>
</td>
<td align="center" width="10%">MC18</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
@ -219,7 +223,7 @@
</table></span></div>
<div id="tipBox"></div>
<br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol>
<li><a href="Javascript:showEqn('OpTxOpTxFX_DC48_INV124_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">$OpTx$$OpTx$FX_DC$48_INV$124</a></li>
<li><a href="Javascript:showEqn('OpTxOpTxFX_DC47_INV153_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">$OpTx$$OpTx$FX_DC$47_INV$153</a></li>
<li>A_FSB&lt;10&gt;</li>
<li>A_FSB&lt;11&gt;</li>
<li>A_FSB&lt;12&gt;</li>
@ -239,16 +243,19 @@
<li><a href="Javascript:showEqn('IOACT')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOACT</a></li>
<li><a href="Javascript:showEqn('csnOverlay_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay</a></li>
<li><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></li>
<li><a href="Javascript:showEqn('fsbReady0r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/Ready0r</a></li>
<li><a href="Javascript:showEqn('fsbReady1r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/Ready1r</a></li>
<li><a href="Javascript:showEqn('fsbVPA_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/VPA</a></li>
<li><a href="Javascript:showEqn('iobsDTACKEN_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/DTACKEN</a></li>
<li><a href="Javascript:showEqn('iobsIOACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOACTr</a></li>
<li><a href="Javascript:showEqn('iobsIODTACKr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IODTACKr</a></li>
<li><a href="Javascript:showEqn('iobsSent_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Sent</a></li>
<li><a href="Javascript:showEqn('iobsTS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/TS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('iobsTS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/TS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('nADoutLE1')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nADoutLE1</a></li>
<li>nAS_FSB</li>
<li><a href="Javascript:showEqn('nDTACK_FSB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nDTACK_FSB</a></li>
<li>nWE_FSB</li>
<li><a href="Javascript:showEqn('ramRAMReady_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMReady</a></li>
</ol></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
<td align="center"><table align="center" width="90%" border="0" cellpadding="0" cellspacing="0"><tr><td width="100%" align="center">

View File

@ -27,15 +27,14 @@
<th width="10%">Pin Use</th>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntINITS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/INITS_FSM_FFd1</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a>
</td>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC1</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%"> </td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nAoutOE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nAoutOE</a></td>
@ -49,20 +48,19 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer9_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;9&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a> <a href="Javascript:showPT('FB4_3_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_2</a>
</td>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC3</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%"> </td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer8_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;8&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a> <a href="Javascript:showPT('FB4_4_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_2</a>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRegUrgSync_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RegUrgSync</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a>
</td>
<td align="center" width="10%">MC4</td>
<td align="center" width="10%">STD</td>
@ -83,8 +81,8 @@
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nDinOE')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nDinOE</a></td>
<td align="center" width="10%">4</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a> <a href="Javascript:showPT('FB4_6_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_2</a> <a href="Javascript:showPT('FB4_6_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_3</a> <a href="Javascript:showPT('FB4_6_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_4</a>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_6_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_1</a> <a href="Javascript:showPT('FB4_6_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_2</a> <a href="Javascript:showPT('FB4_6_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_3</a>
</td>
<td align="center" width="10%">MC6</td>
<td align="center" width="10%">STD</td>
@ -93,9 +91,9 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;7&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB4_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a>
<td align="center" width="10%"><a href="Javascript:showEqn('nRESout')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nRESout</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a>
</td>
<td align="center" width="10%">MC7</td>
<td align="center" width="10%">STD</td>
@ -115,9 +113,9 @@
<td align="center" width="10%">I/O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;6&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a> <a href="Javascript:showPT('FB4_9_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_2</a>
<td align="center" width="10%"><a href="Javascript:showEqn('cntEr1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Er&lt;1&gt;</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a>
</td>
<td align="center" width="10%">MC9</td>
<td align="center" width="10%">STD</td>
@ -126,7 +124,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'nIPL2'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;5&gt;</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('cntTimerTC_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/TimerTC</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB4_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a>
</td>
@ -148,7 +146,7 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;4&gt;</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('cntTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;0&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a> <a href="Javascript:showPT('FB4_12_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_2</a>
</td>
@ -159,7 +157,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;1&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;3&gt;</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimerTC_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimerTC</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB4_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a>
</td>
@ -170,7 +168,7 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;2&gt;</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer12_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;12&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB4_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a>
</td>
@ -181,7 +179,7 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;2&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;1&gt;</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('RefReq')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RefReq</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('FB4_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a>
</td>
@ -192,9 +190,9 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;3&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer11_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;11&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB4_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a>
<td align="center" width="10%"><a href="Javascript:showEqn('cntTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;1&gt;</a></td>
<td align="center" width="10%">4</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB4_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB4_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB4_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a>
</td>
<td align="center" width="10%">MC16</td>
<td align="center" width="10%">STD</td>
@ -203,9 +201,9 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer10_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;10&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB4_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a>
<td align="center" width="10%"><a href="Javascript:showEqn('cntTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;2&gt;</a></td>
<td align="center" width="10%">5</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB4_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB4_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB4_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a> <a href="Javascript:showPT('FB4_17_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_5</a>
</td>
<td align="center" width="10%">MC17</td>
<td align="center" width="10%">STD</td>
@ -214,9 +212,9 @@
<td align="center" width="10%"><a href="#" onmouseover="this._tip = 'A_FSB&lt;4&gt;'; window.status='Input Signal'; return true;" onmouseout="window.status=''" class="tipBoxCursor">I</a></td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntINITS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/INITS_FSM_FFd2</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB4_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a>
<td align="center" width="10%"><a href="Javascript:showEqn('RefUrg')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RefUrg</a></td>
<td align="center" width="10%">5</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB4_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB4_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB4_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB4_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB4_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a>
</td>
<td align="center" width="10%">MC18</td>
<td align="center" width="10%">STD</td>
@ -231,12 +229,15 @@
<li>A_FSB&lt;21&gt;</li>
<li>A_FSB&lt;22&gt;</li>
<li>A_FSB&lt;23&gt;</li>
<li><a href="Javascript:showEqn('RefUrg')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RefUrg</a></li>
<li><a href="Javascript:showEqn('cntEr0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Er&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('cntEr1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Er&lt;1&gt;</a></li>
<li><a href="Javascript:showEqn('cntINITS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/INITS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('cntINITS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/INITS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('cntLTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer10_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;10&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer11_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;11&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer12_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;12&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;1&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;2&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;3&gt;</a></li>
@ -246,10 +247,10 @@
<li><a href="Javascript:showEqn('cntLTimer7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;7&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer8_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;8&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer9_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;9&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimerTC_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimerTC</a></li>
<li><a href="Javascript:showEqn('cntTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('cntTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;1&gt;</a></li>
<li><a href="Javascript:showEqn('cntTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;2&gt;</a></li>
<li><a href="Javascript:showEqn('cntTimerTC_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/TimerTC</a></li>
<li><a href="Javascript:showEqn('cntnIPL2r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/nIPL2r</a></li>
<li><a href="Javascript:showEqn('csnOverlay_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay</a></li>
<li><a href="Javascript:showEqn('fsbVPA_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/VPA</a></li>
<li><a href="Javascript:showEqn('iobmDoutOE_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobm/DoutOE</a></li>
<li>nAS_FSB</li>

View File

@ -27,9 +27,9 @@
<th width="10%">Pin Use</th>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsClear1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Clear1</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_2_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_3</a>
<td align="center" width="10%"><a href="Javascript:showEqn('IOL0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOL0</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <a href="Javascript:showPT('FB5_1_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_2</a> <a href="Javascript:showPT('FB5_2_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_3</a>
</td>
<td align="center" width="10%">MC1</td>
<td align="center" width="10%">STD</td>
@ -49,9 +49,9 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsTS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/TS_FSM_FFd1</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a> <a href="Javascript:showPT('FB5_3_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_2</a>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIORW1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IORW1</a></td>
<td align="center" width="10%">8</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a> <a href="Javascript:showPT('FB5_3_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_2</a> <a href="Javascript:showPT('FB5_3_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_3</a> <a href="Javascript:showPT('FB5_3_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_4</a> <a href="Javascript:showPT('FB5_3_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_5</a> <a href="Javascript:showPT('FB5_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a> <a href="Javascript:showPT('FB5_4_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_2</a> <a href="Javascript:showPT('FB5_4_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_3</a>
</td>
<td align="center" width="10%">MC3</td>
<td align="center" width="10%">STD</td>
@ -60,12 +60,11 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('IOU0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOU0</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a> <a href="Javascript:showPT('FB5_4_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_2</a> <a href="Javascript:showPT('FB5_4_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_3</a>
</td>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC4</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
@ -93,9 +92,9 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('IOL0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOL0</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB5_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a> <a href="Javascript:showPT('FB5_7_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_3</a>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsTS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/TS_FSM_FFd2</a></td>
<td align="center" width="10%">14</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_6_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_2</a> <a href="Javascript:showPT('FB5_6_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_3</a> <a href="Javascript:showPT('FB5_6_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_4</a> <a href="Javascript:showPT('FB5_6_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_5</a> <a href="Javascript:showPT('FB5_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB5_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a> <a href="Javascript:showPT('FB5_7_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_3</a> <a href="Javascript:showPT('FB5_7_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_4</a> <a href="Javascript:showPT('FB5_7_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_5</a> <a href="Javascript:showPT('FB5_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a> <a href="Javascript:showPT('FB5_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a> <a href="Javascript:showPT('FB5_8_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_3</a> <a href="Javascript:showPT('FB5_8_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_4</a> <a href="Javascript:showPT('FB5_8_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_5</a>
</td>
<td align="center" width="10%">MC7</td>
<td align="center" width="10%">STD</td>
@ -104,12 +103,11 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsLoad1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Load1</a></td>
<td align="center" width="10%">4</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a> <a href="Javascript:showPT('FB5_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a> <a href="Javascript:showPT('FB5_8_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_3</a> <a href="Javascript:showPT('FB5_8_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_4</a>
</td>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC8</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">39</td>
<td width="8%" align="center">I/O</td>
<td align="center" width="10%">(b)</td>
@ -126,9 +124,9 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIORW1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IORW1</a></td>
<td align="center" width="10%">4</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB5_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a> <a href="Javascript:showPT('FB5_10_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_3</a> <a href="Javascript:showPT('FB5_10_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_4</a>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsDTACKEN_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/DTACKEN</a></td>
<td align="center" width="10%">6</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB5_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a> <a href="Javascript:showPT('FB5_10_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_3</a> <a href="Javascript:showPT('FB5_10_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_4</a> <a href="Javascript:showPT('FB5_10_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_5</a> <a href="Javascript:showPT('FB5_9_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_3</a>
</td>
<td align="center" width="10%">MC10</td>
<td align="center" width="10%">STD</td>
@ -150,7 +148,7 @@
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('RA5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;5&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a> <a href="Javascript:showPT('FB5_12_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_2</a>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_11_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_3</a> <a href="Javascript:showPT('FB5_11_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_4</a>
</td>
<td align="center" width="10%">MC12</td>
<td align="center" width="10%">STD</td>
@ -159,9 +157,9 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsTS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/TS_FSM_FFd2</a></td>
<td align="center" width="10%">5</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB5_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a> <a href="Javascript:showPT('FB5_13_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_3</a> <a href="Javascript:showPT('FB5_13_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_4</a> <a href="Javascript:showPT('FB5_13_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_5</a>
<td align="center" width="10%"><a href="Javascript:showEqn('IOREQ')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOREQ</a></td>
<td align="center" width="10%">14</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_12_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_1</a> <a href="Javascript:showPT('FB5_12_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_2</a> <a href="Javascript:showPT('FB5_12_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_3</a> <a href="Javascript:showPT('FB5_12_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_4</a> <a href="Javascript:showPT('FB5_12_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">12_5</a> <a href="Javascript:showPT('FB5_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB5_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a> <a href="Javascript:showPT('FB5_13_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_3</a> <a href="Javascript:showPT('FB5_13_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_4</a> <a href="Javascript:showPT('FB5_13_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_5</a> <a href="Javascript:showPT('FB5_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a> <a href="Javascript:showPT('FB5_14_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_3</a> <a href="Javascript:showPT('FB5_14_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_4</a> <a href="Javascript:showPT('FB5_14_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_5</a>
</td>
<td align="center" width="10%">MC13</td>
<td align="center" width="10%">STD</td>
@ -172,7 +170,7 @@
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('RA2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;2&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB5_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB5_15_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_3</a>
</td>
<td align="center" width="10%">MC14</td>
<td align="center" width="10%">STD</td>
@ -192,20 +190,19 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('IOREQ')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IOREQ</a></td>
<td align="center" width="10%">5</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_15_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_3</a> <a href="Javascript:showPT('FB5_15_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_4</a> <a href="Javascript:showPT('FB5_15_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_5</a> <a href="Javascript:showPT('FB5_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB5_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a>
</td>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC16</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsSent_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Sent</a></td>
<td align="center" width="10%">9</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB5_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a> <a href="Javascript:showPT('FB5_16_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_5</a> <a href="Javascript:showPT('FB5_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB5_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB5_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB5_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a> <a href="Javascript:showPT('FB5_17_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_5</a> <a href="Javascript:showPT('FB5_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a>
<td align="center" width="10%"><a href="Javascript:showEqn('IORW0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IORW0</a></td>
<td align="center" width="10%">18</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB5_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB5_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB5_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a> <a href="Javascript:showPT('FB5_16_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_5</a> <a href="Javascript:showPT('FB5_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB5_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB5_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB5_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a> <a href="Javascript:showPT('FB5_17_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_5</a> <a href="Javascript:showPT('FB5_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB5_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB5_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB5_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB5_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a> <a href="Javascript:showPT('FB5_1_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_3</a> <a href="Javascript:showPT('FB5_1_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_4</a> <a href="Javascript:showPT('FB5_1_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_5</a>
</td>
<td align="center" width="10%">MC17</td>
<td align="center" width="10%">STD</td>
@ -214,12 +211,11 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('IORW0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IORW0</a></td>
<td align="center" width="10%">9</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB5_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB5_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB5_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB5_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB5_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <a href="Javascript:showPT('FB5_1_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_2</a> <a href="Javascript:showPT('FB5_1_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_3</a> <a href="Javascript:showPT('FB5_1_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_4</a> <a href="Javascript:showPT('FB5_1_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_5</a>
</td>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC18</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
@ -232,6 +228,9 @@
<li>A_FSB&lt;14&gt;</li>
<li>A_FSB&lt;15&gt;</li>
<li>A_FSB&lt;16&gt;</li>
<li>A_FSB&lt;17&gt;</li>
<li>A_FSB&lt;18&gt;</li>
<li>A_FSB&lt;19&gt;</li>
<li>A_FSB&lt;20&gt;</li>
<li>A_FSB&lt;21&gt;</li>
<li>A_FSB&lt;22&gt;</li>
@ -244,17 +243,16 @@
<li><a href="Javascript:showEqn('IORW0')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">IORW0</a></li>
<li><a href="Javascript:showEqn('csnOverlay_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay</a></li>
<li><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></li>
<li><a href="Javascript:showEqn('iobsDTACKEN_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/DTACKEN</a></li>
<li><a href="Javascript:showEqn('iobsIOACTr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOACTr</a></li>
<li><a href="Javascript:showEqn('iobsIOL1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOL1</a></li>
<li><a href="Javascript:showEqn('iobsIORW1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IORW1</a></li>
<li><a href="Javascript:showEqn('iobsIOU1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOU1</a></li>
<li><a href="Javascript:showEqn('iobsSent_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Sent</a></li>
<li><a href="Javascript:showEqn('iobsTS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/TS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('iobsTS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/TS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('nADoutLE1')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nADoutLE1</a></li>
<li>nAS_FSB</li>
<li>nLDS_FSB</li>
<li>nUDS_FSB</li>
<li>nWE_FSB</li>
<li><a href="Javascript:showEqn('ramRASEL_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASEL</a></li>
</ol></span><form><span class="pgRef"><table width="90%" align="center"><tr>

View File

@ -27,14 +27,15 @@
<th width="10%">Pin Use</th>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;0&gt;</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <a href="Javascript:showPT('VCC')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''"></a>
</td>
<td align="center" width="10%">MC1</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('RA1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;1&gt;</a></td>
@ -48,24 +49,26 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%"><a href="Javascript:showEqn('csnOverlay_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a> <a href="Javascript:showPT('FB7_3_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_2</a>
</td>
<td align="center" width="10%">MC3</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer9_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;9&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a> <a href="Javascript:showPT('FB7_4_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_2</a>
</td>
<td align="center" width="10%">MC4</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('RA7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;7&gt;</a></td>
@ -90,9 +93,9 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;0&gt;</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('VCC')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''"></a>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer8_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;8&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB7_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a>
</td>
<td align="center" width="10%">MC7</td>
<td align="center" width="10%">STD</td>
@ -102,8 +105,8 @@
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('RA8_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">RA&lt;8&gt;</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a>
<td align="center" width="10%">5</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_8_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_1</a> <a href="Javascript:showPT('FB7_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a> <a href="Javascript:showPT('FB7_8_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_3</a> <a href="Javascript:showPT('FB7_8_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_4</a> <a href="Javascript:showPT('FB7_8_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_5</a>
</td>
<td align="center" width="10%">MC8</td>
<td align="center" width="10%">STD</td>
@ -123,9 +126,9 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntEr1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Er&lt;1&gt;</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;7&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB7_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a>
</td>
<td align="center" width="10%">MC10</td>
<td align="center" width="10%">STD</td>
@ -156,7 +159,7 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;0&gt;</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;3&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB7_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a>
</td>
@ -178,7 +181,7 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimerTC_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimerTC</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;2&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_15_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_1</a> <a href="Javascript:showPT('FB7_15_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_2</a>
</td>
@ -189,7 +192,7 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer12_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;12&gt;</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;1&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB7_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a>
</td>
@ -200,9 +203,9 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;1&gt;</a></td>
<td align="center" width="10%">4</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB7_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB7_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB7_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer11_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;11&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB7_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a>
</td>
<td align="center" width="10%">MC17</td>
<td align="center" width="10%">STD</td>
@ -211,9 +214,9 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('cntTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;2&gt;</a></td>
<td align="center" width="10%">5</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB7_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB7_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB7_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB7_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer10_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;10&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB7_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB7_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a>
</td>
<td align="center" width="10%">MC18</td>
<td align="center" width="10%">STD</td>
@ -232,14 +235,16 @@
<li>A_FSB&lt;1&gt;</li>
<li>A_FSB&lt;20&gt;</li>
<li>A_FSB&lt;21&gt;</li>
<li>A_FSB&lt;22&gt;</li>
<li>A_FSB&lt;23&gt;</li>
<li>A_FSB&lt;2&gt;</li>
<li>A_FSB&lt;8&gt;</li>
<li>A_FSB&lt;9&gt;</li>
<li>nRES.PIN</li>
<li><a href="Javascript:showEqn('cntEr0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Er&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('cntEr1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Er&lt;1&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer10_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;10&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer11_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;11&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer12_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;12&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;1&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;2&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;3&gt;</a></li>
@ -249,10 +254,11 @@
<li><a href="Javascript:showEqn('cntLTimer7_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;7&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer8_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;8&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer9_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;9&gt;</a></li>
<li><a href="Javascript:showEqn('cntTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('cntTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;1&gt;</a></li>
<li><a href="Javascript:showEqn('cntTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Timer&lt;2&gt;</a></li>
<li><a href="Javascript:showEqn('cntTimerTC_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/TimerTC</a></li>
<li><a href="Javascript:showEqn('csODCSr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/ODCSr</a></li>
<li><a href="Javascript:showEqn('csnOverlay_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay</a></li>
<li><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></li>
<li>nAS_FSB</li>
<li><a href="Javascript:showEqn('ramRASEL_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASEL</a></li>
</ol></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>

View File

@ -27,12 +27,11 @@
<th width="10%">Pin Use</th>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRefUrg_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefUrg</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a>
</td>
<td align="center" width="10%">(unused)</td>
<td align="center" width="10%">0</td>
<td align="center" width="30%"> </td>
<td align="center" width="10%">MC1</td>
<td align="center" width="10%">STD</td>
<td align="center" width="10%"> </td>
<td align="center" width="10%"> </td>
<td align="center" width="10%">(b)</td>
<td align="center" width="10%">(b)</td>
@ -49,9 +48,9 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRefReq_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefReq</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRAMEN_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMEN</a></td>
<td align="center" width="10%">9</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_2_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_2</a> <a href="Javascript:showPT('FB8_2_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_3</a> <a href="Javascript:showPT('FB8_2_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_4</a> <a href="Javascript:showPT('FB8_2_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">2_5</a> <a href="Javascript:showPT('FB8_3_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_1</a> <a href="Javascript:showPT('FB8_3_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_2</a> <a href="Javascript:showPT('FB8_3_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_3</a> <a href="Javascript:showPT('FB8_3_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_4</a> <a href="Javascript:showPT('FB8_3_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">3_5</a>
</td>
<td align="center" width="10%">MC3</td>
<td align="center" width="10%">STD</td>
@ -60,9 +59,9 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd1</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRAMReady_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMReady</a></td>
<td align="center" width="10%">9</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_4_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_1</a> <a href="Javascript:showPT('FB8_4_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_2</a> <a href="Javascript:showPT('FB8_4_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_3</a> <a href="Javascript:showPT('FB8_4_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_4</a> <a href="Javascript:showPT('FB8_4_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">4_5</a> <a href="Javascript:showPT('FB8_5_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_2</a> <a href="Javascript:showPT('FB8_5_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_3</a> <a href="Javascript:showPT('FB8_5_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_4</a> <a href="Javascript:showPT('FB8_5_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_5</a>
</td>
<td align="center" width="10%">MC4</td>
<td align="center" width="10%">STD</td>
@ -72,8 +71,8 @@
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nRAS')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nRAS</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_5_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_1</a>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_5_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">5_1</a> <a href="Javascript:showPT('FB8_6_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">6_2</a>
</td>
<td align="center" width="10%">MC5</td>
<td align="center" width="10%">STD</td>
@ -93,9 +92,9 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('nRESout')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nRESout</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd2</a></td>
<td align="center" width="10%">7</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_7_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_1</a> <a href="Javascript:showPT('FB8_7_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_2</a> <a href="Javascript:showPT('FB8_7_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_3</a> <a href="Javascript:showPT('FB8_7_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_4</a> <a href="Javascript:showPT('FB8_7_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">7_5</a> <a href="Javascript:showPT('FB8_8_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_2</a> <a href="Javascript:showPT('FB8_8_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">8_3</a>
</td>
<td align="center" width="10%">MC7</td>
<td align="center" width="10%">STD</td>
@ -115,9 +114,9 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRefDone_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefDone</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a> <a href="Javascript:showPT('FB8_9_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_2</a>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd3</a></td>
<td align="center" width="10%">7</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_10_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_4</a> <a href="Javascript:showPT('FB8_10_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_5</a> <a href="Javascript:showPT('FB8_9_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_1</a> <a href="Javascript:showPT('FB8_9_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_2</a> <a href="Javascript:showPT('FB8_9_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_3</a> <a href="Javascript:showPT('FB8_9_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_4</a> <a href="Javascript:showPT('FB8_9_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">9_5</a>
</td>
<td align="center" width="10%">MC9</td>
<td align="center" width="10%">STD</td>
@ -126,9 +125,9 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIOU1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOU1</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB8_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd1</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_10_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_1</a> <a href="Javascript:showPT('FB8_10_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_2</a> <a href="Javascript:showPT('FB8_10_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">10_3</a>
</td>
<td align="center" width="10%">MC10</td>
<td align="center" width="10%">STD</td>
@ -137,7 +136,7 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('iobsIOL1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/IOL1</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('cntINITS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/INITS_FSM_FFd2</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_11_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_1</a> <a href="Javascript:showPT('FB8_11_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">11_2</a>
</td>
@ -159,7 +158,7 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('csnOverlay_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay</a></td>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;4&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_13_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_1</a> <a href="Javascript:showPT('FB8_13_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">13_2</a>
</td>
@ -170,9 +169,9 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd3</a></td>
<td align="center" width="10%">3</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB8_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a> <a href="Javascript:showPT('FB8_14_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_3</a>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;5&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_14_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_1</a> <a href="Javascript:showPT('FB8_14_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">14_2</a>
</td>
<td align="center" width="10%">MC14</td>
<td align="center" width="10%">STD</td>
@ -192,9 +191,9 @@
<td align="center" width="10%">O</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd2</a></td>
<td align="center" width="10%">5</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_15_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">15_3</a> <a href="Javascript:showPT('FB8_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB8_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a> <a href="Javascript:showPT('FB8_16_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_3</a> <a href="Javascript:showPT('FB8_16_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_4</a>
<td align="center" width="10%"><a href="Javascript:showEqn('cntLTimer6_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;6&gt;</a></td>
<td align="center" width="10%">2</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_16_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_1</a> <a href="Javascript:showPT('FB8_16_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_2</a>
</td>
<td align="center" width="10%">MC16</td>
<td align="center" width="10%">STD</td>
@ -203,9 +202,9 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRASEL_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASEL</a></td>
<td align="center" width="10%">6</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_16_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">16_5</a> <a href="Javascript:showPT('FB8_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a> <a href="Javascript:showPT('FB8_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB8_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB8_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a> <a href="Javascript:showPT('FB8_17_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_5</a>
<td align="center" width="10%"><a href="Javascript:showEqn('cntINITS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/INITS_FSM_FFd1</a></td>
<td align="center" width="10%">1</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_17_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_1</a>
</td>
<td align="center" width="10%">MC17</td>
<td align="center" width="10%">STD</td>
@ -214,9 +213,9 @@
<td align="center" width="10%">(b)</td>
</tr>
<tr>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRAMEN_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RAMEN</a></td>
<td align="center" width="10%">7</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB8_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB8_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB8_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB8_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a> <a href="Javascript:showPT('FB8_1_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_2</a> <a href="Javascript:showPT('FB8_1_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_3</a>
<td align="center" width="10%"><a href="Javascript:showEqn('ramRASEL_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RASEL</a></td>
<td align="center" width="10%">14</td>
<td align="center" width="30%"> <a href="Javascript:showPT('FB8_17_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_2</a> <a href="Javascript:showPT('FB8_17_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_3</a> <a href="Javascript:showPT('FB8_17_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_4</a> <a href="Javascript:showPT('FB8_17_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">17_5</a> <a href="Javascript:showPT('FB8_18_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_1</a> <a href="Javascript:showPT('FB8_18_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_2</a> <a href="Javascript:showPT('FB8_18_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_3</a> <a href="Javascript:showPT('FB8_18_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_4</a> <a href="Javascript:showPT('FB8_18_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">18_5</a> <a href="Javascript:showPT('FB8_1_1')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_1</a> <a href="Javascript:showPT('FB8_1_2')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_2</a> <a href="Javascript:showPT('FB8_1_3')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_3</a> <a href="Javascript:showPT('FB8_1_4')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_4</a> <a href="Javascript:showPT('FB8_1_5')" onmouseover="window.status='show Pterm'; return true;" onmouseout="window.status=''">1_5</a>
</td>
<td align="center" width="10%">MC18</td>
<td align="center" width="10%">STD</td>
@ -228,15 +227,24 @@
<div id="tipBox"></div>
<br><span id="fbsiguse" class="pgRef"><b>Signals Used By Logic in Function Block</b><br><ol>
<li>A_FSB&lt;19&gt;</li>
<li>nRES.PIN</li>
<li>A_FSB&lt;22&gt;</li>
<li>A_FSB&lt;23&gt;</li>
<li><a href="Javascript:showEqn('cntEr0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Er&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('cntEr1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/Er&lt;1&gt;</a></li>
<li><a href="Javascript:showEqn('cntINITS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/INITS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('cntINITS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/INITS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('cntLTimer0_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;0&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;1&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;2&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;3&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer4_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;4&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimer5_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimer&lt;5&gt;</a></li>
<li><a href="Javascript:showEqn('cntLTimerTC_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/LTimerTC</a></li>
<li><a href="Javascript:showEqn('cntTimerTC_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/TimerTC</a></li>
<li><a href="Javascript:showEqn('cntnIPL2r_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cnt/nIPL2r</a></li>
<li><a href="Javascript:showEqn('csODCSr_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/ODCSr</a></li>
<li><a href="Javascript:showEqn('csnOverlay_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">cs/nOverlay</a></li>
<li><a href="Javascript:showEqn('fsbASrf_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">fsb/ASrf</a></li>
<li><a href="Javascript:showEqn('iobsDTACKEN_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/DTACKEN</a></li>
<li><a href="Javascript:showEqn('iobsLoad1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">iobs/Load1</a></li>
<li>nAS_FSB</li>
<li>nBERR_IOB</li>
<li><a href="Javascript:showEqn('nBR_IOB')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">nBR_IOB</a></li>
@ -248,11 +256,9 @@
<li><a href="Javascript:showEqn('ramRS_FSM_FFd1_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd1</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd2_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd2</a></li>
<li><a href="Javascript:showEqn('ramRS_FSM_FFd3_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RS_FSM_FFd3</a></li>
<li><a href="Javascript:showEqn('ramRefDone_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefDone</a></li>
<li><a href="Javascript:showEqn('ramRefRAS_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefRAS</a></li>
<li><a href="Javascript:showEqn('ramRefReq_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefReq</a></li>
<li><a href="Javascript:showEqn('ramRefReqSync_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefReqSync</a></li>
<li><a href="Javascript:showEqn('ramRefUrg_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RefUrg</a></li>
<li><a href="Javascript:showEqn('ramRegUrgSync_SPECSIG')" onmouseover="window.status='show Equation'; return true;" onmouseout="window.status=''">ram/RegUrgSync</a></li>
</ol></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>
<td align="center"><table align="center" width="90%" border="0" cellpadding="0" cellspacing="0"><tr><td width="100%" align="center"><input type="button" onclick="javascript:showFB('FB7')" onmouseover="window.status='show previous Function Block'; return true;" onmouseout="window.status=''" value="prev"></td></tr></table></td>

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -30,7 +30,7 @@
<tr>
<td width="40%"> <b>Date</b>
</td>
<td width="60%">  4- 1-2023, 4:45AM</td>
<td width="60%">  4- 1-2023, 7:57AM</td>
</tr>
</table></span><br><span id="sumres" class="pgRef"><h5 align="center">RESOURCES SUMMARY</h5>
<table align="center" width="90%" border="1" cellspacing="0" cellpadding="0">
@ -42,11 +42,11 @@
<th width="20%">Function Block Inputs Used</th>
</tr>
<tr>
<td width="20%" align="center">114/144  (80%)</td>
<td width="20%" align="center">288/720  (40%)</td>
<td width="20%" align="center">88/144  (62%)</td>
<td width="20%" align="center">117/144  (82%)</td>
<td width="20%" align="center">371/720  (52%)</td>
<td width="20%" align="center">90/144  (63%)</td>
<td width="20%" align="center">71/81  (88%)</td>
<td width="20%" align="center">194/432  (45%)</td>
<td width="20%" align="center">217/432  (51%)</td>
</tr>
</table></span><br><span id="pinres" class="pgRef"><h5 align="center">PIN RESOURCES</h5>
<table align="center" width="90%" border="0" cellspacing="0" cellpadding="0"><tr>
@ -133,7 +133,7 @@
<table align="center" width="90%" border="1" cellspacing="0" cellpadding="0">
<tr>
<td width="50%"> Macrocells in high performance mode (MCHP)</td>
<td width="50%"> 114</td>
<td width="50%"> 117</td>
</tr>
<tr>
<td width="50%"> Macrocells in low power mode (MCLP)</td>
@ -141,7 +141,7 @@
</tr>
<tr>
<td width="50%"> Total macrocells used (MC)</td>
<td width="50%"> 114</td>
<td width="50%"> 117</td>
</tr>
</table></span><form><span class="pgRef"><table width="90%" align="center"><tr>
<td align="left"><input type="button" onclick="javascript:parent.leftnav.showTop()" onmouseover="window.status='goto top of page'; return true;" onmouseout="window.status=''" value="back to top"></td>

View File

@ -5,7 +5,7 @@
The structure and the elements are likely to change over the next few releases.
This means code written to parse this file will need to be revisited each subsequent release.-->
<application stringID="NgdBuild" timeStamp="Sat Apr 01 04:45:22 2023">
<application stringID="NgdBuild" timeStamp="Sat Apr 01 07:57:13 2023">
<section stringID="User_Env">
<table stringID="User_EnvVar">
<column stringID="variable"/>
@ -61,37 +61,37 @@
<section stringID="NGDBUILD_DESIGN_SUMMARY">
<item dataType="int" stringID="NGDBUILD_NUM_ERRORS" value="0"/>
<item dataType="int" stringID="NGDBUILD_FILTERED_WARNINGS" value="0"/>
<item dataType="int" stringID="NGDBUILD_NUM_WARNINGS" value="1"/>
<item dataType="int" stringID="NGDBUILD_NUM_WARNINGS" value="0"/>
<item dataType="int" stringID="NGDBUILD_FILTERED_INFOS" value="0"/>
<item dataType="int" stringID="NGDBUILD_NUM_INFOS" value="0"/>
</section>
<section stringID="NGDBUILD_PRE_UNISIM_SUMMARY">
<item dataType="int" stringID="NGDBUILD_NUM_AND2" value="151"/>
<item dataType="int" stringID="NGDBUILD_NUM_AND3" value="20"/>
<item dataType="int" stringID="NGDBUILD_NUM_AND4" value="7"/>
<item dataType="int" stringID="NGDBUILD_NUM_AND5" value="2"/>
<item dataType="int" stringID="NGDBUILD_NUM_FD" value="53"/>
<item dataType="int" stringID="NGDBUILD_NUM_FDCE" value="35"/>
<item dataType="int" stringID="NGDBUILD_NUM_AND2" value="173"/>
<item dataType="int" stringID="NGDBUILD_NUM_AND3" value="27"/>
<item dataType="int" stringID="NGDBUILD_NUM_AND4" value="8"/>
<item dataType="int" stringID="NGDBUILD_NUM_AND5" value="3"/>
<item dataType="int" stringID="NGDBUILD_NUM_FD" value="54"/>
<item dataType="int" stringID="NGDBUILD_NUM_FDCE" value="36"/>
<item dataType="int" stringID="NGDBUILD_NUM_GND" value="7"/>
<item dataType="int" stringID="NGDBUILD_NUM_IBUF" value="35"/>
<item dataType="int" stringID="NGDBUILD_NUM_INV" value="204"/>
<item dataType="int" stringID="NGDBUILD_NUM_INV" value="234"/>
<item dataType="int" stringID="NGDBUILD_NUM_OBUF" value="31"/>
<item dataType="int" stringID="NGDBUILD_NUM_OR2" value="83"/>
<item dataType="int" stringID="NGDBUILD_NUM_OR3" value="5"/>
<item dataType="int" stringID="NGDBUILD_NUM_OR2" value="97"/>
<item dataType="int" stringID="NGDBUILD_NUM_OR3" value="6"/>
<item dataType="int" stringID="NGDBUILD_NUM_VCC" value="1"/>
<item dataType="int" stringID="NGDBUILD_NUM_XOR2" value="19"/>
</section>
<section stringID="NGDBUILD_POST_UNISIM_SUMMARY">
<item dataType="int" stringID="NGDBUILD_NUM_AND2" value="151"/>
<item dataType="int" stringID="NGDBUILD_NUM_AND3" value="20"/>
<item dataType="int" stringID="NGDBUILD_NUM_AND4" value="7"/>
<item dataType="int" stringID="NGDBUILD_NUM_AND5" value="2"/>
<item dataType="int" stringID="NGDBUILD_NUM_GND" value="60"/>
<item dataType="int" stringID="NGDBUILD_NUM_AND2" value="173"/>
<item dataType="int" stringID="NGDBUILD_NUM_AND3" value="27"/>
<item dataType="int" stringID="NGDBUILD_NUM_AND4" value="8"/>
<item dataType="int" stringID="NGDBUILD_NUM_AND5" value="3"/>
<item dataType="int" stringID="NGDBUILD_NUM_GND" value="61"/>
<item dataType="int" stringID="NGDBUILD_NUM_IBUF" value="40"/>
<item dataType="int" stringID="NGDBUILD_NUM_INV" value="204"/>
<item dataType="int" stringID="NGDBUILD_NUM_INV" value="234"/>
<item dataType="int" stringID="NGDBUILD_NUM_OBUF" value="31"/>
<item dataType="int" stringID="NGDBUILD_NUM_OR2" value="83"/>
<item dataType="int" stringID="NGDBUILD_NUM_OR3" value="5"/>
<item dataType="int" stringID="NGDBUILD_NUM_OR2" value="97"/>
<item dataType="int" stringID="NGDBUILD_NUM_OR3" value="6"/>
<item dataType="int" stringID="NGDBUILD_NUM_VCC" value="1"/>
<item dataType="int" stringID="NGDBUILD_NUM_XOR2" value="19"/>
</section>

View File

@ -1,7 +1,7 @@
Release 8.1i - Fit P.20131013
Copyright(c) 1995-2003 Xilinx Inc. All rights reserved
4- 1-2023 4:45AM
4- 1-2023 7:57AM
NOTE: This file is designed to be imported into a spreadsheet program
such as Microsoft Excel for viewing, printing and sorting. The comma ','

1 Release 8.1i - Fit P.20131013
2 Copyright(c) 1995-2003 Xilinx Inc. All rights reserved
3 4- 1-2023 4:45AM 4- 1-2023 7:57AM
4 NOTE: This file is designed to be imported into a spreadsheet program
5 such as Microsoft Excel for viewing, printing and sorting. The comma ','
6 character is used as the data field separator.
7 This file is also designed to support parsing.

View File

@ -2,7 +2,7 @@
<BODY TEXT='#000000' BGCOLOR='#FFFFFF' LINK='#0000EE' VLINK='#551A8B' ALINK='#FF0000'>
<TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'>
<TD ALIGN=CENTER COLSPAN='4'><B>WarpSE Project Status (04/01/2023 - 04:45:43)</B></TD></TR>
<TD ALIGN=CENTER COLSPAN='4'><B>WarpSE Project Status (04/01/2023 - 07:57:39)</B></TD></TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Project File:</B></TD>
<TD>WarpSE.xise</TD>
@ -25,7 +25,7 @@ No Errors</TD>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Product Version:</B></TD><TD>ISE 14.7</TD>
<TD BGCOLOR='#FFFF99'><UL><LI><B>Warnings:</B></LI></UL></TD>
<TD ALIGN=LEFT><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\_xmsgs/*.xmsgs?&DataKey=Warning'>9 Warnings (0 new)</A></TD>
<TD ALIGN=LEFT><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\_xmsgs/*.xmsgs?&DataKey=Warning'>4 Warnings (0 new)</A></TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Design Goal:</B></dif></TD>
@ -65,9 +65,9 @@ System Settings</A>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD ALIGN=CENTER COLSPAN='6'><B>Detailed Reports</B></TD><TD ALIGN=RIGHT WIDTH='10%'COLSPAN=1> <A HREF_DISABLED="?&ExpandedTable=DetailedReports"><B>[-]</B></a></TD></TR>
<TR BGCOLOR='#FFFF99'><TD><B>Report Name</B></TD><TD><B>Status</B></TD><TD><B>Generated</B></TD>
<TD ALIGN=LEFT><B>Errors</B></TD><TD ALIGN=LEFT><B>Warnings</B></TD><TD ALIGN=LEFT COLSPAN='2'><B>Infos</B></TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\WarpSE.syr'>Synthesis Report</A></TD><TD>Current</TD><TD>Sat Apr 1 04:45:17 2023</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\_xmsgs/xst.xmsgs?&DataKey=Warning'>8 Warnings (0 new)</A></TD><TD ALIGN=LEFT COLSPAN='2'>0</TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\WarpSE.bld'>Translation Report</A></TD><TD>Current</TD><TD>Sat Apr 1 04:45:23 2023</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\_xmsgs/ngdbuild.xmsgs?&DataKey=Warning'>1 Warning (0 new)</A></TD><TD ALIGN=LEFT COLSPAN='2'>0</TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\WarpSE.rpt'>CPLD Fitter Report (Text)</A></TD><TD>Current</TD><TD>Sat Apr 1 04:45:34 2023</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\_xmsgs/cpldfit.xmsgs?&DataKey=Warning'>5 Warnings (1 new)</A></TD><TD ALIGN=LEFT COLSPAN='2'><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\_xmsgs/cpldfit.xmsgs?&DataKey=Info'>3 Infos (3 new)</A></TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\WarpSE.syr'>Synthesis Report</A></TD><TD>Current</TD><TD>Sat Apr 1 07:57:07 2023</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\_xmsgs/xst.xmsgs?&DataKey=Warning'>4 Warnings (0 new)</A></TD><TD ALIGN=LEFT COLSPAN='2'>0</TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\WarpSE.bld'>Translation Report</A></TD><TD>Current</TD><TD>Sat Apr 1 07:57:13 2023</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT COLSPAN='2'>0</TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\WarpSE.rpt'>CPLD Fitter Report (Text)</A></TD><TD>Current</TD><TD>Sat Apr 1 07:57:30 2023</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\_xmsgs/cpldfit.xmsgs?&DataKey=Warning'>5 Warnings (1 new)</A></TD><TD ALIGN=LEFT COLSPAN='2'><A HREF_DISABLED='C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\_xmsgs/cpldfit.xmsgs?&DataKey=Info'>3 Infos (3 new)</A></TD></TR>
<TR ALIGN=LEFT><TD>Power Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
</TABLE>
&nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
@ -77,5 +77,5 @@ System Settings</A>
</TABLE>
<br><center><b>Date Generated:</b> 04/01/2023 - 04:45:43</center>
<br><center><b>Date Generated:</b> 04/01/2023 - 07:57:39</center>
</BODY></HTML>

View File

@ -5,7 +5,7 @@
The structure and the elements are likely to change over the next few releases.
This means code written to parse this file will need to be revisited each subsequent release.-->
<application stringID="Xst" timeStamp="Sat Apr 01 04:45:12 2023">
<application stringID="Xst" timeStamp="Sat Apr 01 07:57:02 2023">
<section stringID="User_Env">
<table stringID="User_EnvVar">
<column stringID="variable"/>
@ -112,19 +112,19 @@
<item stringID="XST_IOS" value="75"/>
</section>
<section stringID="XST_CELL_USAGE">
<item dataType="int" stringID="XST_BELS" value="503">
<item dataType="int" stringID="XST_AND2" value="151"/>
<item dataType="int" stringID="XST_AND3" value="20"/>
<item dataType="int" stringID="XST_AND4" value="7"/>
<item dataType="int" stringID="XST_BELS" value="580">
<item dataType="int" stringID="XST_AND2" value="173"/>
<item dataType="int" stringID="XST_AND3" value="27"/>
<item dataType="int" stringID="XST_AND4" value="8"/>
<item dataType="int" stringID="XST_GND" value="7"/>
<item dataType="int" stringID="XST_INV" value="204"/>
<item dataType="int" stringID="XST_OR2" value="83"/>
<item dataType="int" stringID="XST_INV" value="234"/>
<item dataType="int" stringID="XST_OR2" value="97"/>
<item dataType="int" stringID="XST_VCC" value="1"/>
<item dataType="int" stringID="XST_XOR2" value="19"/>
</item>
<item dataType="int" stringID="XST_FLIPFLOPSLATCHES" value="88">
<item dataType="int" stringID="XST_FD" value="53"/>
<item dataType="int" stringID="XST_FDCE" value="35"/>
<item dataType="int" stringID="XST_FLIPFLOPSLATCHES" value="90">
<item dataType="int" stringID="XST_FD" value="54"/>
<item dataType="int" stringID="XST_FDCE" value="36"/>
</item>
<item dataType="int" stringID="XST_IO_BUFFERS" value="71">
<item dataType="int" stringID="XST_IBUF" value="35"/>
@ -134,7 +134,7 @@
</section>
<section stringID="XST_ERRORS_STATISTICS">
<item dataType="int" filtered="0" stringID="XST_NUMBER_OF_ERRORS" value="0"/>
<item dataType="int" filtered="0" stringID="XST_NUMBER_OF_WARNINGS" value="8"/>
<item dataType="int" filtered="0" stringID="XST_NUMBER_OF_WARNINGS" value="4"/>
<item dataType="int" filtered="0" stringID="XST_NUMBER_OF_INFOS" value="0"/>
</section>
</application>

View File

@ -1,2 +1,2 @@
C:\Users\Wolf\Documents\GitHub\Warp-SE\cpld\XC95144XL\WarpSE.ngc 1680338717
C:\Users\Wolf\Documents\GitHub\Warp-SE\cpld\XC95144XL\WarpSE.ngc 1680350227
OK

View File

@ -5,8 +5,5 @@
behavior or data corruption. It is strongly advised that
users do not edit the contents of this file. -->
<messages>
<msg type="warning" file="NgdBuild" num="452" delta="old" ><arg fmt="%s" index="1">logical</arg> net &apos;<arg fmt="%s" index="2">RAM_Ready</arg>&apos; has no driver
</msg>
</messages>

View File

@ -8,7 +8,7 @@
<!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
<messages>
<msg type="info" file="ProjectMgmt" num="1845" ><arg fmt="%s" index="1">Analyzing Verilog file &quot;C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/RAM.v&quot; into library work</arg>
<msg type="info" file="ProjectMgmt" num="1845" ><arg fmt="%s" index="1">Analyzing Verilog file &quot;C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/CS.v&quot; into library work</arg>
</msg>
</messages>

View File

@ -17,17 +17,5 @@
<msg type="warning" file="Xst" num="646" delta="old" >Signal &lt;<arg fmt="%s" index="1">SndRAMCSWR</arg>&gt; is assigned but never used. This unconnected signal will be trimmed during the optimization process.
</msg>
<msg type="warning" file="Xst" num="1898" delta="old" >Due to constant pushing, FF/Latch &lt;<arg fmt="%s" index="1">0</arg>&gt; is unconnected in block &lt;<arg fmt="%s" index="2">RAMReady</arg>&gt;.
</msg>
<msg type="warning" file="Xst" num="1898" delta="old" >Due to constant pushing, FF/Latch &lt;<arg fmt="%s" index="1">0</arg>&gt; is unconnected in block &lt;<arg fmt="%s" index="2">0</arg>&gt;.
</msg>
<msg type="warning" file="Xst" num="1898" delta="old" >Due to constant pushing, FF/Latch &lt;<arg fmt="%s" index="1">RAMReady</arg>&gt; is unconnected in block &lt;<arg fmt="%s" index="2">ram</arg>&gt;.
</msg>
<msg type="warning" file="Xst" num="2677" delta="old" >Node &lt;<arg fmt="%s" index="1">Ready0r</arg>&gt; of sequential type is unconnected in block &lt;<arg fmt="%s" index="2">fsb</arg>&gt;.
</msg>
</messages>

View File

@ -9,13 +9,13 @@
<ClosedNodesVersion>2</ClosedNodesVersion>
</ClosedNodes>
<SelectedItems>
<SelectedItem>WarpSE (C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE.v)</SelectedItem>
<SelectedItem>ram - RAM (C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/RAM.v)</SelectedItem>
</SelectedItems>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000202000000010000000100000064000000d2000000020000000000000000000000000200000064ffffffff000000810000000300000002000000d20000000100000003000000000000000100000003</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >true</UserChangedColumnWidths>
<CurrentItem>WarpSE (C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE.v)</CurrentItem>
<CurrentItem>ram - RAM (C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/RAM.v)</CurrentItem>
</ItemView>
<ItemView engineview="SynthesisOnly" sourcetype="" guiview="Process" >
<ClosedNodes>
@ -23,13 +23,13 @@
<ClosedNode>Design Utilities</ClosedNode>
</ClosedNodes>
<SelectedItems>
<SelectedItem></SelectedItem>
<SelectedItem/>
</SelectedItems>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000000000000000000f8000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f80000000100000000</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
<CurrentItem></CurrentItem>
<CurrentItem/>
</ItemView>
<ItemView guiview="File" >
<ClosedNodes>

View File

@ -1,7 +1,7 @@
<?xml version='1.0' encoding='UTF-8'?>
<report-views version="2.0" >
<header>
<DateModified>2023-04-01T04:04:22</DateModified>
<DateModified>2023-04-01T07:54:43</DateModified>
<ModuleName>WarpSE</ModuleName>
<SummaryTimeStamp>Unknown</SummaryTimeStamp>
<SavedFilePath>C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/iseconfig/WarpSE.xreport</SavedFilePath>

View File

@ -3,7 +3,7 @@
<!--The data in this file is primarily intended for consumption by Xilinx tools.
The structure and the elements are likely to change over the next few releases.
This means code written to parse this file will need to be revisited each subsequent release.-->
<application name="pn" timeStamp="Sat Apr 01 04:45:11 2023">
<application name="pn" timeStamp="Sat Apr 01 07:57:01 2023">
<section name="Project Information" visible="false">
<property name="ProjectID" value="17F4E7DEC0A14EDB82C58FCA99308E56" type="project"/>
<property name="ProjectIteration" value="0" type="project"/>

View File

@ -1,7 +1,7 @@
MO CNT NULL ../CNT.v vlg65/_c_n_t.bin 1680338712
MO CS NULL ../CS.v vlg22/_c_s.bin 1680338712
MO FSB NULL ../FSB.v vlg37/_f_s_b.bin 1680338712
MO IOBM NULL ../IOBM.v vlg73/_i_o_b_m.bin 1680338712
MO WarpSE NULL ../WarpSE.v vlg52/_warp_s_e.bin 1680338712
MO IOBS NULL ../IOBS.v vlg79/_i_o_b_s.bin 1680338712
MO RAM NULL ../RAM.v vlg14/_r_a_m.bin 1680338712
MO CNT NULL ../CNT.v vlg65/_c_n_t.bin 1680350223
MO CS NULL ../CS.v vlg22/_c_s.bin 1680350223
MO FSB NULL ../FSB.v vlg37/_f_s_b.bin 1680350223
MO IOBM NULL ../IOBM.v vlg73/_i_o_b_m.bin 1680350223
MO WarpSE NULL ../WarpSE.v vlg52/_warp_s_e.bin 1680350223
MO IOBS NULL ../IOBS.v vlg79/_i_o_b_s.bin 1680350223
MO RAM NULL ../RAM.v vlg14/_r_a_m.bin 1680350223